US20150201497A1 - High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same - Google Patents

High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same Download PDF

Info

Publication number
US20150201497A1
US20150201497A1 US14/665,730 US201514665730A US2015201497A1 US 20150201497 A1 US20150201497 A1 US 20150201497A1 US 201514665730 A US201514665730 A US 201514665730A US 2015201497 A1 US2015201497 A1 US 2015201497A1
Authority
US
United States
Prior art keywords
recess
die
package
interposer
coreless
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/665,730
Inventor
Bok Eng Cheah
Shanggar Periaman
Kooi Chi Ooi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US14/665,730 priority Critical patent/US20150201497A1/en
Publication of US20150201497A1 publication Critical patent/US20150201497A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0296Conductive pattern lay-out details not covered by sub groups H05K1/02 - H05K1/0295
    • H05K1/0298Multilayer circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/111Pads for surface mounting, e.g. lay-out
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/183Components mounted in and supported by recessed areas of the printed circuit board
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/30Assembling printed circuits with electric components, e.g. with resistor
    • H05K3/32Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits
    • H05K3/34Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by soldering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/36Assembling printed circuits with other printed circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1433Application-specific integrated circuit [ASIC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15172Fan-out arrangement of the internal vias
    • H01L2924/15174Fan-out arrangement of the internal vias in different layers of the multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19106Disposition of discrete passive components in a mirrored arrangement on two different side of a common die mounting substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10613Details of electrical connections of non-printed components, e.g. special leads
    • H05K2201/10621Components characterised by their electrical contacts
    • H05K2201/10674Flip chip
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.

Definitions

  • Disclosed embodiments relate to semiconductor microelectronic devices and processes of packaging them.
  • FIG. 1 is a cross-section elevation of a package-on-package apparatus according to an example embodiment
  • FIG. 1 a is a cross-section elevation of the apparatus depicted in FIG. 1 during processing according to an example embodiment
  • FIG. 1 b is a cross-section elevation of the apparatus depicted in FIG. 1 a after further processing according to an embodiment
  • FIG. 1 c is a cross-section elevation of the apparatus depicted in FIG. 1 b after further processing according to an embodiment
  • FIG. 1 d is a cross-section elevation of the apparatus depicted in FIG. 1 c after further processing according to an embodiment
  • FIG. 1 e is a cross-section elevation of the apparatus depicted in FIG. 1 d after further processing according to an embodiment
  • FIG. 1 f is a cross-section elevation of the apparatus depicted in FIG. 1 e after further processing according to an embodiment
  • FIG. 1 g is a top plan of four unsingulated interposer cores after further processing of an exemplary interposer core such as depicted in FIG. 1 f according to an embodiment
  • FIG. 1 h is a cross-section cut-away perspective of the unsingulated interposer core depicted in FIG. 1 g , taken along the section line 1 h after further processing according to an embodiment;
  • FIG. 1 k is a cross-section elevation of the apparatus depicted in FIG. 1 h after further processing according to an embodiment
  • FIG. 1 m is a cross-section elevation of the apparatus depicted in FIG. 1 k after further processing according to an embodiment
  • FIG. 2 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment
  • FIG. 3 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment
  • FIG. 4 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment
  • FIG. 5 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment
  • FIG. 6 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment
  • FIG. 7 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment
  • FIG. 8 is a schematic of a computer system according to an embodiment
  • FIG. 9 is a process and method flow diagram according to an example embodiment.
  • FIG. 1 is a cross-section elevation of a package-on-package (POP) apparatus 100 according to an example embodiment.
  • a patterned interposer core 111 includes a filled via 124 that is formed as set forth herein.
  • the patterned interposer core 111 is part of a chip bottom package 150 that supports a chip top package 152 .
  • the filled via 124 allows for a communicative channel between the chip bottom package 150 and the chip top package 152 that avoids solder bridging if mere solder bumps were placed to communicate in the Z-dimension instead of the filled via 124 in the patterned interposer core 111 . Consequently, the filled via 124 is useful to achieve the vertical communication. need. Similarly, the filled via 124 allows for tighter pitch (illustrated in the X-dimension) between adjacent electrical channels of communication achieved by adjacent filled vias 124 than a mere solder-bump array would achieve.
  • pitch between two adjacent vias 124 is in a range from 40 ⁇ m to 400 ⁇ m. In an embodiment, the pitch between two adjacent vias 124 is in a range from 80 ⁇ m to 200 ⁇ m. In an embodiment, the height (Z-dimension) of the filled via 124 is in a range from 50 ⁇ m to 1,000 ⁇ m. In an embodiment, the height of the filled via 124 is in a range from 100 ⁇ m to 500 ⁇ m.
  • the Table illustrates several pitches of filled vias that are matches with several height embodiments. By way of illustration, the pitch of 40 ⁇ m is matched with each height, but it should be understood that the pitch of 40 is also matched to a range of heights between 50 and 1,000 ⁇ m. For example, the pitch of 40 ⁇ m is also matched to a height range between 200 ⁇ m and 800 ⁇ m.
  • the patterned interposer core 111 contains filled vias 124 with a pitch of 40 ⁇ m and a height of 1,000 ⁇ m. In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 80 ⁇ m and a height of 1,000 ⁇ m. In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 200 ⁇ m and a height of 1,000 ⁇ m. In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 400 ⁇ m and a height of 1,000 ⁇ m. In several embodiments, each above-recited pitch is matched with each recited height.
  • the filled via 124 includes additional material such as electrically conductive microfibers. In an embodiment, the filled via 124 includes additional material such as electrically conductive nanofibers. In an embodiment, the filled via 124 includes filler material such as glass, ceramic, carbonaceous material, and organic material in addition to electrically conductive material.
  • the chip bottom package 150 includes a die 144 mounted on a coreless substrate 132 and stiffened by the patterned interposer core 111 .
  • the coreless substrate 132 may also be referred to as a direct laser lamination (DLL3) substrate 132 .
  • a trace 136 is depicted in simplified form to be in the coreless substrate 132 and within a footprint 126 ′ ( FIG. 1 k ) that is projected thereon by the die 144 .
  • the coreless substrate 132 can be a thin core substrate which is defined as having a substrate core thickness (Z-dimension) in a range from 50 ⁇ m to 400 ⁇ m.
  • the die 144 has also been solidified to the coreless substrate 132 by an underfill material 154 .
  • Underfilling may be done to an entire array of unsingulated interposer cores 111 , followed by singulating such as sawing or a conventional technique.
  • the chip top package 152 communicates electrically through a POP bump 156 that contacts the filled via 124 .
  • the filled via 124 has a finish layer (not pictured) and is coupled to the POP bump 156 though contact to the finish layer.
  • the POP bump may also be referred to as an inter-package bump 156 .
  • the chip top package 152 is depicted with a top package first die 158 that is disposed upon a mounting substrate 160 such as a core-based board.
  • the core 162 is also illustrated.
  • the top package first die 158 is wire bonded to the mounting substrate according to an embodiment.
  • a top package subsequent die 164 is also depicted above the top package first die 158 , and in this embodiment it is disposed above and on the top package first die 158 .
  • the chip top package 152 also is encapsulated with an overmold material 166 .
  • FIG. 1 a is a cross-section elevation of the apparatus depicted in FIG. 1 during processing according to an example embodiment.
  • the apparatus 101 is being fabricated beginning with an interposer core 110 .
  • the interposer core 110 is depicted with a metallic top plating 112 and a metallic bottom plating 114 .
  • the material of the interposer core 110 is the same material that is used as core material for core-based substrates. Consequently, the material of the interposer core 110 produces a stiffness in the interposer structure that is being fabricated.
  • the interposer core 110 is an organic material such as bismaleimide-triazine (BT) resin.
  • the metallic platings 112 and 114 respectively are copper or a copper alloy. Other metals may be used for the platings 112 and 114 depending upon a given application need.
  • FIG. 1 b is a cross-section elevation of the apparatus depicted in FIG. 1 a after further processing according to an embodiment.
  • the apparatus 102 has been processed such that interconnect channels have been formed from the metallic top plating 112 to the metallic bottom plating 114 , one of which interconnect channels is demarcated 116 .
  • Processing to form the interconnect channels 116 includes drilling or otherwise forming substantially cylindrical interconnect channels 116 such as by mechanical drilling or laser drilling based upon an applied interposer-grid design. Consequently the interposer core 110 ( FIG. 1 a ) has been processed to become a patterned interposer core 111 and the metallic platings 112 and 114 have been processed to become patterned metallic platings 113 and 115 .
  • FIG. 1 c is a cross-section elevation of the apparatus depicted in FIG. 1 b after further processing according to an embodiment.
  • the apparatus 103 has been plated. by an electroless plating method to form an electroless-plating film 118 . Which has also formed a thin-channel film 117 within the interconnect channels 116 ( FIG. 1 b).
  • FIG. 1 d is a cross-section elevation of the apparatus depicted in FIG. 1 c after further processing according to an embodiment.
  • the apparatus 104 has been further processed by forming a photoresist 120 and selectively curing through a mask such as a glass mask 122 . Cured portions 121 of the photoresist 120 mask off the thin-channel film 117 within the interconnect channels 116 .
  • FIG. 1 e is a cross-section elevation of the apparatus depicted in FIG. 1 d after further processing according to an embodiment.
  • the apparatus 105 has been stripped of the photoresist 120 , and the cured portions thereof 121 to reveal the thin-channel film 117 .
  • the electroless-plated film 118 depicted in FIG. 1 d has also been reduced to a residual film 119 that was protected under the cured photoresist 121 .
  • the metallic platings 113 and 115 have been reduced 113 ′ and 115 ′.
  • FIG. 1 f is a cross-section elevation of the apparatus depicted in FIG. 1 e after further processing according to an embodiment.
  • the apparatus 106 is illustrated with the interconnect channels 116 having been tilled with an electrical conductor such as copper to form a filled via 124 .
  • the filled via 124 is made of a metallic-plated interconnect channel and may also be referred to as a plated. interconnect channel 119 and 124 .
  • FIG. 1 g is a top plan of four unsingulated interposer cores 111 after further processing of an exemplary interposer core such as depicted in FIG. 1 f according to an embodiment.
  • the apparatus 107 during processing is depicted in the upper right corner of FIG. 1 g .
  • An exemplary residual film 119 and filled via 124 are depicted as part of an interposer core 111 .
  • the apparatus 107 also exhibits a recess 126 that communicates entirely through the interposer core 111 .
  • the top plan also exhibits two symmetry lines 128 and 130 .
  • the first symmetry line 128 displays the four unsingulated interposer cores across the X-dimension and the second symmetry line 130 displays the four unsingulated interposer cores across the Y-dimension. It may now be understood that the illustrated array of four unsingulated interposer cores is one embodiment and larger and smaller arrays may be manufactured.
  • FIG. 1 h is a cross-section cut-away perspective of the unsingulated interposer core 111 depicted in FIG. 1 g , taken along the section line 1 h after further processing according to an embodiment.
  • the apparatus 108 as cut away depicts the recess 126 along with the interposer core 111 and the tilled via 124 .
  • FIG. 1 k is a cross-section elevation of the apparatus depicted in FIG. 1 h after further processing according to an embodiment.
  • the recess 126 depicted in FIG. 1 h projects a recess footprint 126 ′ onto a coreless substrate 132 .
  • the coreless substrate 132 is configured with a chip-bonding pad 134 on a die side thereof.
  • the chip-bonding pad 134 is in contact with a trace 136 that is routed beginning within the recess footprint 126 ′, but may also be routed across the coreless substrate 132 including the region beneath the interposer for package routing optimization.
  • the trace 136 is depicted in simplified form for illustrative purposes.
  • An interposer bump 138 is also disposed on the coreless substrate 132 to mate with the interposer 111 at the filled via 124 .
  • the coreless substrate 132 is also bumped on a land side thereof with a land-side bump 140 .
  • a load-upset capacitor 142 may be disposed on the land side of the careless substrate at a location that may contain a processor within the X-dimension upon the die side according to an exemplary embodiment. It is seen that four load-upset capacitors 142 are mounted on the laud side of the coreless substrate 132 .
  • FIG. 1 m is a cross-section elevation of the apparatus depicted in FIG. 1 k after further processing according to an embodiment.
  • a die 144 is depicted being mounted upon the coreless substrate 132 such that the a die bump 146 will mate to the chip-bonding pad 134 on the die side of the careless substrate 132 .
  • the die 144 also has an active surface with a metallization layer 148 that may includes several levels of metallization including a simple metal-1 (M1) or any number of metallizations up to an M9 or more depending upon a given application need.
  • M1 simple metal-1
  • the interposer 111 becomes a die-containing interposer 111 such that the die 144 and the interposer 111 share space in the XZ-dimensions as illustrated.
  • stiffness to the apparatus 100 is provided by the interposer 111 such that the coreless substrate 132 , if it lacks sufficient stiffness in order to get a thinner form factor in the Z-dimension, receives useful stiffness by mating with the interposer 111 .
  • FIG. 2 is a cross-section elevation of a portion of a package-on-package apparatus 200 according to an example embodiment.
  • a patterned interposer core 211 includes a filled via 224 that is formed as set forth in various embodiments in this disclosure.
  • the patterned interposer core 211 is part of a chip bottom package that supports a chip top package.
  • the chip bottom package includes a die 244 mounted on a coreless substrate 232 and stiffened by the patterned interposer core 211 .
  • a trace 236 which can be routed across the coreless substrate 232 is depicted in simplified form for illustrative purposes.
  • the trace 236 may originate within a footprint 226 ′ of the die.
  • the die 244 may be a processor
  • an upset capacitor 242 may be located near the die 244 on the land side of the coreless substrate 232 .
  • the die 244 is to be solidified to the coreless substrate 232 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 211 , followed by singulating such as sawing or a conventional technique.
  • a symmetry line 228 bisects the coreless substrate 232 and it can be seen that the recess footprint 226 ′ is asymmetrically located with respect to the symmetry line.
  • the interposer core. 211 may have an asymmetrically configured number of filled vias 224 such as three at the right of the interposer core 211 and six at the left thereof in an example embodiment.
  • One embodiment of an asymmetrical configuration includes where power is drawn to a chip top package in a POP apparatus, there may be a concentration of filled vias 224 in the interposer core 211 that allows for a concentration of closely located filled vias to supply power. Consequently and as illustrated the six filled vias 224 located at the left are more relative to the three located at the right.
  • FIG. 3 is a cross-section elevation of a portion of a package-on-package apparatus 300 according to an example embodiment.
  • a patterned interposer core 311 includes a filled via 324 that is formed as set forth in various embodiments in this disclosure.
  • the patterned interposer core 311 is part of a chip bottom package that supports a chip top package.
  • the chip bottom package includes a first die 344 mounted on a coreless substrate 332 and stiffened by the patterned interposer core 311 .
  • a trace 336 which can be routed across the coreless substrate 332 is depicted in simplified form for illustrative purposes.
  • the trace 336 may originate within a footprint 326 ′ of the die.
  • an upset capacitor 342 may be located near the first die 344 on the land side of the coreless substrate 332 .
  • the first die 344 is to be solidified to the coreless substrate 332 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 311 , followed by singulating such as sawing or a conventional technique.
  • a symmetry line 328 bisects the coreless substrate 332 and it can be seen that the recess footprint 326 ′ is asymmetrically located with respect to the symmetry line, but a subsequent die 368 is located in a subsequent recess such that a subsequent recess footprint 370 is also projected onto the coreless substrate 332 .
  • the interposer core 311 may house the subsequent die 368 , which may be part of a chipset with the first die 344 such as for a smart phone.
  • One embodiment of an asymmetrical configuration includes where power is drawn to a chip top package in a POP apparatus, there may be a processor first die 344 and a specialty subsequent die 368 such as a graphics processor in the interposer core 311 . Consequently and as illustrated the six filled. vias 324 located at the left are more relative to the three located at the right.
  • symmetrically configured filled vias 324 are bisected by the symmetry line 328 such there are four groups of three with respect to the symmetry line 328 .
  • FIG. 4 is a cross-section elevation of a portion of a package-on-package apparatus 400 according to an example embodiment.
  • a patterned interposer core 411 includes a filled via 424 that is formed as set forth in various embodiments in this disclosure.
  • the patterned interposer core 411 is part of a chip bottom package that supports a chip top package.
  • the chip bottom package includes a first die 444 mounted on a coreless substrate 432 and stiffened by the patterned interposer core 411 .
  • a trace 436 which can be routed across the coreless substrate 432 is depicted in simplified form for illustrative purposes.
  • the trace 436 may originate within a footprint 426 ′ of the die.
  • an upset capacitor 442 may be located near the first die 444 on the land side of the .coreless substrate 432 .
  • the first die 444 is to be solidified to the coreless substrate 432 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 411 , followed by singulating such as sawing or a conventional technique.
  • a symmetry line 428 bisects the coreless substrate 432 and it can be seen that the recess footprint 426 ′ is asymmetrically located with respect to the symmetry line 428 , but a second die 468 is located in a second recess such that a second recess footprint 470 is also projected onto the careless substrate 432 .
  • the interposer core 411 may house the second die 468 , which may be part of a chipset with the first die 444 such as for a smart phone.
  • One embodiment of an asymmetrical configuration includes where power is drawn to a chip top package in a POP apparatus, there may be a processor first die 444 and a specialty second die 468 in recesses formed in the interposer core 411 . Consequently and as illustrated the six filled vias 424 located at the left are more relative to the three located at the right, and four further filled vias 424 are locate in a group that is intersected by the symmetry line 428 .
  • FIG. 5 is a cross-section elevation of a portion of a package-on-package apparatus 500 according to an example embodiment.
  • a patterned interposer core 511 includes a filled via 524 that is formed as set forth in various embodiments in this disclosure.
  • the patterned interposer core 511 is part of a chip bottom package that supports a chip top package.
  • the chip bottom package includes a first die 544 mounted on a .coreless substrate 532 and stiffened by the patterned interposer core 511 .
  • a trace 536 which can be routed across the coreless substrate 532 is depicted in simplified form for illustrative purposes.
  • the trace 536 may originate within a footprint 526 ′ of the die 544 .
  • an upset capacitor 542 may be located near the first die 544 on the land side of the coreless substrate 532 .
  • the first die 544 is to be solidified to the coreless substrate 532 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 511 , followed by singulating such as sawing or a conventional technique.
  • a symmetry line 528 bisects the coreless substrate 532 and it can be seen that the recess footprint 526 ′ is asymmetrically located with respect to the symmetry line 528 , but a second die 568 and a subsequent die 572 are located in the recess such that a second recess footprint 570 and a subsequent recess footprint 574 are also projected onto the coreless substrate 532 .
  • the interposer core 511 may house the second die 568 and the subsequent die 572 , which may be part of a chipset with the first die 544 such as for a two-processor ( 544 and 568 ) and a memory module ( 572 ) apparatus 500 .
  • a two-processor 544 and 568
  • a memory module 572
  • FIG. 6 is a cross-section elevation of a portion of a package-on-package apparatus 600 according to an example embodiment.
  • a patterned interposer first core 611 includes a filled via 624 that is formed as set forth in various embodiments in this disclosure.
  • the patterned interposer first core 611 is part of a chip bottom package that supports a chip top package.
  • a patterned, interposer second core 676 is disposed on the patterned interposer first core 611 .
  • the pitch-and height combinations set forth in the above Table are also applicable to any combinations of two heights. For example, the height of the patterned interposer first core 611 and the patterned interposer second core 676 equals 1,050 in an embodiment.
  • the chip bottom package includes a first die 644 mounted on a coreless substrate 632 .
  • a trace 636 which can be routed across the coreless substrate 632 . is depicted in simplified form for illustrative purposes.
  • the trace 636 may originate within a footprint 626 ′ of the first die 644 .
  • an upset capacitor 642 may be located near the first die 644 on the land side of the coreless substrate 632 .
  • a symmetry line 628 bisects the careless substrate 632 . and it can be seen that the recess footprint 626 ′ is asymmetrically located with respect to the symmetry line 628 , but a second die 668 has a taller (Z-dimension) form factor than the first die 644 such that the patterned interposer second core 676 is disposed on the patterned interposer first core 611 to accommodate the form factor.
  • the second die 668 is located in a second recess such that a second recess footprint 670 is also projected onto the coreless substrate 632 .
  • the patterned interposer first- and subsequent cores 611 and 676 may house the second die 668 , which may be part of a chipset with the first die 644 as a processor 644 and the second die 668 as an RF die 668 . As illustrated, there is symmetry across the symmetry line 628 with the two dice 644 and 668 .
  • FIG. 7 is a cross-section elevation of a portion of a package-on-package apparatus 700 according to an example embodiment.
  • a patterned interposer core 711 includes a filled via 724 that is formed as set forth in various embodiments in this disclosure.
  • the patterned interposer core 711 is part of a chip bottom package that supports a chip top package.
  • the chip bottom package includes a first die 744 mounted on a coreless substrate 732 .
  • a trace 736 which can be routed across the coreless substrate 712 is depicted in simplified form for illustrative imposes.
  • the trace 736 may originate within a footprint 726 ′ of the first die 744 .
  • an upset capacitor 742 may be located near the first die 744 on the land side of the coreless substrate 732 .
  • a symmetry line 728 bisects the coreless substrate 732 and it can be seen that the first recess footprint 726 ′ is asymmetrically located, with respect to the symmetry line 728 , and a second die 768 is wire-bonded to coreless substrate 732 .
  • the second die 768 is located in a second recess such that a. second recess footprint 770 is also projected onto the coreless substrate 732 .
  • the patterned interposer core 711 may house the second die 768 , which may be part of a chipset with the first the 744 as a processor 744 and the second die 768 as an RF die 768 .
  • the patterned interposer core 711 is asymmetrically laid out with respect to the symmetry line 728 .
  • top package such as the top package 152 depicted in FIG. 1
  • FIGS. 2 , 3 , 4 , 5 , 6 , and 7 may be applied to any of the apparatus embodiments and their variations depicted in FIGS. 2 , 3 , 4 , 5 , 6 , and 7 along with their equivalents.
  • FIG. 8 is a schematic of a computer system 800 according to an embodiment.
  • the computer system 800 (also referred to as the electronic system 800 ) as depicted can embody a chip-containing interposer core according to any of the several disclosed embodiments and their equivalents as set forth in this disclosure.
  • the computer system 800 may be a mobile device such as a netbook computer.
  • the computer system 800 may be a mobile device such as a wireless smart phone.
  • the electronic system 800 is a computer system that includes a system bus 820 to electrically couple the various components of the electronic system 800 .
  • the system bus 820 is a single bus or any combination of busses according to various embodiments.
  • the electronic system 800 includes a voltage source 830 that provides power to the integrated circuit 810 . In some embodiments, the voltage source 830 supplies current to the integrated circuit 810 through the system bus 820 .
  • the integrated circuit 810 is electrically coupled to the system bus 820 and includes any circuit, or combination of circuits according to an embodiment.
  • the integrated circuit 810 includes a processor 812 that can be of any type.
  • the processor 812 may mean any type of circuit such as, but not limited to, a microprocessor, a microcontroller, a graphics processor, a digital signal processor, or another processor.
  • SRAM embodiments are found in memory caches of the processor.
  • Other types of circuits that can be included in the integrated circuit 810 are a custom circuit or an application-specific integrated circuit (ASIC), such as a communications circuit 814 for use in wireless devices such as cellular telephones, smart phones, pagers, portable computers, two-way radios, and similar electronic systems.
  • the processor 810 includes on-die memory 816 such as static random-access memory (SRAM).
  • the processor 810 includes embedded on-die memory 816 such as embedded dynamic random-access memory (eDRAM).
  • the integrated circuit 810 is doubled dual integrated circuit 811 such as a second die.
  • the dual integrated circuit 811 includes a dual processor 813 and a dual communications circuit 815 and dual on-die memory 817 such as SRAM.
  • the dual integrated circuit 810 includes embedded on-die memory 817 such as eDRAM.
  • the electronic system 800 also includes an external memory 840 that in turn may include one or more memory elements suitable to the particular application.
  • an external memory 840 may include one or more memory elements suitable to the particular application.
  • the external memory 840 may also be embedded memory 848 such as the chip-containing interposer core apparatus according to an embodiment.
  • the electronic system 800 also includes a display device 850 , an audio output 860 .
  • the electronic system 800 includes an input device such as a controller 870 that may be a keyboard, mouse, trackball, game controller, microphone, voice-recognition device, or any other input device that inputs information into the electronic system 800 .
  • an input device 870 is a camera.
  • an input device 870 is a digital sound recorder.
  • an input device 870 is a camera and a digital sound recorder.
  • the integrated circuit 810 can be implemented in a number of different embodiments, including a chip-containing interposer core apparatus according to any of the several disclosed embodiments and their equivalents, an electronic system, a computer system, one or more methods of fabricating an integrated circuit, and one or more methods of fabricating an electronic assembly that includes a chip-containing interposer core apparatus according to any of the several disclosed embodiments as set forth herein in the various embodiments and their art-recognized equivalents.
  • the elements, materials, geometries, dimensions, and sequence of operations can all be varied to suit particular I/O coupling requirements including array contact count, array contact configuration for a microelectronic die embedded in a processor mounting substrate according to any of the several disclosed chip-containing interposer core apparatus embodiments and their equivalents.
  • FIG. 9 is a process and method flow diagram according to several embodiments.
  • the process includes forming an interconnect channel in an interposer.
  • a cylindrical interconnect channel is formed in a copper-clad interposer that is a core-material structure.
  • the process includes plating the interconnect channel with an electrical conductor.
  • electroless plating of copper into the interconnect channel is carried out that uses the metal cladding.
  • the process includes removing metal that is spaced apart from the interconnect channel.
  • a mask is patterned that protects the interconnect channel and an etch removes the cladding and the incidental electroless plating.
  • the process includes filling the interconnect channel with an electrical conductor.
  • a filled via is formed by electroplating to fill the interconnect channel.
  • the process includes forming a recess in the interposer to accommodate a microelectronic die.
  • a first recess and a subsequent recess are formed in the interposer.
  • a method embodiment includes mating the interposer to a coreless substrate.
  • a trace in the coreless substrate is exposed at a bond pad through the interposer recess during mating the interposer to the coreless substrate.
  • the method commences and terminates at 960 .
  • the method includes attaching a microelectronic die to the coreless substrate at the recess footprint. It may be understood that the die has a footprint and the interposer recess has a footprint, but they may be considered essentially the same where a single die is attached in a given interposer recess. In an embodiment, the method commences and terminates at 970 . In an embodiment, the method commences at 960 and terminates at 970 .
  • the method includes assembling a top package to the interposer.
  • any die-bearing top package is assembled to any interposer and coreless substrate embodiment and their equivalents.
  • a device under test may refer to a processor chip, a processor chip or a memory chip may be mentioned in the same sentence, but it should not be construed that they are equivalent structures.
  • Reference throughout this disclosure to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention.
  • the appearance of the phrases “in one embodiment” or “in an embodiment” in various places throughout this disclosure are not necessarily all referring to the same embodiment.
  • the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.

Abstract

An apparatus includes a coreless mounting substrate and an interposer disposed on the coreless mounting substrate with a chip disposed in a recess in the interposer and upon the coreless substrate. The apparatus may include an inter-package solder bump in contact with an interconnect channel in the interposer, and a top chip package including a top package substrate and a top die disposed on the top package substrate. The top package substrate is in contact with the inter-package solder bump.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 12/7890,345, entitled “High-Density Inter-Package Connections For Ultra-Thin Package-On-Package Structures, And Processes Of Forming Same” filed on Sep. 24, 2010 which is based upon and claims the benefits of the priority for the prior Malaysian Patent Application No. PI 20095412, filed on Dec. 16, 2009, the entire contents of which are incorporated herein by reference.
  • Disclosed embodiments relate to semiconductor microelectronic devices and processes of packaging them.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In order to understand the manner in which embodiments are obtained, a more particular description of various embodiments briefly described above will be rendered by reference to the appended drawings. These drawings depict embodiments that are not necessarily drawn to scale and are not to be considered to be limiting in scope. Some embodiments will be described and explained with additional specificity and detail through the use of the accompanying drawings in which:
  • FIG. 1 is a cross-section elevation of a package-on-package apparatus according to an example embodiment;
  • FIG. 1 a is a cross-section elevation of the apparatus depicted in FIG. 1 during processing according to an example embodiment;
  • FIG. 1 b is a cross-section elevation of the apparatus depicted in FIG. 1 a after further processing according to an embodiment;
  • FIG. 1 c is a cross-section elevation of the apparatus depicted in FIG. 1 b after further processing according to an embodiment;
  • FIG. 1 d is a cross-section elevation of the apparatus depicted in FIG. 1 c after further processing according to an embodiment;
  • FIG. 1 e is a cross-section elevation of the apparatus depicted in FIG. 1 d after further processing according to an embodiment;
  • FIG. 1 f is a cross-section elevation of the apparatus depicted in FIG. 1 e after further processing according to an embodiment;
  • FIG. 1 g is a top plan of four unsingulated interposer cores after further processing of an exemplary interposer core such as depicted in FIG. 1 f according to an embodiment;
  • FIG. 1 h is a cross-section cut-away perspective of the unsingulated interposer core depicted in FIG. 1 g, taken along the section line 1 h after further processing according to an embodiment;
  • FIG. 1 k is a cross-section elevation of the apparatus depicted in FIG. 1 h after further processing according to an embodiment;
  • FIG. 1 m is a cross-section elevation of the apparatus depicted in FIG. 1 k after further processing according to an embodiment;
  • FIG. 2 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment;
  • FIG. 3 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment;
  • FIG. 4 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment;
  • FIG. 5 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment;
  • FIG. 6 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment;
  • FIG. 7 is a cross-section elevation of a portion of a package-on-package apparatus according to an example embodiment;
  • FIG. 8 is a schematic of a computer system according to an embodiment;
  • FIG. 9 is a process and method flow diagram according to an example embodiment.
  • DETAILED DESCRIPTION
  • Reference will now be made to the drawings wherein like structures may be provided with like suffix reference designations. In order to show the structures of various embodiments more clearly, the drawings included herein are diagrammatic representations of integrated circuit structures. Thus, the actual appearance of the fabricated integrated circuit structures, for example in a photomicrograph, may appear different while still incorporating the claimed structures of the illustrated embodiments. Moreover, the drawings may only show the structures useful to understand the illustrated embodiments. Additional structures known in the art may not have been included to maintain the clarity of the drawings.
  • FIG. 1 is a cross-section elevation of a package-on-package (POP) apparatus 100 according to an example embodiment. A patterned interposer core 111 includes a filled via 124 that is formed as set forth herein. The patterned interposer core 111 is part of a chip bottom package 150 that supports a chip top package 152.
  • It can now be appreciated that the filled via 124 allows for a communicative channel between the chip bottom package 150 and the chip top package 152 that avoids solder bridging if mere solder bumps were placed to communicate in the Z-dimension instead of the filled via 124 in the patterned interposer core 111. Consequently, the filled via 124 is useful to achieve the vertical communication. need. Similarly, the filled via 124 allows for tighter pitch (illustrated in the X-dimension) between adjacent electrical channels of communication achieved by adjacent filled vias 124 than a mere solder-bump array would achieve.
  • In an embodiment, pitch between two adjacent vias 124 is in a range from 40 μm to 400 μm. In an embodiment, the pitch between two adjacent vias 124 is in a range from 80 μm to 200 μm. In an embodiment, the height (Z-dimension) of the filled via 124 is in a range from 50 μm to 1,000 μm. In an embodiment, the height of the filled via 124 is in a range from 100 μm to 500 μm. The Table illustrates several pitches of filled vias that are matches with several height embodiments. By way of illustration, the pitch of 40 μm is matched with each height, but it should be understood that the pitch of 40 is also matched to a range of heights between 50 and 1,000 μm. For example, the pitch of 40 μm is also matched to a height range between 200 μm and 800 μm.
  • Pitch, Height, μm
    μm 50 100 200 400 500 800 1,000
    40 X X X X X X X
    80 X X X X X X X
    100 X X X X X X X
    200 X X X X X X X
    400 X X X X X X X
  • In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 40 μm and a height of 1,000 μm. In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 80 μm and a height of 1,000 μm. In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 200 μm and a height of 1,000 μm. In an embodiment, the patterned interposer core 111 contains filled vias 124 with a pitch of 400 μm and a height of 1,000 μm. In several embodiments, each above-recited pitch is matched with each recited height.
  • In an embodiment, the filled via 124 includes additional material such as electrically conductive microfibers. In an embodiment, the filled via 124 includes additional material such as electrically conductive nanofibers. In an embodiment, the filled via 124 includes filler material such as glass, ceramic, carbonaceous material, and organic material in addition to electrically conductive material. The chip bottom package 150 includes a die 144 mounted on a coreless substrate 132 and stiffened by the patterned interposer core 111. The coreless substrate 132 may also be referred to as a direct laser lamination (DLL3) substrate 132. A trace 136 is depicted in simplified form to be in the coreless substrate 132 and within a footprint 126′ (FIG. 1 k) that is projected thereon by the die 144. In an embodiment, the coreless substrate 132 can be a thin core substrate which is defined as having a substrate core thickness (Z-dimension) in a range from 50 μm to 400 μm.
  • The die 144 has also been solidified to the coreless substrate 132 by an underfill material 154. Underfilling may be done to an entire array of unsingulated interposer cores 111, followed by singulating such as sawing or a conventional technique.
  • The chip top package 152 communicates electrically through a POP bump 156 that contacts the filled via 124. In an embodiment, the filled via 124 has a finish layer (not pictured) and is coupled to the POP bump 156 though contact to the finish layer. The POP bump may also be referred to as an inter-package bump 156.
  • The chip top package 152 is depicted with a top package first die 158 that is disposed upon a mounting substrate 160 such as a core-based board. The core 162 is also illustrated. The top package first die 158 is wire bonded to the mounting substrate according to an embodiment. A top package subsequent die 164 is also depicted above the top package first die 158, and in this embodiment it is disposed above and on the top package first die 158. The chip top package 152 also is encapsulated with an overmold material 166.
  • FIG. 1 a is a cross-section elevation of the apparatus depicted in FIG. 1 during processing according to an example embodiment. The apparatus 101 is being fabricated beginning with an interposer core 110. The interposer core 110 is depicted with a metallic top plating 112 and a metallic bottom plating 114. In an embodiment, the material of the interposer core 110 is the same material that is used as core material for core-based substrates. Consequently, the material of the interposer core 110 produces a stiffness in the interposer structure that is being fabricated. In an embodiment, the interposer core 110 is an organic material such as bismaleimide-triazine (BT) resin. In an embodiment, the metallic platings 112 and 114, respectively are copper or a copper alloy. Other metals may be used for the platings 112 and 114 depending upon a given application need.
  • FIG. 1 b is a cross-section elevation of the apparatus depicted in FIG. 1 a after further processing according to an embodiment. The apparatus 102 has been processed such that interconnect channels have been formed from the metallic top plating 112 to the metallic bottom plating 114, one of which interconnect channels is demarcated 116. Processing to form the interconnect channels 116 includes drilling or otherwise forming substantially cylindrical interconnect channels 116 such as by mechanical drilling or laser drilling based upon an applied interposer-grid design. Consequently the interposer core 110 (FIG. 1 a) has been processed to become a patterned interposer core 111 and the metallic platings 112 and 114 have been processed to become patterned metallic platings 113 and 115.
  • FIG. 1 c is a cross-section elevation of the apparatus depicted in FIG. 1 b after further processing according to an embodiment. The apparatus 103 has been plated. by an electroless plating method to form an electroless-plating film 118. Which has also formed a thin-channel film 117 within the interconnect channels 116 (FIG. 1 b).
  • FIG. 1 d is a cross-section elevation of the apparatus depicted in FIG. 1 c after further processing according to an embodiment. The apparatus 104 has been further processed by forming a photoresist 120 and selectively curing through a mask such as a glass mask 122. Cured portions 121 of the photoresist 120 mask off the thin-channel film 117 within the interconnect channels 116.
  • FIG. 1 e is a cross-section elevation of the apparatus depicted in FIG. 1 d after further processing according to an embodiment. The apparatus 105 has been stripped of the photoresist 120, and the cured portions thereof 121 to reveal the thin-channel film 117. The electroless-plated film 118, depicted in FIG. 1 d has also been reduced to a residual film 119 that was protected under the cured photoresist 121. Similarly, the metallic platings 113 and 115 have been reduced 113′ and 115′.
  • FIG. 1 f is a cross-section elevation of the apparatus depicted in FIG. 1 e after further processing according to an embodiment. The apparatus 106 is illustrated with the interconnect channels 116 having been tilled with an electrical conductor such as copper to form a filled via 124. As depicted, the filled via 124 is made of a metallic-plated interconnect channel and may also be referred to as a plated. interconnect channel 119 and 124.
  • FIG. 1 g is a top plan of four unsingulated interposer cores 111 after further processing of an exemplary interposer core such as depicted in FIG. 1 f according to an embodiment. The apparatus 107 during processing is depicted in the upper right corner of FIG. 1 g. An exemplary residual film 119 and filled via 124 are depicted as part of an interposer core 111. The apparatus 107 also exhibits a recess 126 that communicates entirely through the interposer core 111. The top plan also exhibits two symmetry lines 128 and 130. The first symmetry line 128 displays the four unsingulated interposer cores across the X-dimension and the second symmetry line 130 displays the four unsingulated interposer cores across the Y-dimension. It may now be understood that the illustrated array of four unsingulated interposer cores is one embodiment and larger and smaller arrays may be manufactured.
  • FIG. 1 h is a cross-section cut-away perspective of the unsingulated interposer core 111 depicted in FIG. 1 g, taken along the section line 1 h after further processing according to an embodiment. The apparatus 108 as cut away depicts the recess 126 along with the interposer core 111 and the tilled via 124.
  • FIG. 1 k is a cross-section elevation of the apparatus depicted in FIG. 1 h after further processing according to an embodiment. The recess 126 depicted in FIG. 1 h projects a recess footprint 126′ onto a coreless substrate 132. The coreless substrate 132 is configured with a chip-bonding pad 134 on a die side thereof. The chip-bonding pad 134 is in contact with a trace 136 that is routed beginning within the recess footprint 126′, but may also be routed across the coreless substrate 132 including the region beneath the interposer for package routing optimization. The trace 136 is depicted in simplified form for illustrative purposes.
  • An interposer bump 138 is also disposed on the coreless substrate 132 to mate with the interposer 111 at the filled via 124. The coreless substrate 132 is also bumped on a land side thereof with a land-side bump 140. Where the recess footprint 126′ is to contain a processor, a load-upset capacitor 142 may be disposed on the land side of the careless substrate at a location that may contain a processor within the X-dimension upon the die side according to an exemplary embodiment. It is seen that four load-upset capacitors 142 are mounted on the laud side of the coreless substrate 132.
  • FIG. 1 m is a cross-section elevation of the apparatus depicted in FIG. 1 k after further processing according to an embodiment. A die 144 is depicted being mounted upon the coreless substrate 132 such that the a die bump 146 will mate to the chip-bonding pad 134 on the die side of the careless substrate 132. The die 144 also has an active surface with a metallization layer 148 that may includes several levels of metallization including a simple metal-1 (M1) or any number of metallizations up to an M9 or more depending upon a given application need.
  • As can be seen the interposer 111 becomes a die-containing interposer 111 such that the die 144 and the interposer 111 share space in the XZ-dimensions as illustrated.
  • Reference is again made to FIG. 1. It can now be appreciated that stiffness to the apparatus 100 is provided by the interposer 111 such that the coreless substrate 132, if it lacks sufficient stiffness in order to get a thinner form factor in the Z-dimension, receives useful stiffness by mating with the interposer 111.
  • FIG. 2 is a cross-section elevation of a portion of a package-on-package apparatus 200 according to an example embodiment. A patterned interposer core 211 includes a filled via 224 that is formed as set forth in various embodiments in this disclosure. The patterned interposer core 211 is part of a chip bottom package that supports a chip top package.
  • The chip bottom package includes a die 244 mounted on a coreless substrate 232 and stiffened by the patterned interposer core 211. A trace 236 which can be routed across the coreless substrate 232 is depicted in simplified form for illustrative purposes. The trace 236 may originate within a footprint 226′ of the die. Where the die 244 may be a processor, an upset capacitor 242 may be located near the die 244 on the land side of the coreless substrate 232.
  • In an embodiment, the die 244 is to be solidified to the coreless substrate 232 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 211, followed by singulating such as sawing or a conventional technique.
  • A symmetry line 228 bisects the coreless substrate 232 and it can be seen that the recess footprint 226′ is asymmetrically located with respect to the symmetry line. As a consequence of the asymmetrically located die 244, the interposer core. 211 may have an asymmetrically configured number of filled vias 224 such as three at the right of the interposer core 211 and six at the left thereof in an example embodiment. One embodiment of an asymmetrical configuration includes where power is drawn to a chip top package in a POP apparatus, there may be a concentration of filled vias 224 in the interposer core 211 that allows for a concentration of closely located filled vias to supply power. Consequently and as illustrated the six filled vias 224 located at the left are more relative to the three located at the right.
  • FIG. 3 is a cross-section elevation of a portion of a package-on-package apparatus 300 according to an example embodiment. A patterned interposer core 311 includes a filled via 324 that is formed as set forth in various embodiments in this disclosure. The patterned interposer core 311 is part of a chip bottom package that supports a chip top package.
  • The chip bottom package includes a first die 344 mounted on a coreless substrate 332 and stiffened by the patterned interposer core 311. A trace 336 which can be routed across the coreless substrate 332 is depicted in simplified form for illustrative purposes. The trace 336 may originate within a footprint 326′ of the die. Where the first die 344 may be a processor, an upset capacitor 342 may be located near the first die 344 on the land side of the coreless substrate 332.
  • In an embodiment, the first die 344 is to be solidified to the coreless substrate 332 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 311, followed by singulating such as sawing or a conventional technique.
  • A symmetry line 328 bisects the coreless substrate 332 and it can be seen that the recess footprint 326′ is asymmetrically located with respect to the symmetry line, but a subsequent die 368 is located in a subsequent recess such that a subsequent recess footprint 370 is also projected onto the coreless substrate 332. As a consequence of the asymmetrically located first die 344, the interposer core 311 may house the subsequent die 368, which may be part of a chipset with the first die 344 such as for a smart phone. One embodiment of an asymmetrical configuration includes where power is drawn to a chip top package in a POP apparatus, there may be a processor first die 344 and a specialty subsequent die 368 such as a graphics processor in the interposer core 311. Consequently and as illustrated the six filled. vias 324 located at the left are more relative to the three located at the right.
  • It can be observed that symmetrically configured filled vias 324 are bisected by the symmetry line 328 such there are four groups of three with respect to the symmetry line 328.
  • FIG. 4 is a cross-section elevation of a portion of a package-on-package apparatus 400 according to an example embodiment. A patterned interposer core 411 includes a filled via 424 that is formed as set forth in various embodiments in this disclosure. The patterned interposer core 411 is part of a chip bottom package that supports a chip top package.
  • The chip bottom package includes a first die 444 mounted on a coreless substrate 432 and stiffened by the patterned interposer core 411. A trace 436 which can be routed across the coreless substrate 432 is depicted in simplified form for illustrative purposes. The trace 436 may originate within a footprint 426′ of the die. Where the first die 444 may be a processor, an upset capacitor 442 may be located near the first die 444 on the land side of the .coreless substrate 432.
  • In an embodiment, the first die 444 is to be solidified to the coreless substrate 432 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 411, followed by singulating such as sawing or a conventional technique.
  • A symmetry line 428 bisects the coreless substrate 432 and it can be seen that the recess footprint 426′ is asymmetrically located with respect to the symmetry line 428, but a second die 468 is located in a second recess such that a second recess footprint 470 is also projected onto the careless substrate 432. As a consequence of the asymmetrically located first die 444 and the second, the interposer core 411 may house the second die 468, which may be part of a chipset with the first die 444 such as for a smart phone. One embodiment of an asymmetrical configuration includes where power is drawn to a chip top package in a POP apparatus, there may be a processor first die 444 and a specialty second die 468 in recesses formed in the interposer core 411. Consequently and as illustrated the six filled vias 424 located at the left are more relative to the three located at the right, and four further filled vias 424 are locate in a group that is intersected by the symmetry line 428.
  • FIG. 5 is a cross-section elevation of a portion of a package-on-package apparatus 500 according to an example embodiment. A patterned interposer core 511 includes a filled via 524 that is formed as set forth in various embodiments in this disclosure. The patterned interposer core 511 is part of a chip bottom package that supports a chip top package.
  • The chip bottom package includes a first die 544 mounted on a .coreless substrate 532 and stiffened by the patterned interposer core 511. A trace 536 which can be routed across the coreless substrate 532 is depicted in simplified form for illustrative purposes. The trace 536 may originate within a footprint 526′ of the die 544. Where the first die 544 may be a processor, an upset capacitor 542 may be located near the first die 544 on the land side of the coreless substrate 532.
  • In an embodiment, the first die 544 is to be solidified to the coreless substrate 532 by an underfill material. Underfilling may be done to an entire array of unsingulated interposer cores 511, followed by singulating such as sawing or a conventional technique.
  • A symmetry line 528 bisects the coreless substrate 532 and it can be seen that the recess footprint 526′ is asymmetrically located with respect to the symmetry line 528, but a second die 568 and a subsequent die 572 are located in the recess such that a second recess footprint 570 and a subsequent recess footprint 574 are also projected onto the coreless substrate 532. As a consequence of the asymmetrically located first die 544 and the second- and subsequent dice 568 and 572, respectively, the interposer core 511 may house the second die 568 and the subsequent die 572, which may be part of a chipset with the first die 544 such as for a two-processor (544 and 568) and a memory module (572) apparatus 500. As illustrated, there is symmetry across the symmetry line 528 with the two dice 544 and 568, and the second die 572 being substantially symmetrically bisected.
  • FIG. 6 is a cross-section elevation of a portion of a package-on-package apparatus 600 according to an example embodiment. A patterned interposer first core 611 includes a filled via 624 that is formed as set forth in various embodiments in this disclosure. The patterned interposer first core 611 is part of a chip bottom package that supports a chip top package. A patterned, interposer second core 676 is disposed on the patterned interposer first core 611. It will now be clear that the pitch-and height combinations set forth in the above Table are also applicable to any combinations of two heights. For example, the height of the patterned interposer first core 611 and the patterned interposer second core 676 equals 1,050 in an embodiment.
  • The chip bottom package includes a first die 644 mounted on a coreless substrate 632. A trace 636 which can be routed across the coreless substrate 632. is depicted in simplified form for illustrative purposes. The trace 636 may originate within a footprint 626′ of the first die 644. Where the first die 644 may be a processor, an upset capacitor 642 may be located near the first die 644 on the land side of the coreless substrate 632.
  • A symmetry line 628 bisects the careless substrate 632. and it can be seen that the recess footprint 626′ is asymmetrically located with respect to the symmetry line 628, but a second die 668 has a taller (Z-dimension) form factor than the first die 644 such that the patterned interposer second core 676 is disposed on the patterned interposer first core 611 to accommodate the form factor. The second die 668 is located in a second recess such that a second recess footprint 670 is also projected onto the coreless substrate 632. As a consequence of the asymmetrically located first die 644 and the second die 668, the patterned interposer first- and subsequent cores 611 and 676 may house the second die 668, which may be part of a chipset with the first die 644 as a processor 644 and the second die 668 as an RF die 668. As illustrated, there is symmetry across the symmetry line 628 with the two dice 644 and 668.
  • FIG. 7 is a cross-section elevation of a portion of a package-on-package apparatus 700 according to an example embodiment. A patterned interposer core 711 includes a filled via 724 that is formed as set forth in various embodiments in this disclosure. The patterned interposer core 711 is part of a chip bottom package that supports a chip top package.
  • The chip bottom package includes a first die 744 mounted on a coreless substrate 732. A trace 736 which can be routed across the coreless substrate 712 is depicted in simplified form for illustrative imposes. The trace 736 may originate within a footprint 726′ of the first die 744. Where the first die 744 may be a processor, an upset capacitor 742 may be located near the first die 744 on the land side of the coreless substrate 732.
  • A symmetry line 728 bisects the coreless substrate 732 and it can be seen that the first recess footprint 726′ is asymmetrically located, with respect to the symmetry line 728, and a second die 768 is wire-bonded to coreless substrate 732. The second die 768 is located in a second recess such that a. second recess footprint 770 is also projected onto the coreless substrate 732. As a consequence of the asymmetrically located first die 744 and the second die 768, the patterned interposer core 711 may house the second die 768, which may be part of a chipset with the first the 744 as a processor 744 and the second die 768 as an RF die 768.
  • As illustrated, there is symmetry across the symmetry line 728 with the two dice 744 and 768, but the patterned interposer core 711 is asymmetrically laid out with respect to the symmetry line 728. For example, there are four filled vias 724 to the left of the symmetry line in one group, five filled vias 724 that is intersected by the symmetry line in another group, and three filled vias 724 to the right of the symmetry line in yet another group.
  • It should now be understood that a top package such as the top package 152 depicted in FIG. 1, may be applied to any of the apparatus embodiments and their variations depicted in FIGS. 2, 3, 4, 5, 6, and 7 along with their equivalents.
  • FIG. 8 is a schematic of a computer system 800 according to an embodiment. The computer system 800 (also referred to as the electronic system 800) as depicted can embody a chip-containing interposer core according to any of the several disclosed embodiments and their equivalents as set forth in this disclosure. The computer system 800 may be a mobile device such as a netbook computer. The computer system 800 may be a mobile device such as a wireless smart phone.
  • In an embodiment, the electronic system 800 is a computer system that includes a system bus 820 to electrically couple the various components of the electronic system 800. The system bus 820 is a single bus or any combination of busses according to various embodiments. The electronic system 800 includes a voltage source 830 that provides power to the integrated circuit 810. In some embodiments, the voltage source 830 supplies current to the integrated circuit 810 through the system bus 820.
  • The integrated circuit 810 is electrically coupled to the system bus 820 and includes any circuit, or combination of circuits according to an embodiment. In an embodiment, the integrated circuit 810 includes a processor 812 that can be of any type. As used herein, the processor 812 may mean any type of circuit such as, but not limited to, a microprocessor, a microcontroller, a graphics processor, a digital signal processor, or another processor. In an embodiment, SRAM embodiments are found in memory caches of the processor. Other types of circuits that can be included in the integrated circuit 810 are a custom circuit or an application-specific integrated circuit (ASIC), such as a communications circuit 814 for use in wireless devices such as cellular telephones, smart phones, pagers, portable computers, two-way radios, and similar electronic systems. In an embodiment, the processor 810 includes on-die memory 816 such as static random-access memory (SRAM). In an embodiment, the processor 810 includes embedded on-die memory 816 such as embedded dynamic random-access memory (eDRAM).
  • In an embodiment, the integrated circuit 810 is doubled dual integrated circuit 811 such as a second die. The dual integrated circuit 811 includes a dual processor 813 and a dual communications circuit 815 and dual on-die memory 817 such as SRAM. In an embodiment, the dual integrated circuit 810 includes embedded on-die memory 817 such as eDRAM.
  • In an embodiment, the electronic system 800 also includes an external memory 840 that in turn may include one or more memory elements suitable to the particular application. such as a main memory 842 in the form of RAM, one or more hard drives 844, and/or one or more drives that handle removable media 846, such as diskettes, compact disks (CDs), digital variable disks (DVDs), flash memory drives, and other removable media known in the art. The external memory 840 may also be embedded memory 848 such as the chip-containing interposer core apparatus according to an embodiment.
  • In an embodiment, the electronic system 800 also includes a display device 850, an audio output 860. In an embodiment, the electronic system 800 includes an input device such as a controller 870 that may be a keyboard, mouse, trackball, game controller, microphone, voice-recognition device, or any other input device that inputs information into the electronic system 800. In an embodiment, an input device 870 is a camera. In an embodiment, an input device 870 is a digital sound recorder. In an embodiment, an input device 870 is a camera and a digital sound recorder.
  • As shown herein, the integrated circuit 810 can be implemented in a number of different embodiments, including a chip-containing interposer core apparatus according to any of the several disclosed embodiments and their equivalents, an electronic system, a computer system, one or more methods of fabricating an integrated circuit, and one or more methods of fabricating an electronic assembly that includes a chip-containing interposer core apparatus according to any of the several disclosed embodiments as set forth herein in the various embodiments and their art-recognized equivalents. The elements, materials, geometries, dimensions, and sequence of operations can all be varied to suit particular I/O coupling requirements including array contact count, array contact configuration for a microelectronic die embedded in a processor mounting substrate according to any of the several disclosed chip-containing interposer core apparatus embodiments and their equivalents.
  • FIG. 9 is a process and method flow diagram according to several embodiments.
  • At 910, the process includes forming an interconnect channel in an interposer. In a non-limiting example embodiment, a cylindrical interconnect channel is formed in a copper-clad interposer that is a core-material structure.
  • At 920, the process includes plating the interconnect channel with an electrical conductor. In a non-limiting example embodiment, electroless plating of copper into the interconnect channel is carried out that uses the metal cladding.
  • At 930, the process includes removing metal that is spaced apart from the interconnect channel. In a non-limiting example embodiment, a mask is patterned that protects the interconnect channel and an etch removes the cladding and the incidental electroless plating.
  • At 940, the process includes filling the interconnect channel with an electrical conductor. In a non-limiting example embodiment, a filled via is formed by electroplating to fill the interconnect channel.
  • At 950, the process includes forming a recess in the interposer to accommodate a microelectronic die. In a non-limiting example embodiment, a first recess and a subsequent recess are formed in the interposer.
  • At 960, a method embodiment includes mating the interposer to a coreless substrate. In a non-limiting example embodiment, a trace in the coreless substrate is exposed at a bond pad through the interposer recess during mating the interposer to the coreless substrate. In an embodiment, the method commences and terminates at 960.
  • At 970, the method includes attaching a microelectronic die to the coreless substrate at the recess footprint. It may be understood that the die has a footprint and the interposer recess has a footprint, but they may be considered essentially the same where a single die is attached in a given interposer recess. In an embodiment, the method commences and terminates at 970. In an embodiment, the method commences at 960 and terminates at 970.
  • At 980, the method includes assembling a top package to the interposer. In a non-limiting embodiment, any die-bearing top package is assembled to any interposer and coreless substrate embodiment and their equivalents.
  • Although a device under test may refer to a processor chip, a processor chip or a memory chip may be mentioned in the same sentence, but it should not be construed that they are equivalent structures. Reference throughout this disclosure to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. The appearance of the phrases “in one embodiment” or “in an embodiment” in various places throughout this disclosure are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Terms such as “upper” and “lower” “above” and “below” may be understood by reference to the illustrated X-Z coordinates, and terms such as “adjacent” may be understood by reference to X-Y coordinates or to non-Z coordinates.
  • The Abstract is provided to comply with 37 C.F.R. §1.72(b) requiring an abstract that will allow the reader to quickly ascertain the nature and gist of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
  • In the foregoing Detailed Description, various features are grouped together in a single embodiment for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted as reflecting an intention that the claimed embodiments of the invention require more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive subject matter lies in less than all features of a single disclosed embodiment. Thus the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate preferred embodiment.
  • It will be readily understood to those skilled in the art that various other changes in the details, material, and arrangements of the parts and method stages which have been described and illustrated in order to explain the nature of this invention may be made without departing from the principles and scope of the invention as expressed in the subjoined claims.

Claims (43)

What is claimed is:
1. An apparatus, comprising:
a coreless mounting substrate
an interposer disposed on the coreless mounting substrate, wherein the interposer includes:
a die side and a land side that is parallel planar to the die side;
a chip recess that communicates to the die side and the land side, wherein the chip recess projects a footprint onto the coreless mounting substrate;
an interconnect channel that passes through the interposer, wherein the interconnect channel is electrically coupled to the coreless substrate by contact with a substrate bump; and
a trace in the coreless mounting substrate.
2. The apparatus of claim 1, further including a chip disposed on the coreless mounting substrate within the recess footprint and coupled to the trace.
3. The apparatus of claim 1, wherein the coreless mounting substrate exhibits a first stiffness and the interposer exhibits a second stiffness that is greater than the first stiffness.
4. The apparatus of claim 1, wherein the recess footprint is centered on a symmetry line that equally bisects the coreless substrate.
5. (canceled)
6. The apparatus of claim 1, wherein the recess is a first recess, the apparatus further including a second recess spaced apart from the first recess, and wherein the second recess communicates to the die side and the land side.
7. The apparatus of claim 1, wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side; and
a processor chip disposed on the coreless substrate in the first recess.
8. (canceled)
9. The apparatus of claim 1, further including:
a first die disposed on the coreless substrate within the recess footprint; and a subsequent die also disposed on the coreless substrate within the recess footprint.
10. The apparatus of claim 1, wherein interposer is a first interposer, and wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side; and
a subsequent interposer disposed above the first interposer.
11. The apparatus of claim 1, wherein interposer is a first interposer, and wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side;
a subsequent interposer disposed above the first interposer;
a first die disposed on the coreless substrate in the first recess; and
a second die disposed on the coreless substrate in the second recess, and where the first die and the second die are different respective sizes.
12. (canceled)
13. The apparatus of claim 1, wherein the coreless substrate is a thin core substrate.
14. An apparatus, comprising:
a coreless mounting substrate;
an interposer disposed on the coreless mounting substrate, wherein the interposer includes:
a die side and a land side that is parallel planar to the die side;
a chip recess that communicates to the die side and the land side, wherein the chip recess projects a footprint onto the coreless mounting substrate;
an interconnect channel that passes through the interposer, wherein the interconnect channel is electrically coupled to the coreless substrate by contact with a substrate bump;
a chip disposed on the coreless substrate within the footprint;
an inter-package solder bump in contact with the interconnect channel; and
a top chip package including a top package substrate and a top die disposed on the top package substrate, wherein the top package substrate is in contact with the inter-package solder bump.
15. The apparatus of claim 14, wherein the recess footprint is asymmetrically located with respect to a symmetry line that equally bisects the coreless substrate.
16. The apparatus of claim 14, wherein the recess is a first recess, the apparatus further including a second recess spaced apart from the first recess, and wherein the second recess communicates to the die side and the land side.
17. The apparatus of claim 14, wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side; and
wherein the chip is a processor chip disposed on the coreless substrate in the first recess.
18. The apparatus of claim 14, wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side; and
wherein the first recess footprint is asymmetrically located with respect to a symmetry line that equally bisects the coreless substrate.
19. The apparatus of claim 14, further including:
wherein the chip is a first die disposed on the coreless substrate within the recess footprint; and
a subsequent die also disposed on the coreless substrate within the recess footprint.
20. The apparatus of claim 14, wherein interposer is a first interposer, and wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side; and
a subsequent interposer disposed above the first interposer.
21. The apparatus of claim 14, wherein interposer is a first interposer, and wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side;
a subsequent interposer disposed above the first interposer;
wherein the chip is a first die disposed on the coreless substrate in the first recess; and a second die disposed on the coreless substrate in the second recess, and where the first die and the second die are different respective sizes.
22. The apparatus of claim 14, wherein the recess is a first recess, the apparatus further including:
a second recess spaced apart from the first recess, wherein the second recess communicates to the die side and the land side;
wherein the die is a first chip disposed on the coreless substrate in the first recess; and a second chip disposed on the coreless substrate in the second recess, wherein the second chip is wire-bonded to the coreless substrate.
23. A computing system, comprising:
a coreless mounting substrate;
an interposer disposed on the coreless mounting substrate, wherein the interposer includes:
a die side and a land side that is parallel planar to the die side;
a chip recess that communicates to the die side and the land side, wherein the chip recess projects a footprint onto the coreless mounting substrate;
an interconnect channel that passes through the interposer, wherein the interconnect channel is electrically coupled to the coreless substrate by contact with a substrate bump;
a chip disposed on the coreless substrate within the footprint;
an inter-package solder bump in contact with the interconnect channel; and
a top chip package including a top package substrate and a top die disposed on the top package substrate, wherein the top package substrate is in contact with the inter-package solder bump; and
external memory coupled to the die.
24. The computing system of claim 23, wherein the computing system is part of one of a cellular telephone, a pager, a portable computer, a desktop computer, and a two-way radio.
25. A process comprising:
forming an interconnect channel in an interposer;
plating the interconnect channel with an electrical conductor;
removing metal spaced apart from the interconnect channel;
filling the interconnect channel with an electrical conductor;
forming a recess in the interposer to accommodate a microelectronic die;
mating the interposer to a coreless mounting substrate, wherein the recess projects a footprint onto the coreless substrate; and
attaching a microelectronic die to the coreless mounting substrate at the recess footprint.
26. The process of claim 25, further including forming an underfill between the microelectronic die and the coreless substrate.
27. The process of claim 25, wherein attaching the microelectronic die precedes mating the interposer to the coreless mounting substrate, and further including forming an underfill between the microelectronic die and the coreless substrate.
28. The process of claim 25, wherein the interposer is part of an unsingulated array of interposers, the method further including singulating the array of interposers after mating the interposer to the coreless mounting substrate.
29. The process of claim 25, wherein the interposer is part of an unsingulated array of interposers, the method further including singulating the array of interposers after attaching the microelectronic die to the coreless mounting substrate at the recess.
30. The process of claim 25, wherein the coreless mounting substrate, the die, and the interposer is a bottom package, the process further including:
forming an inter-package solder bump at the electrical conductor; and assembling a top package to the bottom package by coupling the top package to the inter-package solder bump.
31. The process of claim 25, wherein the coreless mounting substrate, the die, and the interposer is a bottom package, the process further including:
forming an inter-package solder bump at the electrical conductor; and assembling a top package to the bottom package by coupling the top package to the inter-package solder bump, wherein the top package includes a top mounting substrate and a wire-bonded die disposed on the top mounting substrate.
32. The process of claim 25, wherein the coreless mounting substrate, the die, and the interposer is a bottom package, the process further including:
forming an inter-package solder bump at the electrical conductor; and
assembling a top package to the bottom package by coupling the top package to the inter-package solder bump, wherein the top package includes a top mounting substrate and a flip-chip die disposed on the top mounting substrate.
33. The process of claim 25, wherein the coreless mounting substrate, the die, and the interposer is a bottom package, the process further including:
forming an inter-package solder bump at the electrical conductor; and
assembling a top package to the bottom package by coupling the top package to the inter-package solder bump, wherein the top package includes a top mounting substrate, a flip-chip die disposed above the top mounting substrate, and a wire-bonded die disposed above the top mounting substrate.
34. An apparatus, comprising:
in a bottom package a first die flip-chip mounted upon a first mounting substrate at a chip-bonding pad on a die side thereof, wherein the first mounting substrate is bumped with a land side bump on a land side thereof;
in a top package a top first die wirebonded to a top mounting substrate, and a wirebonded top subsequent die also mounted on the top mounting substrate;
wherein the top package is electrically coupled to the bottom package;
an overmold material that contacts the top mounting substrate, the top first die, and the top subsequent die;
wherein the first die is disposed between the first mounting substrate and the top mounting substrate.
35. The apparatus of claim 34, wherein the top package and the bottom package are electrically coupled through an interposer.
36. The apparatus of claim 34, wherein the top package includes a core.
37. The apparatus of claim 34, wherein the top package includes a core and wherein the bottom package is coreless.
38. The apparatus of claim 34, wherein the top package subsequent die is disposed laterally symmetrical and also vertical to the top package first die.
39. An apparatus, comprising:
in a bottom package a first die flip-chip mounted upon a first mounting substrate at a chip-bonding pad on a die side thereof, wherein the first mounting substrate is bumped with a land side bump on a land side thereof;
in top POP package a top package first die disposed on a top mounting substrate, and a top package subsequent die disposed above the top package first die;
wherein the top package is electrically coupled to the bottom package through a POP connector;
an overmold material that contacts the first mounting substrate, the top mounting substrate, the top package first die, the top package subsequent die;
wherein the first die is disposed between the first mounting substrate and the top mounting substrate.
40. The apparatus of claim 39, wherein the top package and the bottom package are electrically coupled through an interposer.
41. The apparatus of claim 39, wherein the top package includes a core.
42. The apparatus of claim 39, wherein the top package includes a core and wherein the bottom package is coreless.
43. The apparatus of claim 39, wherein the top package subsequent die is disposed laterally symmetrical and also vertical to the top package first die.
US14/665,730 2009-12-16 2015-03-23 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same Abandoned US20150201497A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/665,730 US20150201497A1 (en) 2009-12-16 2015-03-23 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
MYPI20095412 2009-12-16
US12/890,345 US8987896B2 (en) 2009-12-16 2010-09-24 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same
US14/665,730 US20150201497A1 (en) 2009-12-16 2015-03-23 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/890,345 Division US8987896B2 (en) 2009-12-16 2010-09-24 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same

Publications (1)

Publication Number Publication Date
US20150201497A1 true US20150201497A1 (en) 2015-07-16

Family

ID=44141998

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/890,345 Active US8987896B2 (en) 2009-12-16 2010-09-24 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same
US14/665,730 Abandoned US20150201497A1 (en) 2009-12-16 2015-03-23 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/890,345 Active US8987896B2 (en) 2009-12-16 2010-09-24 High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same

Country Status (1)

Country Link
US (2) US8987896B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150087083A1 (en) * 2012-06-11 2015-03-26 Shinkawa Ltd. Bonding apparatus and method of manufacturing semiconductor device
US20170179080A1 (en) * 2015-12-18 2017-06-22 Intel Corporation Semiconductor package interposer having encapsulated interconnects

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG130055A1 (en) * 2005-08-19 2007-03-20 Micron Technology Inc Microelectronic devices, stacked microelectronic devices, and methods for manufacturing microelectronic devices
KR101739742B1 (en) * 2010-11-11 2017-05-25 삼성전자 주식회사 Semiconductor package and semiconductor system comprising the same
DE102010055627A1 (en) * 2010-12-22 2012-06-28 Epcos Ag Electrical module for insertion by automatic insertion machines by generating a vacuum
CN103748678B (en) 2011-08-16 2016-09-14 英特尔公司 For the encapsulation of big base and the offset interposer of big tube core package-on-package structure
US9842798B2 (en) 2012-03-23 2017-12-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a PoP device with embedded vertical interconnect units
US9837303B2 (en) 2012-03-23 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor method and device of forming a fan-out device with PWB vertical interconnect units
US8810024B2 (en) * 2012-03-23 2014-08-19 Stats Chippac Ltd. Semiconductor method and device of forming a fan-out PoP device with PWB vertical interconnect units
US10049964B2 (en) 2012-03-23 2018-08-14 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a fan-out PoP device with PWB vertical interconnect units
JP2014090148A (en) * 2012-10-31 2014-05-15 Kyocer Slc Technologies Corp Wiring board and method of manufacturing the same
US9230682B2 (en) * 2012-12-26 2016-01-05 Broadcom Corporation Method and system for automated device testing
US9305853B2 (en) 2013-08-30 2016-04-05 Apple Inc. Ultra fine pitch PoP coreless package
US20150221570A1 (en) * 2014-02-04 2015-08-06 Amkor Technology, Inc. Thin sandwich embedded package
US9831206B2 (en) * 2014-03-28 2017-11-28 Intel Corporation LPS solder paste based low cost fine pitch pop interconnect solutions
US9607964B2 (en) * 2014-03-28 2017-03-28 Intel Corporation Method and materials for warpage thermal and interconnect solutions
US9881859B2 (en) * 2014-05-09 2018-01-30 Qualcomm Incorporated Substrate block for PoP package
US9618937B1 (en) 2014-08-25 2017-04-11 Google Inc. Slip detection using robotic limbs
US9387896B1 (en) * 2014-08-25 2016-07-12 Google Inc. Slip avoidance
US9387588B1 (en) 2014-08-25 2016-07-12 Google Inc. Handling gait disturbances with asynchronous timing
US9502469B2 (en) * 2014-10-29 2016-11-22 Qualcomm Incorporated Electrically reconfigurable interposer with built-in resistive memory
US20160365334A1 (en) * 2015-06-09 2016-12-15 Inotera Memories, Inc. Package-on-package assembly and method for manufacturing the same
JP7007285B2 (en) 2016-02-29 2022-02-10 スモルテク アクティエボラーグ Interposer with energy storage device for nanostructures
US11355427B2 (en) * 2016-07-01 2022-06-07 Intel Corporation Device, method and system for providing recessed interconnect structures of a substrate
US20180053753A1 (en) * 2016-08-16 2018-02-22 Freescale Semiconductor, Inc. Stackable molded packages and methods of manufacture thereof
US10319698B2 (en) 2016-11-17 2019-06-11 Intel Corporation Microelectronic device package having alternately stacked die
WO2018123699A1 (en) * 2016-12-27 2018-07-05 株式会社村田製作所 High-frequency module
US10886263B2 (en) * 2017-09-29 2021-01-05 Advanced Semiconductor Engineering, Inc. Stacked semiconductor package assemblies including double sided redistribution layers
US11264315B2 (en) * 2017-09-29 2022-03-01 Intel Corporation Electronic package with passive component between substrates
US10685948B1 (en) 2018-11-29 2020-06-16 Apple Inc. Double side mounted large MCM package with memory channel length reduction
KR102609138B1 (en) * 2019-04-29 2023-12-05 삼성전기주식회사 Printed circuit board assembly

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5774340A (en) * 1996-08-28 1998-06-30 International Business Machines Corporation Planar redistribution structure and printed wiring device
US20010004130A1 (en) * 1999-12-16 2001-06-21 Mitsutoshi Higashi Semiconductor device and production method thereof
US6350365B1 (en) * 1999-08-12 2002-02-26 Shinko Electric Industries Co., Ltd Method of producing multilayer circuit board
US20040227227A1 (en) * 2003-05-15 2004-11-18 Fujitsu Limited Aerosol deposition process
US20040245614A1 (en) * 2003-06-03 2004-12-09 Casio Computer Co., Ltd. Semiconductor package having semiconductor constructing body and method of manufacturing the same
US20050161803A1 (en) * 2004-01-27 2005-07-28 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
US20070069272A1 (en) * 2005-09-26 2007-03-29 Casio Computer Co., Ltd. Semiconductor device comprising a Plurality of semiconductor constructs
US20070285884A1 (en) * 2004-07-29 2007-12-13 Micron Technology, Inc. Interposer with flexible solder pad elements
US7339118B1 (en) * 1997-03-13 2008-03-04 Ibiden Co., Ltd. Printed wiring board and method for manufacturing the same
US20080185704A1 (en) * 2007-02-02 2008-08-07 Phoenix Precision Technology Corporation Carrier plate structure havign a chip embedded therein and the manufacturing method of the same
US20080251913A1 (en) * 2006-09-14 2008-10-16 Nec Electronics Corporation Semiconductor device including wiring substrate having element mounting surface coated by resin layer
US20090014859A1 (en) * 2007-07-12 2009-01-15 Micron Technology, Inc. Interconnects for packaged semiconductor devices and methods for manufacturing such devices
US20090079071A1 (en) * 2007-09-25 2009-03-26 Bucknell Chapman Webb Stress relief structures for silicon interposers
US20090194866A1 (en) * 2008-01-31 2009-08-06 Casio Computer Co., Ltd. Semiconductor device having wiring line and manufacturing method thereof
US20090194885A1 (en) * 2008-01-31 2009-08-06 Casio Computer Co., Ltd. Semiconductor device having wiring line and manufacturing method thereof
US7585702B1 (en) * 2005-11-08 2009-09-08 Altera Corporation Structure and assembly procedure for low stress thin die flip chip packages designed for low-K Si and thin core substrate
US20100055392A1 (en) * 2008-08-27 2010-03-04 Advanced Semiconductor Engineering, Inc. Method of fabricating multi-layered substrate and the substrate thereof
US20100314254A1 (en) * 2009-06-11 2010-12-16 Shinko Electric Industries Co., Ltd. Method of manufacturing wiring substrate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5790384A (en) * 1997-06-26 1998-08-04 International Business Machines Corporation Bare die multiple dies for direct attach
US5977640A (en) * 1998-06-26 1999-11-02 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6618267B1 (en) * 1998-09-22 2003-09-09 International Business Machines Corporation Multi-level electronic package and method for making same
JP2001024150A (en) * 1999-07-06 2001-01-26 Sony Corp Semiconductor device
US6930256B1 (en) * 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
US6906415B2 (en) * 2002-06-27 2005-06-14 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor devices and methods
US7573136B2 (en) * 2002-06-27 2009-08-11 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor device components
US7413995B2 (en) * 2004-08-23 2008-08-19 Intel Corporation Etched interposer for integrated circuit devices
US7217994B2 (en) * 2004-12-01 2007-05-15 Kyocera Wireless Corp. Stack package for high density integrated circuits
TWI284394B (en) * 2005-05-12 2007-07-21 Advanced Semiconductor Eng Lid used in package structure and the package structure of having the same
US7518226B2 (en) * 2007-02-06 2009-04-14 Stats Chippac Ltd. Integrated circuit packaging system with interposer
KR100865125B1 (en) * 2007-06-12 2008-10-24 삼성전기주식회사 Semiconductor and method for manufacturing thereof
US7709944B2 (en) * 2007-12-18 2010-05-04 Stats Chippac Ltd. Integrated circuit package system with package integration
US7800212B2 (en) * 2007-12-27 2010-09-21 Stats Chippac Ltd. Mountable integrated circuit package system with stacking interposer
US9236319B2 (en) * 2008-02-29 2016-01-12 Stats Chippac Ltd. Stacked integrated circuit package system
US7952182B2 (en) * 2008-06-30 2011-05-31 Intel Corporation Semiconductor device with package to package connection
US8823160B2 (en) * 2008-08-22 2014-09-02 Stats Chippac Ltd. Integrated circuit package system having cavity
US20100327419A1 (en) * 2009-06-26 2010-12-30 Sriram Muthukumar Stacked-chip packages in package-on-package apparatus, methods of assembling same, and systems containing same
US8653654B2 (en) * 2009-12-16 2014-02-18 Stats Chippac Ltd. Integrated circuit packaging system with a stackable package and method of manufacture thereof
US8378480B2 (en) * 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5774340A (en) * 1996-08-28 1998-06-30 International Business Machines Corporation Planar redistribution structure and printed wiring device
US7339118B1 (en) * 1997-03-13 2008-03-04 Ibiden Co., Ltd. Printed wiring board and method for manufacturing the same
US6350365B1 (en) * 1999-08-12 2002-02-26 Shinko Electric Industries Co., Ltd Method of producing multilayer circuit board
US20010004130A1 (en) * 1999-12-16 2001-06-21 Mitsutoshi Higashi Semiconductor device and production method thereof
US20040227227A1 (en) * 2003-05-15 2004-11-18 Fujitsu Limited Aerosol deposition process
US20040245614A1 (en) * 2003-06-03 2004-12-09 Casio Computer Co., Ltd. Semiconductor package having semiconductor constructing body and method of manufacturing the same
US20050161803A1 (en) * 2004-01-27 2005-07-28 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
US20070285884A1 (en) * 2004-07-29 2007-12-13 Micron Technology, Inc. Interposer with flexible solder pad elements
US20070069272A1 (en) * 2005-09-26 2007-03-29 Casio Computer Co., Ltd. Semiconductor device comprising a Plurality of semiconductor constructs
US7585702B1 (en) * 2005-11-08 2009-09-08 Altera Corporation Structure and assembly procedure for low stress thin die flip chip packages designed for low-K Si and thin core substrate
US20080251913A1 (en) * 2006-09-14 2008-10-16 Nec Electronics Corporation Semiconductor device including wiring substrate having element mounting surface coated by resin layer
US20080185704A1 (en) * 2007-02-02 2008-08-07 Phoenix Precision Technology Corporation Carrier plate structure havign a chip embedded therein and the manufacturing method of the same
US20090014859A1 (en) * 2007-07-12 2009-01-15 Micron Technology, Inc. Interconnects for packaged semiconductor devices and methods for manufacturing such devices
US20090079071A1 (en) * 2007-09-25 2009-03-26 Bucknell Chapman Webb Stress relief structures for silicon interposers
US20090194866A1 (en) * 2008-01-31 2009-08-06 Casio Computer Co., Ltd. Semiconductor device having wiring line and manufacturing method thereof
US20090194885A1 (en) * 2008-01-31 2009-08-06 Casio Computer Co., Ltd. Semiconductor device having wiring line and manufacturing method thereof
US20100055392A1 (en) * 2008-08-27 2010-03-04 Advanced Semiconductor Engineering, Inc. Method of fabricating multi-layered substrate and the substrate thereof
US20100314254A1 (en) * 2009-06-11 2010-12-16 Shinko Electric Industries Co., Ltd. Method of manufacturing wiring substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150087083A1 (en) * 2012-06-11 2015-03-26 Shinkawa Ltd. Bonding apparatus and method of manufacturing semiconductor device
US9385104B2 (en) * 2012-06-11 2016-07-05 Shinkawa Ltd. Bonding apparatus
US20170179080A1 (en) * 2015-12-18 2017-06-22 Intel Corporation Semiconductor package interposer having encapsulated interconnects

Also Published As

Publication number Publication date
US8987896B2 (en) 2015-03-24
US20110140268A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
US8987896B2 (en) High-density inter-package connections for ultra-thin package-on-package structures, and processes of forming same
US11217516B2 (en) Stacked-chip packages in package-on-package apparatus, methods of assembling same, and systems containing same
US20240006401A1 (en) Offset interposers for large-bottom packages and large-die package-on-package structures
US9607947B2 (en) Reliable microstrip routing for electronics components
US20210358855A1 (en) High-density interconnects for integrated circuit packages
US8502370B2 (en) Stack package structure and fabrication method thereof
KR101639989B1 (en) 3d integrated circuit package with window interposer
US8264849B2 (en) Mold compounds in improved embedded-die coreless substrates, and processes of forming same
US7560818B2 (en) Stacked structure of chips and water structure for making the same
US20140327149A1 (en) Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
US20130277831A1 (en) Semiconductor package and method of fabricating the same
US20160172292A1 (en) Semiconductor package assembly
US10998272B2 (en) Organic interposers for integrated circuit packages
TW201622079A (en) Method of embedding WLCSP components in E-WLB and E-PLB
US9111916B2 (en) In situ-built pin-grid arrays for coreless substrates, and methods of making same
SG186536A1 (en) Integrated circuit packaging system with verticalinterconnects and method of manufacture thereof
KR20070048952A (en) Multi chip package having interconnectors
US7235870B2 (en) Microelectronic multi-chip module
JP2006202997A (en) Semiconductor device and its manufacturing method
US20200051956A1 (en) Fine pitch z connections for flip chip memory architectures with interposer
KR102644598B1 (en) Semiconductor package
US20230317624A1 (en) Microelectronic Package RDL Patterns to Reduce Stress in RDLs Across Components
US20230207525A1 (en) Ic die stacking with mixed hybrid and solder bonding
US9966364B2 (en) Semiconductor package and method for fabricating the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION