US20150372100A1 - Integrated circuits having improved contacts and methods for fabricating same - Google Patents

Integrated circuits having improved contacts and methods for fabricating same Download PDF

Info

Publication number
US20150372100A1
US20150372100A1 US14/309,586 US201414309586A US2015372100A1 US 20150372100 A1 US20150372100 A1 US 20150372100A1 US 201414309586 A US201414309586 A US 201414309586A US 2015372100 A1 US2015372100 A1 US 2015372100A1
Authority
US
United States
Prior art keywords
source
contact
forming
drain region
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/309,586
Inventor
Gerd Zschätzsch
Stefan Flachowsky
Jan Hoentschel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US14/309,586 priority Critical patent/US20150372100A1/en
Assigned to GlobalFoundries, Inc. reassignment GlobalFoundries, Inc. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HOENTSCHEL, JAN, FLACHOWSKY, STEFAN, ZSCHÄTZSCH, Gerd
Publication of US20150372100A1 publication Critical patent/US20150372100A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present disclosure generally relates to integrated circuits having improved contacts and to improved methods for fabricating integrated circuits having contacts, and more particularly relates to integrated circuits having self-aligned contacts formed over source/drain regions and methods for fabricating integrated circuits having self-aligned contacts formed over source/drain regions.
  • Self-aligned silicide technology has been widely implemented in existing CMOS technology with polysilicon gates by forming silicide on both the polysilicon gates and source/drain regions in a self-aligned manner, so that the source/drain resistance and polysilicon gate resistance are reduced (from resistance of doped silicon), leading to good device performance and yield.
  • the self-aligned silicide process consists of depositing a layer of transition metal (e.g. Ti, Co, Ni, Al, etc.) over the partially fabricated integrated circuit followed by a rapid thermal anneal (RTA).
  • RTA rapid thermal anneal
  • chemical reaction occurs between silicon and metal to form silicide, while metal contacting silicon-oxide or other non-silicon materials remains non-reacted and does not form silicide. Edges of the silicide are aligned with the edge of the underlying silicon layer.
  • high-k metal-gate technology is the standard practice and the self-aligned silicide technology is performed on source/drain regions after polysilicon gate/spacer formation and epitaxial layer growth on source/drain regions (in gate-first flow) or after replacement gate formation (in gate-last flow).
  • Self-aligned silicide technology is also used for non-planar integrated circuits, such as with FinFET technology, and is performed on the source/drain regions after polysilicon gate/spacer formation (gate-first flow) or after replacement gate formation (gate-last flow).
  • the process typically requires formation of silicon over the stress regions. Specifically, source/drain regions are typically etched to form cavities that are filled with stress material. Then, an upper portion of the stress material is etched and replaced with a layer of undoped silicon. The self-aligned silicide process reacts a metal with the layer of undoped silicon to form a silicide contact over the stress material and aligned with the semiconductor substrate. Due to the inclusion of the layer of silicon material/silicide contact in the cavities, the amount of force exerted from the cavity is less than optimal.
  • a method for fabricating an integrated circuit includes providing a semiconductor substrate with a source/drain region. The method deposits an interlayer dielectric material over the semiconductor substrate. Further, the method etches the interlayer dielectric material to form a hole defining an exposed portion of the source/drain region. The method includes forming a contact in the hole over the exposed portion of the source/drain region and forming an interconnect in the hole over the contact.
  • a method for fabricating an integrated circuit includes providing a semiconductor substrate having an upper substrate surface. The method includes etching a cavity into the semiconductor substrate. The method fills the cavity with a stress material that has an upper stress surface substantially coplanar with the upper substrate surface. The method includes depositing an interlayer dielectric over the upper stress surface and upper substrate surface. The method further includes etching the interlayer dielectric material to expose a portion of the upper stress surface and forming a contact over the exposed portion of the upper stress surface.
  • an integrated circuit in accordance with another embodiment, includes a semiconductor substrate having a source/drain region.
  • the integrated circuit includes a contact on the source/drain region that has a first contact edge and a second contact edge.
  • the integrated circuit further includes an interconnect structure on the contact.
  • the interconnect structure has a first interconnect edge aligned with the first contact edge and a second interconnect edge aligned with the second contact edge.
  • FIGS. 1-9 are cross-sectional views illustrating a portion of an integrated circuit and a method for fabricating the integrated circuit in accordance with various embodiments herein;
  • FIG. 10 is a close-up view of a portion of the integrated circuit of FIG. 9 with structures removed for purposes of clarity.
  • Integrated circuits and methods for fabricating integrated circuits as described herein avoid issues faced in conventional processes.
  • exemplary integrated circuits and exemplary methods for fabricating integrated circuits avoid contact punch-through during contact hole formation.
  • an interlayer dielectric material is formed over areas to be contacted, such as source/drain regions or gate structures, before contacts or contact-forming layers are formed on the areas to be contacted.
  • the dielectric material is etched to form holes exposing the areas to be contacted.
  • a contact formation process is performed including, for example, deposition of a silicon material and a silicide-forming material followed by a thermal anneal process.
  • the exemplary method forms contacts in the contact holes and contact hole punch-through is avoided.
  • exemplary integrated circuits and exemplary methods for fabricating integrated circuits provide for increased or maximized stress application to channel regions under selected gate structures by filling stress region cavities with stress material.
  • the stress material is formed with an upper surface co-planar with the upper surface of the semiconductor substrate.
  • the exemplary contact formation process deposits silicon material and silicide-forming material over the upper surface of the stress material and not in the stress region cavity. As a result, the stress exerted by the stress region cavity may be optimized.
  • the process of fabricating an integrated circuit 10 begins by providing a semiconductor substrate 12 with an upper surface 14 over which gate structures 16 and 17 , spacers 18 , and other features may be formed.
  • the semiconductor substrate 12 is typically a silicon wafer and includes various doping configurations as is known in the art to define an N-channel FET (NFET) area over which NFET gate structure 16 is formed and a P-channel field effect transistor (PFET) area over which PFET gate structure 17 is formed.
  • the semiconductor substrate 12 may also include other elementary semiconductor materials such as germanium.
  • the semiconductor substrate 12 may include a compound semiconductor such as, silicon carbide, gallium arsenide, indium arsenide, or indium phosphide.
  • the semiconductor substrate 12 may optionally include an epitaxial layer (epi layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure. Further, the semiconductor substrate 12 may be formed into fin structures for use in FinFETs.
  • the semiconductor substrate further includes isolation regions 20 , such as Shallow Trench Isolation (STI), that are processed before the gate and that separate PFET active areas from NFET active areas.
  • STI Shallow Trench Isolation
  • gate structures 16 and 17 are formed overlying the semiconductor substrate 12 .
  • Each gate structure 16 and 17 can be realized as a composite structure or stack that is formed from a plurality of different layers and materials.
  • the gate structures 16 and 17 can be formed by conformally depositing layers of material, using photolithographic techniques to pattern the deposited layers of material, and selectively etching the patterned layers to form the desired size and shape for the gate structures 16 and 17 .
  • a relatively thin layer of dielectric material (commonly referred to as the gate insulator) can be initially deposited over the semiconductor substrate 12 using, for example, a sputtering, chemical vapor deposition (CVD) or atomic layer deposition (ALD) technique.
  • this gate insulator layer could be formed by growing a dielectric material, such as silicon dioxide, on exposed silicon surfaces of the semiconductor substrate 12 .
  • a gate electrode material such as a polycrystalline silicon material or a metal material (e.g., titanium nitride, tantalum nitride, tungsten nitride, or another metal nitride) is formed overlying the gate insulator layer.
  • gate processing is typically processed by first patterning a dummy polysilicon or amorphous silicon layer in the shape of the gate, acting as a placeholder until being further removed and replaced with a metal in a damascene way. This is referred to as the Replacement Metal Gate or RMG technique
  • Another insulating material may then be formed overlying the gate electrode material for use as a hard mask.
  • This insulating material (such as silicon nitride) can be deposited using, for example, a sputtering or CVD technique.
  • This insulating material can then be photolithographically patterned as desired to form a gate etch mask for etching of the gate structures 16 and 17 .
  • the underlying gate material is anisotropically etched into the desired topology that is defined by the gate etch mask. After patterning, the insulating material may remain on the gate structures 16 and 17 as gate caps. It should be appreciated that the particular composition of the gate structures 16 and 17 and the manner in which they are formed may vary from one embodiment to another, and that the brief description of the gate stack formation is not intended to be limiting or restrictive of the recited subject matter.
  • spacers 18 are formed around the sides of gate structures 16 and 17 .
  • the spacers 18 can be fabricated using conventional process steps such as material deposition, photolithography, and etching.
  • formation of the spacers 18 may begin by conformally depositing a spacer material overlying the gate structures 16 and 17 and semiconductor substrate 12 .
  • the spacer material is an appropriate insulator, such as silicon nitride, and the spacer material can be deposited in a known manner by, for example, ALD, CVD, low pressure chemical vapor deposition (LPCVD), semi-atmospheric chemical vapor deposition (SACVD), or plasma enhanced chemical vapor deposition (PECVD).
  • the spacer material is anisotropically and selectively etched to define the spacers 18 .
  • the spacer material can be etched by, for example, reactive ion etching (RIE) using a suitable etching chemistry.
  • RIE reactive ion etching
  • a stress application process is performed around the PFET gate structure 17 .
  • an anisotropic etch process such as a reactive ion etch (RIE) may be performed so as to selectively remove a portion of the semiconductor substrate 12 to form cavities 28 in the semiconductor substrate 12 adjacent to the spacers 18 around the PFET gate structure 17 .
  • RIE reactive ion etch
  • the depth of the cavities 28 may be limited so as to not encroach on the buried insulating layer.
  • a stress material 30 may be formed in the cavities 28 .
  • the stress material 30 may be silicon-germanium (SiGe).
  • the stress material 30 is epitaxially grown in the cavities 28 .
  • a dielectric layer is formed over the partially fabricated integrated circuit 10 , and is patterned such as by a conventional mask and lithography process to selectively expose the cavities 28 .
  • epitaxial stress material 30 is selectively grown in the cavities 28 through exposure to a deposition ambient including for example, gaseous silicon sources such as silane (SiH 4 ), disilane (Si 2 H 6 ), and/or trisilane (Si 3 H 8 ), and gaseous germanium sources such as germane (GeH 4 ), digermane (Ge 2 H 6 ), and/or trigermane (Ge 3 H 8 ), and the like.
  • the stress material 30 is formed with an upper surface 32 that is substantially co-planar with the upper surface 14 of the semiconductor substrate 12 .
  • the upper surface 32 may result from a controlled deposition process or through a recessing process, such as planarization, that removes an overburden portion of the stress material 30 .
  • stress material 30 is formed only around PFET gate structure 17 , it is contemplated that another stress material may be formed additionally or alternatively around NFET gate structure 16 —or that no stress material be embedded in the semiconductor substrate 12 .
  • the exemplary process continues with doping of source/drain regions 34 and 35 .
  • the source/drain regions 34 and 35 may be doped before formation of the stress material 30 and/or the stress material 30 may be in-situ doped epitaxial material.
  • areas of the semiconductor substrate 12 around PFET gate structures 17 are masked while areas of semiconductor substrate 12 adjacent to NFET gate structures 16 are doped by ion implantation. Further, areas of the semiconductor substrate 12 around NFET gate structures 16 are masked while areas of semiconductor substrate 12 (and stress material 30 ) adjacent to PFET gate structures 17 are doped by ion implantation.
  • Ion implantation processes may include forming halo regions, extension regions and deep regions within the source/drain regions 34 and 35 .
  • the source/drain regions 34 and 35 have upper surfaces 38 that are co-planar with the upper surface 14 of the semiconductor substrate 12 and with the upper surface 32 of the stress material 30 .
  • the interlayer dielectric material 40 may be a layer of low dielectric constant (low-k) insulator.
  • Low-k low dielectric constant
  • a low-k insulator can be, for example, silsesquioxane-based, fluorine or carbon-doped silica glasses, organic polymers, often fluorinated, SiOCH films, and the like.
  • Interlayer dielectric material 40 can be deposited, for example, by spin on or chemical vapor deposition techniques, depending on the particular material being deposited. Unlike conventional processing, the interlayer dielectric material 40 is formed directly on the source/drain regions 34 and 35 . Specifically, contacts or contact-forming layers are not formed on the source/drain regions 34 and 35 before the interlayer dielectric material 40 is deposited.
  • the interlayer dielectric material 40 is etched to form holes 44 .
  • a conventional lithography process may be used to selectively form holes 44 over gate structures 16 and 17 and over source/drain regions 34 and 35 .
  • a mask may be deposited over the interlayer dielectric material 40 and patterned to expose portions of the interlayer dielectric material 40 .
  • an etch process is performed to remove the exposed portions of the interlayer dielectric material 40 , forming the holes 44 and exposing the gate structures 16 and 17 and source/drain regions 34 and 35 .
  • the holes 44 may be etched using a dry etching process. After the etch process, the patterned mask may be removed. As shown, the holes 44 are bound by sidewalls 46 of the interlayer dielectric material 40 .
  • the holes 44 include NFET contact holes that expose portions 52 of source/drain regions 34 , PFET contact holes that expose portions 54 of source/drain regions 35 (including stress material 30 ), and gate holes that expose portions 56 of gate structures 16 and 17 .
  • a silicon material 60 is formed on the exposed portions 52 and 54 of source/drain regions 34 and 35 and exposed portions 56 of gate structures 16 and 17 .
  • the silicon material 60 is selectively formed on the exposed portions 52 , 54 and 56 .
  • silicon material 60 may be selectively formed on the exposed portions 52 , 54 and 56 by epitaxial growth through exposure to a deposition ambient.
  • the silicon material 60 may be deposited to a thickness of from about 2 nanometer (nm) to about 20 nm.
  • a self-aligned silicidation process is performed to convert the silicon material 60 into silicide contacts 70 .
  • a silicide-forming material (not shown) is deposited over the partially fabricated integrated circuit 10 , including on the silicon material 60 in the holes 44 .
  • An exemplary silicide-forming material is nickel, though other silicide-forming metals may be used.
  • a thermal annealing process is performed to cause a silicidation reaction between the silicide-forming material and the silicon material 60 .
  • silicide contacts 70 are formed over the source/drain regions 34 and 35 and gate structures 16 and 17 .
  • the silicide contacts 70 are bound by the hole sidewalls 46 formed by the interlayer dielectric material 40 . In other words, each silicide contact 70 has a contact edge 74 formed on a respective hole sidewall 46 .
  • the exemplary method continues with the formation of interconnect structures over the contacts 70 in FIGS. 8-9 .
  • a conductive material 80 is deposited over the partially fabricated integrated circuit 10 including in the holes 44 .
  • An exemplary conductive material 80 is copper, aluminum, or another metal suitable for processing.
  • the conductive material 80 is planarized to the upper surface of the interlayer dielectric material 40 .
  • an interconnect 82 is formed over a respective contact 70 in each hole 44 .
  • each interconnect 82 is bounded by a hole sidewall 46 .
  • each interconnect 82 has an interconnect edge 84 formed on a respective hole sidewall 46 .
  • each interconnect edge 84 is aligned with a respective contact edge 74 .
  • the partially fabricated integrated circuit 10 of FIG. 9 may be processed further to form a finished integrated circuit 10 , including the deposition and patterning of additional dielectric layers and the deposition of additional conductive material layers to form a desired interconnect structure.
  • FIG. 10 is a close-up view of a contact 70 to a source/drain region 35 adjacent a PFET gate structure 17 of FIG. 9 . with certain structures removed for clarity.
  • the discussion related to FIG. 10 is applicable to any or all contacts 70 , whether formed to source/drain regions 34 , source/drain regions 35 , or to gate structures 16 or 17 .
  • contact 70 has a first contact edge 91 and a second contact edge 92 .
  • the exemplary source/drain region 35 has a first region edge 93 and a second region edge 94 .
  • the contact 70 is formed on, and in, the source/drain region 35 such that the first contact edge 91 is distanced from the first region edge 93 along the upper surface 38 by a portion 95 of the source/drain region 35 . Further, the second contact edge 92 is distanced from the second region edge 94 along the upper surface 38 by a portion 96 of the source/drain region 35 .
  • the portions 95 and 96 of the source/drain region 35 each have a width of from about 5 nm to about 30 nm (depending on the technology node and the defined pitch length).
  • contact 70 has an upper surface 72 that is distanced from the upper surface 38 of source/drain region 35 (which is co-planar with the upper surface 14 of the semiconductor substrate 12 ) by a contact height 98 .
  • the contact height 98 is from about 5 nm to about 15 nm.
  • silicide contacts may be formed to the source/drain regions and not to the metal gates.
  • embedded stress regions are formed around PFET gate structures in the illustrated embodiment, embedded stress regions may be formed around any selected gate structure, whether NFET or PFET, or may be absent from the integrated circuit.
  • an embodiment of an improved integrated circuit fabrication process is implemented to form contacts to source/drain regions. Specifically, self-aligned contacts are formed on source/drain regions after deposition of interlayer dielectric material over the source/drain regions. As a result, contact punch-through is avoided. Specifically, methods described herein do not rely on landing the contact hole etch of the interlayer dielectric material on an already-formed source/drain contact. Rather, contact holes are etched into the interlayer dielectric material to expose the source/drain regions before the source/drain contacts are formed on the exposed source/drain regions. Further, both contacts and interconnects are formed in the contact holes and are bounded by the hole sidewalls. As a result, contact edges and interconnect edges are aligned.
  • an improved integrated circuit fabrication process is implemented to provide an increased or maximized amount of stress from embedded stress regions.
  • stress region cavities are formed in the semiconductor substrate and are filled with stress material.
  • the upper surface of the stress material is formed to be co-planar with the upper surface of the semiconductor substrate.
  • Additional silicon material supporting the formation of a silicide contact is deposited over the stress material and not in the stress region cavity. As a result, the amount of stress material in the stress region cavity is maximized.
  • exemplary fabrication methods described herein result in integrated circuits having source/drain contacts with reduced resistance and improved performance. Further, exemplary fabrication methods described herein result in integrated circuits having interconnect structures formed in alignment with source/drain contacts. Also, exemplary fabrication methods described herein result in integrated circuits having stress region cavities that are filled only with stress material before contacts are formed thereto.

Abstract

Integrated circuits having improved contacts and improved methods for fabricating integrated circuits having contacts are provided. In an embodiment, a method for fabricating an integrated circuit includes providing a semiconductor substrate with a source/drain region. The method deposits an interlayer dielectric material over the semiconductor substrate. Further, the method etches the interlayer dielectric material to form a hole defining an exposed portion of the source/drain region. The method includes forming a contact forming a contact in the hole over the exposed portion of the source/drain region and forming an interconnect in the hole over the contact.

Description

    TECHNICAL FIELD
  • The present disclosure generally relates to integrated circuits having improved contacts and to improved methods for fabricating integrated circuits having contacts, and more particularly relates to integrated circuits having self-aligned contacts formed over source/drain regions and methods for fabricating integrated circuits having self-aligned contacts formed over source/drain regions.
  • BACKGROUND
  • Self-aligned silicide technology has been widely implemented in existing CMOS technology with polysilicon gates by forming silicide on both the polysilicon gates and source/drain regions in a self-aligned manner, so that the source/drain resistance and polysilicon gate resistance are reduced (from resistance of doped silicon), leading to good device performance and yield. The self-aligned silicide process consists of depositing a layer of transition metal (e.g. Ti, Co, Ni, Al, etc.) over the partially fabricated integrated circuit followed by a rapid thermal anneal (RTA). As is well-known, chemical reaction occurs between silicon and metal to form silicide, while metal contacting silicon-oxide or other non-silicon materials remains non-reacted and does not form silicide. Edges of the silicide are aligned with the edge of the underlying silicon layer.
  • In advanced CMOS processing, high-k metal-gate technology is the standard practice and the self-aligned silicide technology is performed on source/drain regions after polysilicon gate/spacer formation and epitaxial layer growth on source/drain regions (in gate-first flow) or after replacement gate formation (in gate-last flow). Self-aligned silicide technology is also used for non-planar integrated circuits, such as with FinFET technology, and is performed on the source/drain regions after polysilicon gate/spacer formation (gate-first flow) or after replacement gate formation (gate-last flow).
  • Whether used with planar or non-planar structures, conventional processes using self-aligned silicide technology can lead to yield loss in integrated circuit fabrication. Specifically, conventional processing deposits a dielectric layer over the silicide contacts, and then etches through the dielectric layer to form holes that land on the silicide contacts. The holes are then filled with conductive material to form local interconnects. Alignment of the holes with the silicide contacts can be difficult to achieve during contact hole formation. Misalignment of the contact holes with the silicide contacts leads to “contact punch-through” wherein the local interconnect formed in the contact hole lands directly on the semiconductor substrate instead of onto a silicide contact. Contact punch-through results in high contact resistance.
  • When self-aligned silicide technology is used in conjunction with stress regions that selectively modify channel mobility, the process typically requires formation of silicon over the stress regions. Specifically, source/drain regions are typically etched to form cavities that are filled with stress material. Then, an upper portion of the stress material is etched and replaced with a layer of undoped silicon. The self-aligned silicide process reacts a metal with the layer of undoped silicon to form a silicide contact over the stress material and aligned with the semiconductor substrate. Due to the inclusion of the layer of silicon material/silicide contact in the cavities, the amount of force exerted from the cavity is less than optimal.
  • Accordingly, it is desirable to provide improved integrated circuits and improved methods for fabricating integrated circuits with self-aligned contacts. In addition, it is desirable to provide integrated circuits and methods for fabricating integrated circuits that increase stress applied to channels for increased channel mobility. Furthermore, other desirable features and characteristics will become apparent from the subsequent detailed description and the appended claims, taken in conjunction with the accompanying drawings and the foregoing technical field and background.
  • BRIEF SUMMARY
  • Integrated circuits having improved contacts and improved methods for fabricating integrated circuits having contacts are provided. In accordance with one embodiment, a method for fabricating an integrated circuit includes providing a semiconductor substrate with a source/drain region. The method deposits an interlayer dielectric material over the semiconductor substrate. Further, the method etches the interlayer dielectric material to form a hole defining an exposed portion of the source/drain region. The method includes forming a contact in the hole over the exposed portion of the source/drain region and forming an interconnect in the hole over the contact.
  • In another embodiment, a method for fabricating an integrated circuit includes providing a semiconductor substrate having an upper substrate surface. The method includes etching a cavity into the semiconductor substrate. The method fills the cavity with a stress material that has an upper stress surface substantially coplanar with the upper substrate surface. The method includes depositing an interlayer dielectric over the upper stress surface and upper substrate surface. The method further includes etching the interlayer dielectric material to expose a portion of the upper stress surface and forming a contact over the exposed portion of the upper stress surface.
  • In accordance with another embodiment, an integrated circuit is provided. The integrated circuit includes a semiconductor substrate having a source/drain region. The integrated circuit includes a contact on the source/drain region that has a first contact edge and a second contact edge. The integrated circuit further includes an interconnect structure on the contact. The interconnect structure has a first interconnect edge aligned with the first contact edge and a second interconnect edge aligned with the second contact edge.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of integrated circuits having improved contacts and improved methods for fabricating integrated circuits having contacts will hereinafter be described in conjunction with the following drawing figures, wherein like numerals denote like elements, and wherein:
  • FIGS. 1-9 are cross-sectional views illustrating a portion of an integrated circuit and a method for fabricating the integrated circuit in accordance with various embodiments herein; and
  • FIG. 10 is a close-up view of a portion of the integrated circuit of FIG. 9 with structures removed for purposes of clarity.
  • DETAILED DESCRIPTION
  • The following detailed description is merely exemplary in nature and is not intended to limit the various embodiments of the integrated circuits or the methods for fabricating integrated circuits claimed herein. Furthermore, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background or brief summary, or in the following detailed description.
  • Integrated circuits and methods for fabricating integrated circuits as described herein avoid issues faced in conventional processes. For example, exemplary integrated circuits and exemplary methods for fabricating integrated circuits avoid contact punch-through during contact hole formation. In an embodiment, an interlayer dielectric material is formed over areas to be contacted, such as source/drain regions or gate structures, before contacts or contact-forming layers are formed on the areas to be contacted. Then, the dielectric material is etched to form holes exposing the areas to be contacted. After exposing the areas to be contacted, a contact formation process is performed including, for example, deposition of a silicon material and a silicide-forming material followed by a thermal anneal process. As a result, the exemplary method forms contacts in the contact holes and contact hole punch-through is avoided. Also, exemplary integrated circuits and exemplary methods for fabricating integrated circuits provide for increased or maximized stress application to channel regions under selected gate structures by filling stress region cavities with stress material. The stress material is formed with an upper surface co-planar with the upper surface of the semiconductor substrate. The exemplary contact formation process deposits silicon material and silicide-forming material over the upper surface of the stress material and not in the stress region cavity. As a result, the stress exerted by the stress region cavity may be optimized.
  • Turning now to FIG. 1, in an exemplary embodiment, the process of fabricating an integrated circuit 10 begins by providing a semiconductor substrate 12 with an upper surface 14 over which gate structures 16 and 17, spacers 18, and other features may be formed. The semiconductor substrate 12 is typically a silicon wafer and includes various doping configurations as is known in the art to define an N-channel FET (NFET) area over which NFET gate structure 16 is formed and a P-channel field effect transistor (PFET) area over which PFET gate structure 17 is formed. The semiconductor substrate 12 may also include other elementary semiconductor materials such as germanium. Alternatively, the semiconductor substrate 12 may include a compound semiconductor such as, silicon carbide, gallium arsenide, indium arsenide, or indium phosphide. Further, the semiconductor substrate 12 may optionally include an epitaxial layer (epi layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure. Further, the semiconductor substrate 12 may be formed into fin structures for use in FinFETs. The semiconductor substrate further includes isolation regions 20, such as Shallow Trench Isolation (STI), that are processed before the gate and that separate PFET active areas from NFET active areas. The detailed fabrication of STI regions 20 is well known and does not directly affect the subject matter herein.
  • As shown, gate structures 16 and 17 are formed overlying the semiconductor substrate 12. Each gate structure 16 and 17 can be realized as a composite structure or stack that is formed from a plurality of different layers and materials. In this regard, the gate structures 16 and 17 can be formed by conformally depositing layers of material, using photolithographic techniques to pattern the deposited layers of material, and selectively etching the patterned layers to form the desired size and shape for the gate structures 16 and 17. For example, a relatively thin layer of dielectric material (commonly referred to as the gate insulator) can be initially deposited over the semiconductor substrate 12 using, for example, a sputtering, chemical vapor deposition (CVD) or atomic layer deposition (ALD) technique. Alternatively, this gate insulator layer could be formed by growing a dielectric material, such as silicon dioxide, on exposed silicon surfaces of the semiconductor substrate 12. In certain embodiments, a gate electrode material, such as a polycrystalline silicon material or a metal material (e.g., titanium nitride, tantalum nitride, tungsten nitride, or another metal nitride) is formed overlying the gate insulator layer. For advanced CMOS technology, gate processing is typically processed by first patterning a dummy polysilicon or amorphous silicon layer in the shape of the gate, acting as a placeholder until being further removed and replaced with a metal in a damascene way. This is referred to as the Replacement Metal Gate or RMG technique
  • Another insulating material may then be formed overlying the gate electrode material for use as a hard mask. This insulating material (such as silicon nitride) can be deposited using, for example, a sputtering or CVD technique. This insulating material can then be photolithographically patterned as desired to form a gate etch mask for etching of the gate structures 16 and 17. The underlying gate material is anisotropically etched into the desired topology that is defined by the gate etch mask. After patterning, the insulating material may remain on the gate structures 16 and 17 as gate caps. It should be appreciated that the particular composition of the gate structures 16 and 17 and the manner in which they are formed may vary from one embodiment to another, and that the brief description of the gate stack formation is not intended to be limiting or restrictive of the recited subject matter.
  • In the exemplary embodiment, spacers 18 are formed around the sides of gate structures 16 and 17. The spacers 18 can be fabricated using conventional process steps such as material deposition, photolithography, and etching. In this regard, formation of the spacers 18 may begin by conformally depositing a spacer material overlying the gate structures 16 and 17 and semiconductor substrate 12. The spacer material is an appropriate insulator, such as silicon nitride, and the spacer material can be deposited in a known manner by, for example, ALD, CVD, low pressure chemical vapor deposition (LPCVD), semi-atmospheric chemical vapor deposition (SACVD), or plasma enhanced chemical vapor deposition (PECVD). Thereafter, the spacer material is anisotropically and selectively etched to define the spacers 18. In practice, the spacer material can be etched by, for example, reactive ion etching (RIE) using a suitable etching chemistry.
  • In FIG. 2, a stress application process is performed around the PFET gate structure 17. As shown, an anisotropic etch process, such as a reactive ion etch (RIE) may be performed so as to selectively remove a portion of the semiconductor substrate 12 to form cavities 28 in the semiconductor substrate 12 adjacent to the spacers 18 around the PFET gate structure 17. When the semiconductor substrate 12 is in the form of an SOI, the depth of the cavities 28 may be limited so as to not encroach on the buried insulating layer. As shown, a stress material 30 may be formed in the cavities 28. For example, the stress material 30 may be silicon-germanium (SiGe). In an exemplary process, the stress material 30 is epitaxially grown in the cavities 28. For example, a dielectric layer is formed over the partially fabricated integrated circuit 10, and is patterned such as by a conventional mask and lithography process to selectively expose the cavities 28. Then, epitaxial stress material 30 is selectively grown in the cavities 28 through exposure to a deposition ambient including for example, gaseous silicon sources such as silane (SiH4), disilane (Si2H6), and/or trisilane (Si3H8), and gaseous germanium sources such as germane (GeH4), digermane (Ge2H6), and/or trigermane (Ge3H8), and the like. As shown, the stress material 30 is formed with an upper surface 32 that is substantially co-planar with the upper surface 14 of the semiconductor substrate 12. The upper surface 32 may result from a controlled deposition process or through a recessing process, such as planarization, that removes an overburden portion of the stress material 30. While in the illustrated embodiment stress material 30 is formed only around PFET gate structure 17, it is contemplated that another stress material may be formed additionally or alternatively around NFET gate structure 16—or that no stress material be embedded in the semiconductor substrate 12.
  • In FIG. 3, the exemplary process continues with doping of source/ drain regions 34 and 35. In certain embodiment, the source/ drain regions 34 and 35 may be doped before formation of the stress material 30 and/or the stress material 30 may be in-situ doped epitaxial material. As is conventional, areas of the semiconductor substrate 12 around PFET gate structures 17 are masked while areas of semiconductor substrate 12 adjacent to NFET gate structures 16 are doped by ion implantation. Further, areas of the semiconductor substrate 12 around NFET gate structures 16 are masked while areas of semiconductor substrate 12 (and stress material 30) adjacent to PFET gate structures 17 are doped by ion implantation. Ion implantation processes may include forming halo regions, extension regions and deep regions within the source/ drain regions 34 and 35. As shown, the source/ drain regions 34 and 35 have upper surfaces 38 that are co-planar with the upper surface 14 of the semiconductor substrate 12 and with the upper surface 32 of the stress material 30.
  • After the structure of the partially fabricated integrated circuit 10 in FIG. 3 is obtained, the method continues in FIG. 4 with depositing an interlayer dielectric (ILD) material 40 over the gate structures 16 and 17 and the source/ drain regions 34 and 35. The interlayer dielectric material 40 may be a layer of low dielectric constant (low-k) insulator. “Low-k” material is generally defined as having a dielectric constant less than the dielectric constant of silicon dioxide (about 3.9). A low-k insulator can be, for example, silsesquioxane-based, fluorine or carbon-doped silica glasses, organic polymers, often fluorinated, SiOCH films, and the like. Interlayer dielectric material 40 can be deposited, for example, by spin on or chemical vapor deposition techniques, depending on the particular material being deposited. Unlike conventional processing, the interlayer dielectric material 40 is formed directly on the source/ drain regions 34 and 35. Specifically, contacts or contact-forming layers are not formed on the source/ drain regions 34 and 35 before the interlayer dielectric material 40 is deposited.
  • In FIG. 5, the interlayer dielectric material 40 is etched to form holes 44. A conventional lithography process may be used to selectively form holes 44 over gate structures 16 and 17 and over source/ drain regions 34 and 35. For example, a mask may be deposited over the interlayer dielectric material 40 and patterned to expose portions of the interlayer dielectric material 40. Then, an etch process is performed to remove the exposed portions of the interlayer dielectric material 40, forming the holes 44 and exposing the gate structures 16 and 17 and source/ drain regions 34 and 35. The holes 44 may be etched using a dry etching process. After the etch process, the patterned mask may be removed. As shown, the holes 44 are bound by sidewalls 46 of the interlayer dielectric material 40. The holes 44 include NFET contact holes that expose portions 52 of source/drain regions 34, PFET contact holes that expose portions 54 of source/drain regions 35 (including stress material 30), and gate holes that expose portions 56 of gate structures 16 and 17.
  • The fabrication method proceeds in FIGS. 6-7 with forming contacts to the exposed portions 52 and 54 of source/ drain regions 34 and 35 and the exposed portions 56 of gate structures 16 and 17. In FIG. 6, a silicon material 60 is formed on the exposed portions 52 and 54 of source/ drain regions 34 and 35 and exposed portions 56 of gate structures 16 and 17. In an exemplary embodiment, the silicon material 60 is selectively formed on the exposed portions 52, 54 and 56. When gate structures 16 and 17 and source/ drain regions 34 and 35 are formed from silicon material, such as polycrystalline silicon or silicon-germanium, silicon material 60 may be selectively formed on the exposed portions 52, 54 and 56 by epitaxial growth through exposure to a deposition ambient. The silicon material 60 may be deposited to a thickness of from about 2 nanometer (nm) to about 20 nm.
  • In FIG. 7, a self-aligned silicidation process is performed to convert the silicon material 60 into silicide contacts 70. For example, a silicide-forming material (not shown) is deposited over the partially fabricated integrated circuit 10, including on the silicon material 60 in the holes 44. An exemplary silicide-forming material is nickel, though other silicide-forming metals may be used. Then, a thermal annealing process is performed to cause a silicidation reaction between the silicide-forming material and the silicon material 60. As a result, silicide contacts 70 are formed over the source/ drain regions 34 and 35 and gate structures 16 and 17. The silicide contacts 70 are bound by the hole sidewalls 46 formed by the interlayer dielectric material 40. In other words, each silicide contact 70 has a contact edge 74 formed on a respective hole sidewall 46.
  • The exemplary method continues with the formation of interconnect structures over the contacts 70 in FIGS. 8-9. As shown in FIG. 8, a conductive material 80 is deposited over the partially fabricated integrated circuit 10 including in the holes 44. An exemplary conductive material 80 is copper, aluminum, or another metal suitable for processing. In FIG. 9, the conductive material 80 is planarized to the upper surface of the interlayer dielectric material 40. As a result, an interconnect 82 is formed over a respective contact 70 in each hole 44. As shown, each interconnect 82 is bounded by a hole sidewall 46. In other words, each interconnect 82 has an interconnect edge 84 formed on a respective hole sidewall 46. Further, each interconnect edge 84 is aligned with a respective contact edge 74. The partially fabricated integrated circuit 10 of FIG. 9 may be processed further to form a finished integrated circuit 10, including the deposition and patterning of additional dielectric layers and the deposition of additional conductive material layers to form a desired interconnect structure.
  • FIG. 10 is a close-up view of a contact 70 to a source/drain region 35 adjacent a PFET gate structure 17 of FIG. 9. with certain structures removed for clarity. The discussion related to FIG. 10 is applicable to any or all contacts 70, whether formed to source/drain regions 34, source/drain regions 35, or to gate structures 16 or 17. As shown, contact 70 has a first contact edge 91 and a second contact edge 92. Further, the exemplary source/drain region 35 has a first region edge 93 and a second region edge 94. The contact 70 is formed on, and in, the source/drain region 35 such that the first contact edge 91 is distanced from the first region edge 93 along the upper surface 38 by a portion 95 of the source/drain region 35. Further, the second contact edge 92 is distanced from the second region edge 94 along the upper surface 38 by a portion 96 of the source/drain region 35. In an exemplary embodiment, the portions 95 and 96 of the source/drain region 35 each have a width of from about 5 nm to about 30 nm (depending on the technology node and the defined pitch length). Also, contact 70 has an upper surface 72 that is distanced from the upper surface 38 of source/drain region 35 (which is co-planar with the upper surface 14 of the semiconductor substrate 12) by a contact height 98. In an exemplary embodiment, the contact height 98 is from about 5 nm to about 15 nm.
  • While the illustrated and described embodiments provide for formation of silicide contacts to gate structures formed from silicon material, the exemplary methods may be used during fabrication of integrated circuits with metal gates, such as replacement gates. For such embodiments, silicide contacts may be formed to the source/drain regions and not to the metal gates. Further, while embedded stress regions are formed around PFET gate structures in the illustrated embodiment, embedded stress regions may be formed around any selected gate structure, whether NFET or PFET, or may be absent from the integrated circuit.
  • As described herein, an embodiment of an improved integrated circuit fabrication process is implemented to form contacts to source/drain regions. Specifically, self-aligned contacts are formed on source/drain regions after deposition of interlayer dielectric material over the source/drain regions. As a result, contact punch-through is avoided. Specifically, methods described herein do not rely on landing the contact hole etch of the interlayer dielectric material on an already-formed source/drain contact. Rather, contact holes are etched into the interlayer dielectric material to expose the source/drain regions before the source/drain contacts are formed on the exposed source/drain regions. Further, both contacts and interconnects are formed in the contact holes and are bounded by the hole sidewalls. As a result, contact edges and interconnect edges are aligned.
  • Also, as described herein, an improved integrated circuit fabrication process is implemented to provide an increased or maximized amount of stress from embedded stress regions. Specifically, stress region cavities are formed in the semiconductor substrate and are filled with stress material. The upper surface of the stress material is formed to be co-planar with the upper surface of the semiconductor substrate. Additional silicon material supporting the formation of a silicide contact is deposited over the stress material and not in the stress region cavity. As a result, the amount of stress material in the stress region cavity is maximized.
  • To briefly summarize, exemplary fabrication methods described herein result in integrated circuits having source/drain contacts with reduced resistance and improved performance. Further, exemplary fabrication methods described herein result in integrated circuits having interconnect structures formed in alignment with source/drain contacts. Also, exemplary fabrication methods described herein result in integrated circuits having stress region cavities that are filled only with stress material before contacts are formed thereto.
  • While at least one exemplary embodiment has been presented in the foregoing detailed description, it should be appreciated that a vast number of variations exist. It should also be appreciated that the exemplary embodiment or embodiments described herein are not intended to limit the scope, applicability, or configuration of the claimed subject matter in any way. Rather, the foregoing detailed description will provide those skilled in the art with a convenient road map for implementing the described embodiment or embodiments. It should be understood that various changes can be made in the function and arrangement of elements without departing from the scope defined by the claims, which includes known equivalents and foreseeable equivalents at the time of filing this patent application.

Claims (20)

What is claimed is:
1. A method for fabricating an integrated circuit, the method comprising:
providing a semiconductor substrate with a source/drain region;
depositing an interlayer dielectric material over the semiconductor substrate;
etching the interlayer dielectric material to form a hole defining an exposed portion of the source/drain region;
forming a contact in the hole over the exposed portion of the source/drain region; and
forming an interconnect in the hole over the contact.
2. The method of claim 1 wherein the semiconductor substrate has an upper substrate surface, wherein the source/drain region has an upper source/drain surface co-planar with the upper substrate surface, and wherein forming the contact comprises forming the contact with an upper contact surface distanced from the upper source/drain surface and the upper substrate surface by a height, wherein the height is from about 1 nm to about 10 nm.
3. The method of claim 1 wherein forming the contact comprises:
selectively forming a silicon material in the hole over the exposed portion of the source/drain region;
depositing a silicide-forming material in the hole over the silicon material; and
annealing the silicide-forming material to form a silicide contact to the source/drain region.
4. The method of claim 1 wherein forming the contact comprises:
epitaxially growing a silicon material in the hole over the exposed portion of the source/drain region;
depositing a silicide-forming material in the hole over the silicon material; and
annealing the silicide-forming material to form a silicide contact to the source/drain region.
5. The method of claim 1 wherein:
the source/drain region has a first source/drain edge; and
forming the contact comprises positioning a portion of the source/drain region between the contact and the first source/drain edge.
6. The method of claim 1 wherein:
the source/drain region has a first source/drain edge and a second source/drain edge; and
forming the contact comprises positioning a first portion of the source/drain region between the contact and the first source/drain edge and positioning a second portion of the source/drain region between the contact and the second source/drain edge.
7. The method of claim 1 wherein providing the semiconductor substrate with the source/drain region comprises providing the semiconductor substrate with an upper substrate surface and providing the source/drain region with an upper source/drain surface co-planar with the upper substrate surface.
8. The method of claim 1 further comprising:
etching a portion of the source/drain region to form a source/drain cavity; and
filling the source/drain cavity with a stress material, wherein depositing the interlayer dielectric material comprises depositing the interlayer dielectric material over the stress material.
9. The method of claim 8 wherein:
providing the semiconductor substrate comprises providing the semiconductor substrate with an upper substrate surface; and
filling the source/drain cavity with the stress material comprises forming the stress material with an upper stress surface co-planar with the upper substrate surface.
10. The method of claim 9 wherein depositing the interlayer dielectric material comprises depositing the interlayer dielectric material over the upper stress surface.
11. The method of claim 1 wherein:
providing the semiconductor substrate comprises providing the semiconductor substrate with the source/drain region adjacent a transistor gate;
etching the interlayer dielectric material comprises forming a gate hole defining an exposed portion of the transistor gate; and
forming the contact over the exposed portion of the source/drain region comprises forming a gate contact over the exposed portion of the transistor gate.
12. The method of claim 1 wherein forming the interconnect comprises filling the hole with a conductive material.
13. The method of claim 1 wherein etching the interlayer dielectric material comprises landing an etch process on the source/drain region.
14. A method for fabricating an integrated circuit, the method comprising:
providing a semiconductor substrate having an upper substrate surface;
etching a cavity into the semiconductor substrate;
filling the cavity with a stress material, wherein the stress material has an upper stress surface substantially coplanar with the upper substrate surface;
depositing an interlayer dielectric material over the upper stress surface and upper substrate surface;
etching the interlayer dielectric material to define an exposed portion of the upper stress surface; and
forming a contact over the exposed portion of the upper stress surface.
15. The method of claim 14 wherein forming the contact comprises:
selectively forming a silicon material over the exposed portion of the upper stress surface;
depositing a silicide-forming material over the silicon material; and
annealing the silicide-forming material to form a silicide contact to the stress material.
16. The method of claim 14 wherein forming the contact comprises forming the contact with an upper contact surface distanced from the upper stress surface and upper substrate surface by a height, wherein the height is from about 1 nm to about 10 nm.
17. An integrated circuit comprising:
a semiconductor substrate having a source/drain region;
a contact on the source/drain region, wherein the contact has a first contact edge and a second contact edge; and
an interconnect structure on the contact, wherein the interconnect structure has a first interconnect edge aligned with the first contact edge and a second interconnect edge aligned with the second contact edge.
18. The integrated circuit of claim 17 wherein the semiconductor substrate has an upper substrate surface, wherein the integrated circuit further comprises a stress material formed in the source/drain region and having an upper stress surface coplanar with the upper substrate surface.
19. The integrated circuit of claim 17 wherein the semiconductor substrate has an upper substrate surface, and wherein the contact has an upper contact surface distanced from the upper stress surface and the upper substrate surface by a height from about 1 nm to about 10 nm.
20. The integrated circuit of claim 17 wherein:
the source/drain region has a first source/drain edge and a second source/drain edge;
a first portion of the source/drain region is located between the contact and the first source/drain edge; and
a second portion of the source/drain region is located between the contact and the second source/drain edge.
US14/309,586 2014-06-19 2014-06-19 Integrated circuits having improved contacts and methods for fabricating same Abandoned US20150372100A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/309,586 US20150372100A1 (en) 2014-06-19 2014-06-19 Integrated circuits having improved contacts and methods for fabricating same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/309,586 US20150372100A1 (en) 2014-06-19 2014-06-19 Integrated circuits having improved contacts and methods for fabricating same

Publications (1)

Publication Number Publication Date
US20150372100A1 true US20150372100A1 (en) 2015-12-24

Family

ID=54870406

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/309,586 Abandoned US20150372100A1 (en) 2014-06-19 2014-06-19 Integrated circuits having improved contacts and methods for fabricating same

Country Status (1)

Country Link
US (1) US20150372100A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160079389A1 (en) * 2014-09-16 2016-03-17 Fudan University Preparation method of semiconductor device
US9859422B2 (en) * 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20180240874A1 (en) * 2015-09-25 2018-08-23 Intel Corporation Resistance reduction under transistor spacers
US11133223B2 (en) * 2019-07-16 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selective epitaxy
US11296225B2 (en) * 2018-06-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11742400B2 (en) * 2018-08-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with deep contact structure

Citations (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5902125A (en) * 1997-12-29 1999-05-11 Texas Instruments--Acer Incorporated Method to form stacked-Si gate pMOSFETs with elevated and extended S/D junction
US5912188A (en) * 1997-08-04 1999-06-15 Advanced Micro Devices, Inc. Method of forming a contact hole in an interlevel dielectric layer using dual etch stops
US5998873A (en) * 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
US6271122B1 (en) * 1999-07-12 2001-08-07 Advanced Micro Devices, Inc. Method of compensating for material loss in a metal silicone layer in contacts of integrated circuit devices
US20020096726A1 (en) * 2000-12-26 2002-07-25 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6593217B1 (en) * 2000-03-03 2003-07-15 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
US6667233B2 (en) * 2001-12-26 2003-12-23 Hynix Semiconductor Inc Method for forming a silicide layer of semiconductor device
US20040082168A1 (en) * 2002-07-05 2004-04-29 In-Haeng Lee Method for forming metal silicide layer in active area of semiconductor device
US20040142567A1 (en) * 2002-11-07 2004-07-22 Kazuaki Nakajima Semiconductor device and method of manufacturing the same
US20050124128A1 (en) * 2003-12-08 2005-06-09 Kim Hag D. Methods for manufacturing semiconductor device
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
US20060054968A1 (en) * 2004-09-13 2006-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors
US7101791B2 (en) * 2003-12-24 2006-09-05 Hynix Semiconductor Inc. Method for forming conductive line of semiconductor device
US20070090466A1 (en) * 2004-08-16 2007-04-26 Min-Cheol Park Methods of forming electronic devices having partially elevated source/drain structures
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
US20080119031A1 (en) * 2006-11-21 2008-05-22 Rohit Pal Stress enhanced mos transistor and methods for its fabrication
US20080217776A1 (en) * 2007-03-06 2008-09-11 Stmicroelectronics S.R.L. Process for manufacturing integrated circuits formed on a semiconductor substrate and comprising tungsten layers
US20080230804A1 (en) * 2007-03-22 2008-09-25 Kabushiki Kaisha Toshiba Semiconductor device and fabrication method of same
US7586153B2 (en) * 2005-08-31 2009-09-08 Advanced Micro Devices, Inc. Technique for forming recessed strained drain/source regions in NMOS and PMOS transistors
US20090280627A1 (en) * 2008-05-12 2009-11-12 Advanced Micro Devices, Inc. Method of forming stepped recesses for embedded strain elements in a semiconductor device
US20090310431A1 (en) * 2008-06-12 2009-12-17 Elpida Memory, Inc. Semiconductor device including capacitorless ram
US20100052166A1 (en) * 2008-08-26 2010-03-04 Niloy Mukherjee Sandwiched metal structure silicidation for enhanced contact
US7696036B2 (en) * 2007-06-14 2010-04-13 International Business Machines Corporation CMOS transistors with differential oxygen content high-k dielectrics
US20100109046A1 (en) * 2008-11-03 2010-05-06 Rishabh Mehandru Methods of forming low interface resistance contacts and structures formed thereby
US20100123198A1 (en) * 2008-11-20 2010-05-20 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20100155954A1 (en) * 2008-12-18 2010-06-24 Niloy Mukherjee Methods of forming low interface resistance rare earth metal contacts and structures formed thereby
US7768074B2 (en) * 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
US7799682B2 (en) * 2006-08-31 2010-09-21 Globalfoundries Inc. Transistor having a locally provided metal silicide region in contact areas and a method of forming the transistor
US20100244107A1 (en) * 2009-03-31 2010-09-30 Stephan Kronholz Reducing silicide resistance in silicon/germanium-containing drain/source regions of transistors
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
US20110151635A1 (en) * 2009-12-21 2011-06-23 Chung-Shi Liu High temperature gate replacement process
US20120025266A1 (en) * 2010-07-30 2012-02-02 Globalfoundries Inc. Transistors Comprising High-K Metal Gate Electrode Structures and Embedded Strain-Inducing Semiconductor Alloys Formed in a Late Stage
US20120088345A1 (en) * 2010-10-12 2012-04-12 Yi-Wei Chen Method of forming silicide for contact plugs
US20120112208A1 (en) * 2010-11-09 2012-05-10 International Business Machines Corporation Stressed transistor with improved metastability
US20120205728A1 (en) * 2010-12-03 2012-08-16 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same
US20120231591A1 (en) * 2011-03-11 2012-09-13 Globalfoundries Inc. Methods for fabricating cmos integrated circuits having metal silicide contacts
US20120309158A1 (en) * 2011-06-06 2012-12-06 Wen-Han Hung Method for fabricating semiconductor device
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
US20130043539A1 (en) * 2011-08-18 2013-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interlayer dielectric structure and method making the same
US20130059434A1 (en) * 2011-09-07 2013-03-07 Tao Yang Method for manufacturing electrodes and wires in gate last process
US20130181264A1 (en) * 2012-01-17 2013-07-18 Duan Quan Liao Semiconductor structure and process thereof
US8541281B1 (en) * 2012-08-17 2013-09-24 Globalfoundries Inc. Replacement gate process flow for highly scaled semiconductor devices
US20130285157A1 (en) * 2010-11-18 2013-10-31 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
US20130295734A1 (en) * 2012-05-04 2013-11-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for forming gate, source, and drain contacts on a mos transistor
US8598033B1 (en) * 2012-10-07 2013-12-03 United Microelectronics Corp. Method for forming a salicide layer
US8603881B1 (en) * 2012-09-20 2013-12-10 International Business Machines Corporation Raised trench metal semiconductor alloy formation
US20130334693A1 (en) * 2012-06-18 2013-12-19 International Business Machines Corporation Raised silicide contact
US8772159B2 (en) * 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
US20140191312A1 (en) * 2013-01-04 2014-07-10 Samsung Electronics Co., Ltd. Semiconductor Device and Method of Forming the Same
US20140264347A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in cavities based on an amorphization process and a heat treatment
US20150187945A1 (en) * 2014-01-02 2015-07-02 Globalfoundries Inc. Salicide protection during contact metallization and resulting semiconductor structures
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US20160020301A1 (en) * 2014-07-21 2016-01-21 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices

Patent Citations (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5912188A (en) * 1997-08-04 1999-06-15 Advanced Micro Devices, Inc. Method of forming a contact hole in an interlevel dielectric layer using dual etch stops
US5902125A (en) * 1997-12-29 1999-05-11 Texas Instruments--Acer Incorporated Method to form stacked-Si gate pMOSFETs with elevated and extended S/D junction
US5998873A (en) * 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
US6271122B1 (en) * 1999-07-12 2001-08-07 Advanced Micro Devices, Inc. Method of compensating for material loss in a metal silicone layer in contacts of integrated circuit devices
US6593217B1 (en) * 2000-03-03 2003-07-15 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
US20020096726A1 (en) * 2000-12-26 2002-07-25 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6667233B2 (en) * 2001-12-26 2003-12-23 Hynix Semiconductor Inc Method for forming a silicide layer of semiconductor device
US20040082168A1 (en) * 2002-07-05 2004-04-29 In-Haeng Lee Method for forming metal silicide layer in active area of semiconductor device
US20040142567A1 (en) * 2002-11-07 2004-07-22 Kazuaki Nakajima Semiconductor device and method of manufacturing the same
US20050124128A1 (en) * 2003-12-08 2005-06-09 Kim Hag D. Methods for manufacturing semiconductor device
US7101791B2 (en) * 2003-12-24 2006-09-05 Hynix Semiconductor Inc. Method for forming conductive line of semiconductor device
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
US20070090466A1 (en) * 2004-08-16 2007-04-26 Min-Cheol Park Methods of forming electronic devices having partially elevated source/drain structures
US20060054968A1 (en) * 2004-09-13 2006-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors
US7586153B2 (en) * 2005-08-31 2009-09-08 Advanced Micro Devices, Inc. Technique for forming recessed strained drain/source regions in NMOS and PMOS transistors
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
US7799682B2 (en) * 2006-08-31 2010-09-21 Globalfoundries Inc. Transistor having a locally provided metal silicide region in contact areas and a method of forming the transistor
US20080119031A1 (en) * 2006-11-21 2008-05-22 Rohit Pal Stress enhanced mos transistor and methods for its fabrication
US20080217776A1 (en) * 2007-03-06 2008-09-11 Stmicroelectronics S.R.L. Process for manufacturing integrated circuits formed on a semiconductor substrate and comprising tungsten layers
US20080230804A1 (en) * 2007-03-22 2008-09-25 Kabushiki Kaisha Toshiba Semiconductor device and fabrication method of same
US7696036B2 (en) * 2007-06-14 2010-04-13 International Business Machines Corporation CMOS transistors with differential oxygen content high-k dielectrics
US20090280627A1 (en) * 2008-05-12 2009-11-12 Advanced Micro Devices, Inc. Method of forming stepped recesses for embedded strain elements in a semiconductor device
US20090310431A1 (en) * 2008-06-12 2009-12-17 Elpida Memory, Inc. Semiconductor device including capacitorless ram
US20100052166A1 (en) * 2008-08-26 2010-03-04 Niloy Mukherjee Sandwiched metal structure silicidation for enhanced contact
US20100109046A1 (en) * 2008-11-03 2010-05-06 Rishabh Mehandru Methods of forming low interface resistance contacts and structures formed thereby
US20100123198A1 (en) * 2008-11-20 2010-05-20 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20100155954A1 (en) * 2008-12-18 2010-06-24 Niloy Mukherjee Methods of forming low interface resistance rare earth metal contacts and structures formed thereby
US7768074B2 (en) * 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
US20100244107A1 (en) * 2009-03-31 2010-09-30 Stephan Kronholz Reducing silicide resistance in silicon/germanium-containing drain/source regions of transistors
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
US20110151635A1 (en) * 2009-12-21 2011-06-23 Chung-Shi Liu High temperature gate replacement process
US20120025266A1 (en) * 2010-07-30 2012-02-02 Globalfoundries Inc. Transistors Comprising High-K Metal Gate Electrode Structures and Embedded Strain-Inducing Semiconductor Alloys Formed in a Late Stage
US20120088345A1 (en) * 2010-10-12 2012-04-12 Yi-Wei Chen Method of forming silicide for contact plugs
US20120112208A1 (en) * 2010-11-09 2012-05-10 International Business Machines Corporation Stressed transistor with improved metastability
US20130285157A1 (en) * 2010-11-18 2013-10-31 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
US20120205728A1 (en) * 2010-12-03 2012-08-16 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same
US20120231591A1 (en) * 2011-03-11 2012-09-13 Globalfoundries Inc. Methods for fabricating cmos integrated circuits having metal silicide contacts
US20120309158A1 (en) * 2011-06-06 2012-12-06 Wen-Han Hung Method for fabricating semiconductor device
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
US20130043539A1 (en) * 2011-08-18 2013-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interlayer dielectric structure and method making the same
US20130059434A1 (en) * 2011-09-07 2013-03-07 Tao Yang Method for manufacturing electrodes and wires in gate last process
US20130181264A1 (en) * 2012-01-17 2013-07-18 Duan Quan Liao Semiconductor structure and process thereof
US8772159B2 (en) * 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
US20130295734A1 (en) * 2012-05-04 2013-11-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for forming gate, source, and drain contacts on a mos transistor
US20130334693A1 (en) * 2012-06-18 2013-12-19 International Business Machines Corporation Raised silicide contact
US8541281B1 (en) * 2012-08-17 2013-09-24 Globalfoundries Inc. Replacement gate process flow for highly scaled semiconductor devices
US8603881B1 (en) * 2012-09-20 2013-12-10 International Business Machines Corporation Raised trench metal semiconductor alloy formation
US8598033B1 (en) * 2012-10-07 2013-12-03 United Microelectronics Corp. Method for forming a salicide layer
US20140191312A1 (en) * 2013-01-04 2014-07-10 Samsung Electronics Co., Ltd. Semiconductor Device and Method of Forming the Same
US20140264347A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in cavities based on an amorphization process and a heat treatment
US20150187945A1 (en) * 2014-01-02 2015-07-02 Globalfoundries Inc. Salicide protection during contact metallization and resulting semiconductor structures
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US20160020301A1 (en) * 2014-07-21 2016-01-21 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160079389A1 (en) * 2014-09-16 2016-03-17 Fudan University Preparation method of semiconductor device
US9859422B2 (en) * 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US20180240874A1 (en) * 2015-09-25 2018-08-23 Intel Corporation Resistance reduction under transistor spacers
US20190229119A1 (en) * 2016-01-29 2019-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20180158820A1 (en) * 2016-01-29 2018-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10269797B2 (en) * 2016-01-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10515961B2 (en) * 2016-01-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11127742B2 (en) 2016-01-29 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11296225B2 (en) * 2018-06-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11855213B2 (en) 2018-06-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11742400B2 (en) * 2018-08-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with deep contact structure
US11133223B2 (en) * 2019-07-16 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selective epitaxy

Similar Documents

Publication Publication Date Title
US20210272952A1 (en) A method of manufacturing a semiconductor device and a semiconductor device
US11817499B2 (en) P-type strained channel in a fin field effect transistor (FinFET) device
US10090300B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9911735B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9219152B2 (en) Semiconductor device with a buried stressor
US8030144B2 (en) Semiconductor device with stressed fin sections, and related fabrication methods
KR101435710B1 (en) High gate density devices and methods
US9607901B2 (en) Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology
US8466034B2 (en) Method of manufacturing a finned semiconductor device structure
US20150372100A1 (en) Integrated circuits having improved contacts and methods for fabricating same
US20150311340A1 (en) Source/Drain Profile for FinFET
TW202029417A (en) Semiconductor device and method of forming the same
US11393916B2 (en) Methods for GAA I/O formation by selective epi regrowth
US10943818B2 (en) Semiconductor device and method
TW201711157A (en) Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9437740B2 (en) Epitaxially forming a set of fins in a semiconductor device
US11088264B2 (en) Self-aligned channel-only semiconductor-on-insulator field effect transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES, INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZSCHAETZSCH, GERD;FLACHOWSKY, STEFAN;HOENTSCHEL, JAN;SIGNING DATES FROM 20140603 TO 20140605;REEL/FRAME:033142/0732

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117