US20160260588A1 - SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS - Google Patents

SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS Download PDF

Info

Publication number
US20160260588A1
US20160260588A1 US15/158,396 US201615158396A US2016260588A1 US 20160260588 A1 US20160260588 A1 US 20160260588A1 US 201615158396 A US201615158396 A US 201615158396A US 2016260588 A1 US2016260588 A1 US 2016260588A1
Authority
US
United States
Prior art keywords
gas stream
plasma
etch
flow
activated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/158,396
Inventor
Seung Park
Anchuan Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/158,396 priority Critical patent/US20160260588A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PARK, SEUNG, WANG, ANCHUAN
Publication of US20160260588A1 publication Critical patent/US20160260588A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present disclosure applies broadly to the field of plasma processing equipment. More specifically, systems and methods for managing selectivity of plasma etches are disclosed.
  • Plasma processing often utilizes plasma processing to etch, clean or deposit material on semiconductor wafers.
  • Plasma etching often targets a particular material, but may also affect other materials that are present on the same wafer, or other workpiece.
  • Selectivity of a plasma etch is often defined as a ratio of an etch rate of a target material to the etch rate of the same etch to another material. It is often advantageous for selectivity to be very high, such that the target material can be etched with little effect on other materials.
  • a tunable plasma etch process includes generating a plasma in a controlled flow of a source gas including NH 3 and NF 3 to form a stream of plasma products, controlling a flow of un-activated NH 3 that is added to the stream of plasma products to form an etch gas stream; and controlling pressure of the etch gas stream by adjusting at least one of the controlled flow of the source gas and the flow of un-activated NH 3 until the pressure is within a tolerance of a desired pressure.
  • An etch rate of at least one of polysilicon and silicon dioxide by the etch gas stream is adjustable by varying a ratio of the controlled flow of the source gas to the flow of un-activated NH 3 .
  • a plasma etch processing system that etches silicon and silicon dioxide with tunable selectivity includes a first NH 3 flow controller, an NF 3 flow controller, and a remote plasma source configured to apply RF energy to a plasma source gas stream controlled by the first NH 3 flow controller and the NF 3 flow controller, to generate a plasma product stream from the plasma source gas stream.
  • the processing system further includes a second NH 3 flow controller configured to control an un-activated NH 3 gas stream, apparatus for adding the un-activated NH 3 gas stream to the plasma product stream to form an etch gas stream, and a process chamber configured to expose a workpiece to the etch gas stream.
  • Etch rates of at least one of polysilicon and silicon dioxide by the etch gas stream on the workpiece are adjustable at least by varying a ratio of the plasma source gas stream to the un-activated NH 3 gas stream.
  • a plasma etch processing system includes a process chamber configured to expose a workpiece to an etch gas stream, and a plasma source that generates a plasma product stream from a source gas stream that includes NH 3 and NF 3 .
  • the system also includes means for controlling a first NH 3 flow and an NF 3 flow of the source gas stream, and means for controlling a second NH 3 flow of an un-activated NH 3 gas stream.
  • the system also includes a diffuser plate disposed between the plasma source and the process chamber that allows the plasma product stream to flow through the diffuser plate toward the process chamber, and adds the un-activated NH 3 gas stream only on a process chamber side of the diffuser plate, to form an etch gas stream.
  • the system also includes a controller for controlling the plasma source, the means for controlling the first NH 3 flow and the NF 3 flow of the source gas stream, and the means for controlling the second NH 3 flow of the un-activated NH 3 gas stream, such that the controller adjusts etch rates of at least one of polysilicon and silicon dioxide by the etch gas stream on the workpiece by varying a ratio of the un-activated NH 3 gas stream to the source gas stream.
  • FIG. 1 schematically illustrates major elements of a plasma processing system, according to an embodiment.
  • FIG. 2 schematically illustrates major elements of a plasma processing system, in a cross-sectional view, according to an embodiment.
  • FIG. 3 is a flowchart of a tunable plasma etch process, according to an embodiment.
  • FIG. 4 shows a graph that illustrates test etch rate results of a Si etch having tunable selectivity to SiO 2 , according to an embodiment.
  • FIG. 5 illustrates an SiO 2 region on a wafer section, forming trenches that are lined with TiN, according to an embodiment.
  • FIG. 6 illustrates the SiO 2 region of FIG. 5 as including a significant fraction of Si, according to an embodiment.
  • FIG. 7 illustrates the result of etching the wafer section of FIG. 5 with a Si etch having tunable selectivity to SiO 2 to form a modified wafer section, according to an embodiment.
  • FIGS. 8A, 8B and 8C schematically illustrate a process sequence in which trace amounts of SiO 2 are not successfully removed by prior art processing.
  • FIGS. 9A, 9B, 9C and 9D schematically show how the structure shown in FIGS. 8A, 8B and 8C can be more successfully processed with a Si etch with tunable selectivity to oxide, according to embodiments herein.
  • FIG. 1 schematically illustrates major elements of a plasma processing system 100 , according to an embodiment.
  • System 100 is depicted as a single wafer, semiconductor wafer plasma processing system, but it will be apparent to one skilled in the art that the techniques and principles herein are applicable to plasma generation systems of any type (e.g., systems that do not necessarily process wafers or semiconductors).
  • FIG. 1 is a simplified diagram illustrating only selected, major elements of system 100 ; an actual processing system will accordingly look different and likely contain additional elements as compared with system 100 .
  • Processing system 100 includes a housing 110 for at least a wafer interface 115 , a user interface 120 , a plasma processing unit 130 , a controller 140 , one or more flow controller(s) 156 and one or more power supplies 150 .
  • Processing system 100 is supported by various utilities that may include gas(es) 155 , electrical power 170 , vacuum 160 and optionally others; within system 100 , controller 140 may control use of any or all of such utilities. Internal plumbing and electrical connections within processing system 100 are not shown, for clarity of illustration.
  • Processing system 100 is illustrated as a so-called indirect, or remote, plasma processing system that generates a plasma in a first location and directs the plasma and/or plasma products (e.g., ions, molecular fragments, free radicals, energized species and the like) to a second location where processing occurs.
  • plasma processing unit 130 includes a remote plasma source 132 that supplies plasma and/or plasma products for a process chamber 134 .
  • Process chamber 134 includes one or more wafer pedestals 135 , to which wafer interface 115 delivers and retrieves workpieces 50 (e.g., a semiconductor wafer, but could be a different type of workpiece) for processing.
  • workpieces 50 e.g., a semiconductor wafer, but could be a different type of workpiece
  • Wafer pedestal 135 is heated and/or cooled by a wafer heating/cooling apparatus 117 which could include, in embodiments, resistive heaters, other types of heaters, or cooling fluids.
  • gas(es) 155 are introduced into plasma source 132 and a radio frequency generator (RF Gen) 165 supplies power to ignite a plasma within plasma source 132 .
  • Plasma and/or plasma products pass from plasma source 132 through at least a diffuser plate 137 to process chamber 134 , where workpiece 50 is processed.
  • RF Gen radio frequency generator
  • An actual plasma system may provide many other optional features or subsystems through which plasma and/or plasma products flow and/or mix between plasma source 132 and process chamber 134 , and may include sensors 118 that measure parameters such as pressures, temperatures, optical emissions and the like at wafer pedestal 135 , within process chamber 134 as shown, and possibly at other locations within processing system 100 .
  • flow controllers may be, or include, mass flow controllers, valves, needle valves, and pressure regulators.
  • Various control schemes affecting conditions in process chamber 105 are possible.
  • a pressure may be maintained by monitoring the pressure in process chamber 134 and adjusting all gas flows upwards or downwards until the measured pressure is within some tolerance of a desired pressure.
  • the pressure may be controllable within a range of about 0.5 Torr to 10 Torr; in certain embodiments, controlling pressure around 2 Torr or 6 Torr may be advantageous.
  • Temperatures can be controlled by adding heaters and temperature sensors to process chamber 134 and/or wafer pedestal 135 .
  • Optical sensors may detect emission peaks of plasmas as-generated and/or as they interact with workpieces.
  • system 100 Internal connections and cooperation of the elements illustrated within system 100 are also not shown for clarity of illustration.
  • other representative utilities such as vacuum 160 and/or general purpose electrical power 170 may connect with system 100 .
  • the utilities illustrated as connected with system 100 are intended as illustrative rather than exhaustive; other types of utilities such as heating or cooling fluids, pressurized air, network capabilities, waste disposal systems and the like may also be connected with system 100 , but are not shown for clarity of illustration.
  • plasma is ignited within remote plasma source 132
  • the principles discussed below are equally applicable to so-called “direct” plasma systems that create a plasma in a the actual location of workpiece processing.
  • FIG. 1 Although an indirect plasma processing system is illustrated in FIG. 1 and elsewhere in this disclosure, it should be clear to one skilled in the art that the techniques, apparatus and methods disclosed herein may also be applicable to direct plasma processing systems—e.g., where a plasma is ignited at the location of the workpiece(s).
  • the components of processing system 100 may be reorganized, redistributed and/or duplicated, for example: (1) to provide a single processing system with multiple process chambers; (2) to provide multiple remote plasma sources for a single process chamber; (3) to provide multiple workpiece fixtures (e.g., wafer pedestals 135 ) within a single process chamber; (4) to utilize a single remote plasma source to supply plasma products to multiple process chambers; and/or (5) to provide plasma and gas sources in serial/parallel combinations such that various source gases may be activated (e.g., exist at least temporarily as part of a plasma) zero, one, two or more times, and mixed with other source gases before or after they enter a process chamber, and the like. Gases that have not been part of a plasma are sometimes referred to as “un-activated” gases herein.
  • FIG. 2 schematically illustrates major elements of a plasma processing system 200 , in a cross-sectional view, according to an embodiment.
  • Plasma processing system 200 is an example of plasma processing unit 130 , FIG. 1 .
  • Plasma processing system 200 includes a process chamber 205 and a plasma source 210 .
  • plasma source 210 introduces gases 155 ( 1 ) directly, and/or gases 155 ( 2 ) that become plasma products in a further remote plasma source 202 , as plasma input gas 212 , through an RF electrode 215 .
  • RF electrode 215 includes (e.g., is electrically tied to) a first gas diffuser 220 and a face plate 225 that serve to redirect flow of the source gases so that gas flow is uniform across plasma source 210 , as indicated by small arrows 226 .
  • an insulator 230 electrically insulates RF electrode 215 from a diffuser 235 that is held at electrical ground (e.g., diffuser 235 serves as a second electrode counterfacing face plate 225 of RF electrode 215 ).
  • RF electrode 215 , diffuser 235 and insulator 230 define a plasma generation cavity where a capacitively coupled plasma 245 is created when the source gases are present and RF energy is provided through RF electrode 215 .
  • RF electrode 215 and diffuser 235 may be formed of any conductor, and in embodiments are formed of aluminum (or an aluminum alloy, such as the known “6061” alloy type).
  • Surfaces of face plate 225 and diffuser 235 that face the plasma cavity or are otherwise exposed to reactive gases may be coated with yttria (Y 2 O 3 ) or alumina (Al 2 O 3 ) for resistance to the reactive gases and plasma products generated in the plasma cavity.
  • Insulator 230 may be any insulator, and in embodiments is formed of ceramic.
  • Plasma products generated in plasma 245 pass through diffuser 235 that again helps to promote the uniform distribution of plasma products, and may assist in electron temperature control.
  • the plasma products pass through a further diffuser 260 that promotes uniformity as indicated by small arrows 227 , and enter process chamber 205 where they interact with workpiece 50 , such as a semiconductor wafer, atop wafer pedestal 135 .
  • Diffuser 260 includes further gas channels 250 that may be used to add one or more additional, un-activated gases 155 ( 3 ) to the plasma products as they enter process chamber 205 , as indicated by very small arrows 229 .
  • Diffuser 260 provides un-activated gases 155 ( 3 ) only on a downstream, or process chamber side, as shown.
  • Embodiments herein may be rearranged and may form a variety of shapes.
  • RF electrode 215 and diffuser 235 are substantially radially symmetric in the embodiment illustrated in FIG. 2
  • insulator 230 is a ring disposed against peripheral areas of face plate 225 and diffuser 235 , for an application that processes a circular semiconductor wafer as workpiece 50 .
  • such features may be of any shape that is consistent with use as a plasma source.
  • the exact number and placement of features for introducing and distributing gases and/or plasma products, such as diffusers, face plates and the like may also vary. For example, it would be possible to substitute an arrangement for generating an inductively coupled plasma, for the capacitively coupled plasma arrangement illustrated.
  • other components of plasma processing system 200 may be configured to add or mix gases 155 with other gases and/or plasma products as they make their way through the system to process chamber 205 .
  • polysilicon In semiconductor processing, plasma etch processes for etching polycrystalline silicon (hereinafter sometimes referred to as “polysilicon” or “poly”) are often advantageously selective to silicon over silicon dioxide (hereinafter sometimes referred to as “oxide”).
  • a plasma etch is highly selective to poly over oxide is as follows. Polysilicon is often utilized as a “gate” electrode in so-called “MOS” transistors (MOS standing for Metal-Oxide-Semiconductor, although poly, instead of metal, is usually used for the gate electrode material).
  • MOS Metal-Oxide-Semiconductor
  • a “source” and “drain” are formed in a silicon wafer, with the gate defining separation of, and influencing electrical conduction between, the source and drain.
  • a poly layer may be deposited over a dielectric layer, typically SiO 2 .
  • the SiO 2 layer is typically very thin, to maximize field strength in this layer in the final transistor when a voltage is applied.
  • the poly layer is typically thicker than the SiO 2 layer, and poly depositions are often conformal such that minor crevices on the wafer surface fill with poly. When the poly layer is patterned, the poly in these crevices must be removed to prevent adjacent transistor gates from shorting to one another.
  • the SiO 2 layer may need to protect other parts of the wafer during the fabrication process, especially as portions of the poly layer are etched away to form the transistor gates.
  • a poly etch with low selectivity to oxide might etch through the SiO 2 layer and damage the underlying silicon wafer areas that are intended to form the source and drain of the transistor.
  • These and/or other processes generate silicon fins by, for example, generating vertical steps on a wafer, depositing poly conformally, and anisotropically etching back the poly in a vertical direction, clearing the poly from horizontal surfaces but leaving the fins behind as residual features against the vertical steps.
  • Many other arrangements are in use or under study to reduce wafer area needed for fabrication of complex devices, to improve device performance, density and/or yield of working circuits per wafer.
  • a plasma etch having a selectivity that could be adjustable, or “tunable” from highly selective to poly over oxide, to less selective, or even to being selective to oxide over poly, is advantageous.
  • Plasma etch embodiments herein have tunable selectivity, for example selectivity to Si over oxide. These embodiments typically utilize NF 3 and NH 3 gases as plasma source gases, and/or as gases that are mixed with plasma products before reaching the workpiece. Certain other gases such as He or Ar may additionally be used as carrier gases but generally do not take part in reactions; also, small percentages (less than about 10% of total gas flow) of oxygen may be added to help with plasma ignition and to boost reaction rates in the plasma. Generally speaking, in the plasma environment NF 3 and NH 3 dissociate, and/or some of the generated products thereof can combine, to form plasma products such as free H and F radicals, HF, N 2 , NH 4 F and/or NH 4 F.HF.
  • plasma products such as free H and F radicals, HF, N 2 , NH 4 F and/or NH 4 F.HF.
  • plasma activation favors formation of free H and F radicals, HF and N 2
  • addition of un-activated NH 3 favors formation of NH 4 F and/or NH 4 F.HF.
  • Si e.g., polysilicon
  • F and Si can react to form SiF 4
  • H and Si can react to form SiH 4 .
  • SiO 2 is present, NH 4 F or NH 4 F.HF can react with SiO 2 to form (NH 4 ) 2 SiF 6 and H 2 O; importantly, (NH 4 ) 2 SiF 6 generally forms and remains as a solid, but heat can cause it to dissociate to form SiF 4 , NH 3 and HF.
  • NH 4 F and NH 4 F.HF can react with SiO 2 to form SiH 4 F, H 2 O and NH 3 .
  • Certain of these source gases may participate in reactions even when provided in un-activated form, for example, when provided as un-activated gas flow 155 ( 3 ), in addition to plasma products from plasma 245 , FIG. 2 .
  • Etches described herein are also tunable with respect to selectivity of poly over silicon nitride.
  • the classic formulation of silicon nitride is Si 3 N 4 , but stoichiometry of silicon nitride can vary depending on the conditions in which it is generated. In this disclosure, Si 3 N 4 and other stoichiometric variations of silicon nitride are referred to herein simply as SiN.
  • SiN When SiN is present, NF 3 and SiN can react to form NF and SiF 4 , while NF 3 , NH 3 and SiN can react to form SiH 4 .HF and NH 4 F.HF.
  • certain ones of the input gases have different effects on the etching, as does the proportion of input gases that are activated by forming plasma therefrom, to un-activated gases (e.g., the proportion of input gas 212 to gas 155 ( 3 ), see FIG. 2 ), so as to provide etches with tunable selectivity.
  • Temperature and pressure have also been discovered to have effects. These effects can be utilized to tune an etch that fundamentally uses the same types of input gases to have different selectivities, as discussed below.
  • the etches disclosed can be performed in such a way as to maintain very high selectivity to other materials that may be present on a wafer and should be left undisturbed, such as TiN or W. Still furthermore, the etches disclosed can, in embodiments, have differing etch rates such that an etch recipe can be tuned for high etch rate during one part of an etch sequence, and a different etch rate during another part of the etch sequence.
  • a first variation of a plasma etch process has an initial ratio of NH 3 to NF 3 as a plasma source gas (e.g., input gas 212 ) that forms plasma products in plasma 245 , and adds further NH 3 as an un-activated gas (e.g., gas 155 ( 3 )) resulting in a ratio of input gas 212 to gas 155 ( 3 ) of about 10:1.
  • the first recipe is performed at a pressure of 2 Torr at the wafer (e.g., workpiece 50 in process chamber 205 ).
  • the pressure of 2 Torr may be maintained, for example, by monitoring the pressure in process chamber 205 and adjusting all gas flows upwards or downwards until the measured pressure is within some tolerance of 2 Torr.
  • the first recipe has a poly over oxide selectivity of about 20:1.
  • the dominant plasma reactions include NF 3 and NH 3 providing substantial amounts of free F and H radicals, which react aggressively with Si but less aggressively with SiO 2 .
  • a second variation of the etch recipe flows the same ratio of NH 3 to NF 3 as input gas 212 , but at a lower volume, and/or adds more NH 3 as un-activated gas 155 ( 3 ), as compared to the first recipe.
  • the gas flows of the second etch recipe result in a ratio of input gas 212 to gas 155 ( 3 ) of about 4:1 or 5:1.
  • the second etch recipe is also performed at a pressure of 2 Torr.
  • the resulting poly over oxide etch rate selectivity is about 2:1.
  • the reduced etch rate selectivity is due to the adjusted gas flows favoring production of NH 4 F and NH 4 F.HF, which substantially etch SiO 2 , over production of F and H radicals, which preferentially etch Si.
  • a third variation of the etch recipe flows the same ratio of NH 3 to NF 3 as input gas 212 , and NH 3 as un-activated gas 155 ( 3 ), as compared to the second recipe, but is performed at a pressure of 6 Torr.
  • the increased pressure reduces the mean free path of available F and H radicals, which again favors the etching of SiO 2 over the etching of Si.
  • the third etch recipe actually etches oxide faster than poly, with a resulting etch rate selectivity of oxide over poly of about 3:1.
  • the etch recipes noted above may proceed faster at relatively high wafer temperatures, such as greater than 100 C.
  • the etch recipes noted above can also be highly selective to materials such as TiN and W that are commonly utilized in semiconductor processing at the so-called “frontend” of the process (e.g., layers where transistors and gates are formed and interconnected). That is, the etch rates of TiN and W can be negligibly low. Achieving high selectivity to TiN requires that temperature of a wafer being etched be maintained at less than about 125 C, at which temperature fluorine radicals begin to attack TiN.
  • NH 3 and NF 3 plasma products e.g., free F and H radicals
  • un-activated NH 3 that is added, and the pressure at the workpiece (e.g., in process chamber 205 )
  • the selectivity of poly to oxide etch rates can be continuously adjusted at least between the extremes noted above; that is, at least from 20:1 poly over oxide to 3:1 oxide over poly.
  • FIG. 3 is a flowchart of a tunable plasma etch process 300 .
  • Plasma etch process 300 may be implemented, for example, by plasma processing system 100 , FIG. 1 , and/or other plasma processing systems that utilize plasma processing system 200 , FIG. 2 .
  • a plasma is generated in a controlled flow of a source gas that includes NH 3 and NF 3 .
  • An example of step 302 is flowing a mixture of NH 3 and NF 3 as input gas 212 , FIG. 2 , and generating plasma 245 therefrom.
  • Plasma 245 will include plasma products such as H and F free radicals, HF and N2, as shown in reaction (1) above.
  • step 306 a controlled flow of un-activated NH 3 is added to the stream of plasma products, to form an etch gas stream.
  • An example of step 306 is flowing NH 3 as un-activated gas 155 ( 3 ), FIG. 2 .
  • Step 310 controls pressure of the etch gas stream by adjusting the source gas and un-activated NH 3 flows until the etch gas pressure is within a tolerance of a desired pressure.
  • An example of step 310 is controlling pressure of the etch gas stream in process chamber 205 , FIG. 2 , and adjusting flows of input gas 212 and un-activated gas 155 ( 3 ) until the pressure in process chamber 205 is within a tolerance of the desired pressure.
  • Step 312 adjusts a ratio of source gas to un-activated NH 3 to vary etch rates of polysilicon and/or silicon dioxide to achieve the desired selectivity. Step 312 is done in concert with step 310 ; that is, an adjustment to one of the source gas and un-activated NH 3 will typically be performed with an adjustment to the other, so that the etch gas pressure and flow ratio are maintained simultaneously.
  • FIG. 4 shows a graph 330 that illustrates test etch rate results of a Si etch having tunable selectivity to SiO 2 .
  • NF 3 and NH 3 may be supplied either as source gases 155 ( 2 ) in the apparatus illustrated in FIG. 2 , and activated in remote plasma source 202 , or may be supplied as source gases 155 ( 1 ) and activated in plasma 245 .
  • TiN etch rate was measured but remained at zero across all tested process conditions. When the un-activated NH 3 flow rate was zero, Si had a low etch rate while SiO 2 (labeled as “Oxide” in graph 330 ) had an etch rate of almost zero.
  • FIGS. 5, 6 and 7 illustrate a process application that advantageously utilizes a Si etch with tunable selectivity to oxide, as described herein.
  • FIG. 5 illustrates an SiO 2 region 350 on a wafer section 340 , forming trenches 380 , 385 that are lined with TiN 360 .
  • Atop TiN layer 360 is a poly layer 370 .
  • a region labeled as A in FIG. 5 is illustrated in greater detail in FIG. 6 .
  • FIG. 6 illustrates that poly layer 370 sits atop a region of SiO 2 375 that includes a significant fraction of Si. It is important in this process that SiO 2 375 not be etched significantly, so when SiO 2 375 is exposed, an etch that is highly selective to poly over oxide should be employed, to minimize attack on SiO 2 375 .
  • the following discussion begins with generating a plasma in a controlled flow of source gas that includes NH 3 and NF 3 , to form a stream of plasma products, and adding a controlled flow of un-activated NH 3 to form an etch gas stream that interacts with poly layer 370 and SiO 2 375 . Referring to FIG.
  • a flow rate of 150 to 250, in the arbitrary units illustrated in FIG. 4 can be used.
  • This provides a high poly etch rate, and this etch rate is maintained for a time calculated as corresponding to etching partially, but not completely, through the region of poly 370 labeled as 390 in FIG. 6 .
  • the un-activated NH 3 flow rate is brought down to zero, or near zero, while etching the region of poly 370 labeled as 395 . This causes the poly etch rate to drop, but the corresponding oxide etch rate also drops to near zero, as illustrated in FIG.
  • FIG. 7 illustrates the result of etching wafer section 340 to form wafer section 340 ′.
  • FIGS. 8A, 8B and 8C schematically illustrate a process sequence in which trace amounts of SiO 2 are not successfully removed by prior art processing.
  • FIG. 8A shows a wafer section 400 that includes a portion of a silicon substrate 410 and overlying structures.
  • a spacer structure 450 is part of a fin-FET process.
  • Certain recesses within spacer structure 450 contain inter-layer dielectric oxides 430 that are generally to be retained in the etch sequence to be described.
  • Other recesses contain polysilicon 420 that is to be removed; however the polysilicon is partially oxidized along grain boundaries thereof, shown as oxide traces 425 , near the surface. Depth of oxide traces 425 is known with reasonable accuracy.
  • Below the poly, adjacent to silicon substrate 410 in the same recesses of spacer structure 450 are thin layers of high dielectric constant oxide (“high-K oxide” hereinafter) 440 that are not to be etched at all.
  • high-K oxide high dielectric constant oxide
  • FIG. 8B schematically illustrates partial etching of wafer section 400 with a highly selective poly etch to form a partially processed wafer section 401 .
  • the poly etch used is highly selective so as not to etch high-K oxide 440 , but because of this selectivity, oxide traces 425 are also not etched.
  • Wafer section 401 shows how wafer section 401 will look as poly 420 is about one third etched away.
  • FIG. 8C schematically illustrates further etching of wafer section 400 with a highly selective poly etch to form a partially processed wafer section 402 .
  • Some oxide traces 425 remain in their original locations, while others, having lost all mechanical connection with spacer structure 450 , migrate about the recesses to other locations, as shown. At least some of oxide traces 425 cause electrical defects in the finished semiconductor product, as they interfere with the structures that should be present at their locations, and/or cause photolithographic defects in further processing.
  • FIGS. 9A, 9B, 9C and 9D schematically show how the structure shown as wafer section 400 can be more successfully processed with a Si etch with tunable selectivity to oxide, according to embodiments herein.
  • the following discussion begins with generating a plasma in a controlled flow of source gas that includes NH 3 and NF 3 , to form a stream of plasma products, and adding a controlled flow of un-activated NH 3 to form an etch gas stream that interacts with the features of wafer section 400 .
  • FIG. 9A shows wafer section 400 (identical to that shown in FIG. 8A ).
  • FIG. 9B illustrates partial etching of wafer section 400 with the etch gas stream, to form a partially processed wafer section 403 .
  • the etch gas stream used to form wafer section 403 includes enough NH 3 to provide a nonzero oxide etch rate, that is, to make the etch only partially selective to poly over oxide.
  • oxide traces 425 are etched along with poly 420 in this part of the etch process. Once the etch penetrates past oxide traces 425 (for example, after a time at which a measured or calculated etch rate ensures etching to or beyond the known maximum depth of oxide traces 425 ) NH 3 flow can be reduced to zero or near zero, to increase selectivity of poly over oxide.
  • FIG. 9C illustrates partial etching of wafer section 400 with the etch, to form a partially processed wafer section 403 .
  • the etch proceeds in this manner until poly 420 is completely removed, as shown in wafer section 405 , FIG. 9D .
  • the high selectivity of the Si etch having tunable selectivity to oxide ensures that high-K oxide 440 is not damaged as poly 420 is removed.

Abstract

A tunable plasma etch process includes generating a plasma in a controlled flow of a source gas including NH3 and NF3 to form a stream of plasma products, controlling a flow of un-activated NH3 that is added to the stream of plasma products to form an etch gas stream; and controlling pressure of the etch gas stream by adjusting at least one of the controlled flow of the source gas and the flow of un-activated NH3 until the pressure is within a tolerance of a desired pressure. An etch rate of at least one of polysilicon and silicon dioxide by the etch gas stream is adjustable by varying a ratio of the controlled flow of the source gas to the flow of un-activated NH3.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 14/566,291, filed Dec. 10, 2014 and entitled “Silicon Etch Process With Tunable Selectivity to SiO2 and Other Materials,” which claims the benefit of priority to U.S. Provisional Patent Application No. 62/054,860, filed 24 Sep. 2014 and entitled “Silicon Etch Process With Tunable Selectivity to SiO2 and Other Materials.” Both of the above-identified applications are incorporated herein by reference in their entireties for all purposes.
  • TECHNICAL FIELD
  • The present disclosure applies broadly to the field of plasma processing equipment. More specifically, systems and methods for managing selectivity of plasma etches are disclosed.
  • BACKGROUND
  • Semiconductor processing often utilizes plasma processing to etch, clean or deposit material on semiconductor wafers. Plasma etching often targets a particular material, but may also affect other materials that are present on the same wafer, or other workpiece. Selectivity of a plasma etch is often defined as a ratio of an etch rate of a target material to the etch rate of the same etch to another material. It is often advantageous for selectivity to be very high, such that the target material can be etched with little effect on other materials.
  • SUMMARY
  • In an embodiment, a tunable plasma etch process includes generating a plasma in a controlled flow of a source gas including NH3 and NF3 to form a stream of plasma products, controlling a flow of un-activated NH3 that is added to the stream of plasma products to form an etch gas stream; and controlling pressure of the etch gas stream by adjusting at least one of the controlled flow of the source gas and the flow of un-activated NH3 until the pressure is within a tolerance of a desired pressure. An etch rate of at least one of polysilicon and silicon dioxide by the etch gas stream is adjustable by varying a ratio of the controlled flow of the source gas to the flow of un-activated NH3.
  • In an embodiment, a plasma etch processing system that etches silicon and silicon dioxide with tunable selectivity includes a first NH3 flow controller, an NF3 flow controller, and a remote plasma source configured to apply RF energy to a plasma source gas stream controlled by the first NH3 flow controller and the NF3 flow controller, to generate a plasma product stream from the plasma source gas stream. The processing system further includes a second NH3 flow controller configured to control an un-activated NH3 gas stream, apparatus for adding the un-activated NH3 gas stream to the plasma product stream to form an etch gas stream, and a process chamber configured to expose a workpiece to the etch gas stream. Etch rates of at least one of polysilicon and silicon dioxide by the etch gas stream on the workpiece are adjustable at least by varying a ratio of the plasma source gas stream to the un-activated NH3 gas stream.
  • In an embodiment, a plasma etch processing system includes a process chamber configured to expose a workpiece to an etch gas stream, and a plasma source that generates a plasma product stream from a source gas stream that includes NH3 and NF3. The system also includes means for controlling a first NH3 flow and an NF3 flow of the source gas stream, and means for controlling a second NH3 flow of an un-activated NH3 gas stream. The system also includes a diffuser plate disposed between the plasma source and the process chamber that allows the plasma product stream to flow through the diffuser plate toward the process chamber, and adds the un-activated NH3 gas stream only on a process chamber side of the diffuser plate, to form an etch gas stream. The system also includes a controller for controlling the plasma source, the means for controlling the first NH3 flow and the NF3 flow of the source gas stream, and the means for controlling the second NH3 flow of the un-activated NH3 gas stream, such that the controller adjusts etch rates of at least one of polysilicon and silicon dioxide by the etch gas stream on the workpiece by varying a ratio of the un-activated NH3 gas stream to the source gas stream.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically illustrates major elements of a plasma processing system, according to an embodiment.
  • FIG. 2 schematically illustrates major elements of a plasma processing system, in a cross-sectional view, according to an embodiment.
  • FIG. 3 is a flowchart of a tunable plasma etch process, according to an embodiment.
  • FIG. 4 shows a graph that illustrates test etch rate results of a Si etch having tunable selectivity to SiO2, according to an embodiment.
  • FIG. 5 illustrates an SiO2 region on a wafer section, forming trenches that are lined with TiN, according to an embodiment.
  • FIG. 6 illustrates the SiO2 region of FIG. 5 as including a significant fraction of Si, according to an embodiment.
  • FIG. 7 illustrates the result of etching the wafer section of FIG. 5 with a Si etch having tunable selectivity to SiO2 to form a modified wafer section, according to an embodiment.
  • FIGS. 8A, 8B and 8C schematically illustrate a process sequence in which trace amounts of SiO2 are not successfully removed by prior art processing.
  • FIGS. 9A, 9B, 9C and 9D schematically show how the structure shown in FIGS. 8A, 8B and 8C can be more successfully processed with a Si etch with tunable selectivity to oxide, according to embodiments herein.
  • DETAILED DESCRIPTION
  • FIG. 1 schematically illustrates major elements of a plasma processing system 100, according to an embodiment. System 100 is depicted as a single wafer, semiconductor wafer plasma processing system, but it will be apparent to one skilled in the art that the techniques and principles herein are applicable to plasma generation systems of any type (e.g., systems that do not necessarily process wafers or semiconductors). It should also be understood that FIG. 1 is a simplified diagram illustrating only selected, major elements of system 100; an actual processing system will accordingly look different and likely contain additional elements as compared with system 100.
  • Processing system 100 includes a housing 110 for at least a wafer interface 115, a user interface 120, a plasma processing unit 130, a controller 140, one or more flow controller(s) 156 and one or more power supplies 150. Processing system 100 is supported by various utilities that may include gas(es) 155, electrical power 170, vacuum 160 and optionally others; within system 100, controller 140 may control use of any or all of such utilities. Internal plumbing and electrical connections within processing system 100 are not shown, for clarity of illustration.
  • Processing system 100 is illustrated as a so-called indirect, or remote, plasma processing system that generates a plasma in a first location and directs the plasma and/or plasma products (e.g., ions, molecular fragments, free radicals, energized species and the like) to a second location where processing occurs. Thus, in FIG. 1, plasma processing unit 130 includes a remote plasma source 132 that supplies plasma and/or plasma products for a process chamber 134. Process chamber 134 includes one or more wafer pedestals 135, to which wafer interface 115 delivers and retrieves workpieces 50 (e.g., a semiconductor wafer, but could be a different type of workpiece) for processing. Wafer pedestal 135 is heated and/or cooled by a wafer heating/cooling apparatus 117 which could include, in embodiments, resistive heaters, other types of heaters, or cooling fluids. In operation, gas(es) 155 are introduced into plasma source 132 and a radio frequency generator (RF Gen) 165 supplies power to ignite a plasma within plasma source 132. Plasma and/or plasma products pass from plasma source 132 through at least a diffuser plate 137 to process chamber 134, where workpiece 50 is processed. An actual plasma system may provide many other optional features or subsystems through which plasma and/or plasma products flow and/or mix between plasma source 132 and process chamber 134, and may include sensors 118 that measure parameters such as pressures, temperatures, optical emissions and the like at wafer pedestal 135, within process chamber 134 as shown, and possibly at other locations within processing system 100.
  • The elements illustrated as part of system 100 are listed by way of example and are not exhaustive. Many other possible elements, such as: pressure and/or flow controllers; gas or plasma manifolds or distribution apparatus; ion suppression plates; electrodes, magnetic cores and/or other electromagnetic apparatus; mechanical, pressure, temperature, chemical, optical and/or electronic sensors; wafer or other workpiece handling mechanisms; viewing and/or other access ports; and the like may also be included, but are not shown for clarity of illustration. In particular, flow controllers may be, or include, mass flow controllers, valves, needle valves, and pressure regulators. Various control schemes affecting conditions in process chamber 105 are possible. For example, a pressure may be maintained by monitoring the pressure in process chamber 134 and adjusting all gas flows upwards or downwards until the measured pressure is within some tolerance of a desired pressure. In embodiments herein, the pressure may be controllable within a range of about 0.5 Torr to 10 Torr; in certain embodiments, controlling pressure around 2 Torr or 6 Torr may be advantageous. Temperatures can be controlled by adding heaters and temperature sensors to process chamber 134 and/or wafer pedestal 135. Optical sensors may detect emission peaks of plasmas as-generated and/or as they interact with workpieces.
  • Internal connections and cooperation of the elements illustrated within system 100 are also not shown for clarity of illustration. In addition to RF generator 165 and gases 155, other representative utilities such as vacuum 160 and/or general purpose electrical power 170 may connect with system 100. Like the elements illustrated in system 100, the utilities illustrated as connected with system 100 are intended as illustrative rather than exhaustive; other types of utilities such as heating or cooling fluids, pressurized air, network capabilities, waste disposal systems and the like may also be connected with system 100, but are not shown for clarity of illustration. Similarly, while the above description mentions that plasma is ignited within remote plasma source 132, the principles discussed below are equally applicable to so-called “direct” plasma systems that create a plasma in a the actual location of workpiece processing.
  • Although an indirect plasma processing system is illustrated in FIG. 1 and elsewhere in this disclosure, it should be clear to one skilled in the art that the techniques, apparatus and methods disclosed herein may also be applicable to direct plasma processing systems—e.g., where a plasma is ignited at the location of the workpiece(s). Similarly, in embodiments, the components of processing system 100 may be reorganized, redistributed and/or duplicated, for example: (1) to provide a single processing system with multiple process chambers; (2) to provide multiple remote plasma sources for a single process chamber; (3) to provide multiple workpiece fixtures (e.g., wafer pedestals 135) within a single process chamber; (4) to utilize a single remote plasma source to supply plasma products to multiple process chambers; and/or (5) to provide plasma and gas sources in serial/parallel combinations such that various source gases may be activated (e.g., exist at least temporarily as part of a plasma) zero, one, two or more times, and mixed with other source gases before or after they enter a process chamber, and the like. Gases that have not been part of a plasma are sometimes referred to as “un-activated” gases herein.
  • FIG. 2 schematically illustrates major elements of a plasma processing system 200, in a cross-sectional view, according to an embodiment. Plasma processing system 200 is an example of plasma processing unit 130, FIG. 1. Plasma processing system 200 includes a process chamber 205 and a plasma source 210. As illustrated in FIG. 2, plasma source 210 introduces gases 155(1) directly, and/or gases 155(2) that become plasma products in a further remote plasma source 202, as plasma input gas 212, through an RF electrode 215. RF electrode 215 includes (e.g., is electrically tied to) a first gas diffuser 220 and a face plate 225 that serve to redirect flow of the source gases so that gas flow is uniform across plasma source 210, as indicated by small arrows 226. After flowing through face plate 225, an insulator 230 electrically insulates RF electrode 215 from a diffuser 235 that is held at electrical ground (e.g., diffuser 235 serves as a second electrode counterfacing face plate 225 of RF electrode 215). Surfaces of RF electrode 215, diffuser 235 and insulator 230 define a plasma generation cavity where a capacitively coupled plasma 245 is created when the source gases are present and RF energy is provided through RF electrode 215. RF electrode 215 and diffuser 235 may be formed of any conductor, and in embodiments are formed of aluminum (or an aluminum alloy, such as the known “6061” alloy type). Surfaces of face plate 225 and diffuser 235 that face the plasma cavity or are otherwise exposed to reactive gases may be coated with yttria (Y2O3) or alumina (Al2O3) for resistance to the reactive gases and plasma products generated in the plasma cavity. Insulator 230 may be any insulator, and in embodiments is formed of ceramic.
  • Plasma products generated in plasma 245 pass through diffuser 235 that again helps to promote the uniform distribution of plasma products, and may assist in electron temperature control. Upon passing through diffuser 235, the plasma products pass through a further diffuser 260 that promotes uniformity as indicated by small arrows 227, and enter process chamber 205 where they interact with workpiece 50, such as a semiconductor wafer, atop wafer pedestal 135. Diffuser 260 includes further gas channels 250 that may be used to add one or more additional, un-activated gases 155(3) to the plasma products as they enter process chamber 205, as indicated by very small arrows 229. Diffuser 260 provides un-activated gases 155(3) only on a downstream, or process chamber side, as shown.
  • Embodiments herein may be rearranged and may form a variety of shapes. For example, RF electrode 215 and diffuser 235 are substantially radially symmetric in the embodiment illustrated in FIG. 2, and insulator 230 is a ring disposed against peripheral areas of face plate 225 and diffuser 235, for an application that processes a circular semiconductor wafer as workpiece 50. However, such features may be of any shape that is consistent with use as a plasma source. Moreover, the exact number and placement of features for introducing and distributing gases and/or plasma products, such as diffusers, face plates and the like, may also vary. For example, it would be possible to substitute an arrangement for generating an inductively coupled plasma, for the capacitively coupled plasma arrangement illustrated. Also, in a similar manner to diffuser 260 including gas channels 250 to add un-activated gas 155(3) to plasma products from plasma 245 as they enter process chamber 205, other components of plasma processing system 200 may be configured to add or mix gases 155 with other gases and/or plasma products as they make their way through the system to process chamber 205.
  • In semiconductor processing, plasma etch processes for etching polycrystalline silicon (hereinafter sometimes referred to as “polysilicon” or “poly”) are often advantageously selective to silicon over silicon dioxide (hereinafter sometimes referred to as “oxide”). One process scenario in which a plasma etch is highly selective to poly over oxide is as follows. Polysilicon is often utilized as a “gate” electrode in so-called “MOS” transistors (MOS standing for Metal-Oxide-Semiconductor, although poly, instead of metal, is usually used for the gate electrode material). To form planar MOS transistors, a “source” and “drain” are formed in a silicon wafer, with the gate defining separation of, and influencing electrical conduction between, the source and drain. A poly layer may be deposited over a dielectric layer, typically SiO2. The SiO2 layer is typically very thin, to maximize field strength in this layer in the final transistor when a voltage is applied. The poly layer is typically thicker than the SiO2 layer, and poly depositions are often conformal such that minor crevices on the wafer surface fill with poly. When the poly layer is patterned, the poly in these crevices must be removed to prevent adjacent transistor gates from shorting to one another. The SiO2 layer may need to protect other parts of the wafer during the fabrication process, especially as portions of the poly layer are etched away to form the transistor gates. Therefore, a poly etch with low selectivity to oxide (e.g., a poly etch that etches oxide, as well) might etch through the SiO2 layer and damage the underlying silicon wafer areas that are intended to form the source and drain of the transistor.
  • There are, however, device geometries and processes that benefit from a less selective poly etch. For example, recent technologies have moved away from the original, planar MOS process in which gates always overlie thin dielectric layers on a generally flat silicon wafer surface, and/or form capacitors with conductors across a thin dielectric layer. Certain processes etch trenches into silicon surfaces, then grow or deposit oxides on the trench surfaces to form trench devices, including trench capacitors having much larger capacitance per unit area than would be possible on the flat wafer surface. These and/or other processes generate silicon fins by, for example, generating vertical steps on a wafer, depositing poly conformally, and anisotropically etching back the poly in a vertical direction, clearing the poly from horizontal surfaces but leaving the fins behind as residual features against the vertical steps. Many other arrangements are in use or under study to reduce wafer area needed for fabrication of complex devices, to improve device performance, density and/or yield of working circuits per wafer. In such cases, a plasma etch having a selectivity that could be adjustable, or “tunable” from highly selective to poly over oxide, to less selective, or even to being selective to oxide over poly, is advantageous.
  • Plasma etch embodiments herein have tunable selectivity, for example selectivity to Si over oxide. These embodiments typically utilize NF3 and NH3 gases as plasma source gases, and/or as gases that are mixed with plasma products before reaching the workpiece. Certain other gases such as He or Ar may additionally be used as carrier gases but generally do not take part in reactions; also, small percentages (less than about 10% of total gas flow) of oxygen may be added to help with plasma ignition and to boost reaction rates in the plasma. Generally speaking, in the plasma environment NF3 and NH3 dissociate, and/or some of the generated products thereof can combine, to form plasma products such as free H and F radicals, HF, N2, NH4F and/or NH4F.HF. Of these plasma products, plasma activation favors formation of free H and F radicals, HF and N2, while addition of un-activated NH3 favors formation of NH4F and/or NH4F.HF. When Si (e.g., polysilicon) is present, F and Si can react to form SiF4, while H and Si can react to form SiH4. When SiO2 is present, NH4F or NH4F.HF can react with SiO2 to form (NH4)2SiF6 and H2O; importantly, (NH4)2SiF6 generally forms and remains as a solid, but heat can cause it to dissociate to form SiF4, NH3 and HF. Also when SiO2 is present, NH4F and NH4F.HF can react with SiO2 to form SiH4F, H2O and NH3. Certain of these source gases may participate in reactions even when provided in un-activated form, for example, when provided as un-activated gas flow 155(3), in addition to plasma products from plasma 245, FIG. 2.
  • Etches described herein are also tunable with respect to selectivity of poly over silicon nitride. (The classic formulation of silicon nitride is Si3N4, but stoichiometry of silicon nitride can vary depending on the conditions in which it is generated. In this disclosure, Si3N4 and other stoichiometric variations of silicon nitride are referred to herein simply as SiN.) When SiN is present, NF3 and SiN can react to form NF and SiF4, while NF3, NH3 and SiN can react to form SiH4.HF and NH4F.HF.
  • It has been discovered that in embodiments, certain ones of the input gases (e.g., NF3 and NH3) have different effects on the etching, as does the proportion of input gases that are activated by forming plasma therefrom, to un-activated gases (e.g., the proportion of input gas 212 to gas 155(3), see FIG. 2), so as to provide etches with tunable selectivity. Temperature and pressure have also been discovered to have effects. These effects can be utilized to tune an etch that fundamentally uses the same types of input gases to have different selectivities, as discussed below. As noted above, it is possible to adjust ratios of plasma products to un-activated gas (e.g., by adjusting ratios of input gas 212, which forms plasma 245, to gas 155(3), see FIG. 2) to further vary these effects. Furthermore, in embodiments, the etches disclosed can be performed in such a way as to maintain very high selectivity to other materials that may be present on a wafer and should be left undisturbed, such as TiN or W. Still furthermore, the etches disclosed can, in embodiments, have differing etch rates such that an etch recipe can be tuned for high etch rate during one part of an etch sequence, and a different etch rate during another part of the etch sequence.
  • In an embodiment, a first variation of a plasma etch process (or “recipe”) has an initial ratio of NH3 to NF3 as a plasma source gas (e.g., input gas 212) that forms plasma products in plasma 245, and adds further NH3 as an un-activated gas (e.g., gas 155(3)) resulting in a ratio of input gas 212 to gas 155(3) of about 10:1. The first recipe is performed at a pressure of 2 Torr at the wafer (e.g., workpiece 50 in process chamber 205). The pressure of 2 Torr may be maintained, for example, by monitoring the pressure in process chamber 205 and adjusting all gas flows upwards or downwards until the measured pressure is within some tolerance of 2 Torr. The first recipe has a poly over oxide selectivity of about 20:1. In the first recipe, the dominant plasma reactions include NF3 and NH3 providing substantial amounts of free F and H radicals, which react aggressively with Si but less aggressively with SiO2.
  • A second variation of the etch recipe flows the same ratio of NH3 to NF3 as input gas 212, but at a lower volume, and/or adds more NH3 as un-activated gas 155(3), as compared to the first recipe. The gas flows of the second etch recipe result in a ratio of input gas 212 to gas 155(3) of about 4:1 or 5:1. The second etch recipe is also performed at a pressure of 2 Torr. The resulting poly over oxide etch rate selectivity is about 2:1. The reduced etch rate selectivity is due to the adjusted gas flows favoring production of NH4F and NH4F.HF, which substantially etch SiO2, over production of F and H radicals, which preferentially etch Si.
  • A third variation of the etch recipe flows the same ratio of NH3 to NF3 as input gas 212, and NH3 as un-activated gas 155(3), as compared to the second recipe, but is performed at a pressure of 6 Torr. The increased pressure reduces the mean free path of available F and H radicals, which again favors the etching of SiO2 over the etching of Si. The third etch recipe actually etches oxide faster than poly, with a resulting etch rate selectivity of oxide over poly of about 3:1.
  • The etch recipes noted above may proceed faster at relatively high wafer temperatures, such as greater than 100 C. The etch recipes noted above can also be highly selective to materials such as TiN and W that are commonly utilized in semiconductor processing at the so-called “frontend” of the process (e.g., layers where transistors and gates are formed and interconnected). That is, the etch rates of TiN and W can be negligibly low. Achieving high selectivity to TiN requires that temperature of a wafer being etched be maintained at less than about 125 C, at which temperature fluorine radicals begin to attack TiN.
  • Because the etches described above continuously vary the ratio of NH3 and NF3 plasma products (e.g., free F and H radicals) to un-activated NH3 that is added, and the pressure at the workpiece (e.g., in process chamber 205), it is believed that the selectivity of poly to oxide etch rates can be continuously adjusted at least between the extremes noted above; that is, at least from 20:1 poly over oxide to 3:1 oxide over poly. This generates new possibilities for etch recipes, for example, the ability to tailor a plasma etch to provide a selectivity that accommodates process requirements for certain device geometries, or to change the etch selectivity to accommodate changes in device geometry. It may also simplify the deployment of plasma equipment for multiple, similar etch steps in semiconductor process flows, since the input chemistry utilizes the same gases in different ratios to produce different results. Because the types of input gases do not change, process aspects such as conditioning of chamber surfaces is expected not to change significantly, such that a single piece of processing equipment could be shifted rapidly from one process to another with little or no re-conditioning of the surfaces.
  • FIG. 3 is a flowchart of a tunable plasma etch process 300. Plasma etch process 300 may be implemented, for example, by plasma processing system 100, FIG. 1, and/or other plasma processing systems that utilize plasma processing system 200, FIG. 2. In step 302, a plasma is generated in a controlled flow of a source gas that includes NH3 and NF3. An example of step 302 is flowing a mixture of NH3 and NF3 as input gas 212, FIG. 2, and generating plasma 245 therefrom. Plasma 245 will include plasma products such as H and F free radicals, HF and N2, as shown in reaction (1) above. In step 306, a controlled flow of un-activated NH3 is added to the stream of plasma products, to form an etch gas stream. An example of step 306 is flowing NH3 as un-activated gas 155(3), FIG. 2. Step 310 controls pressure of the etch gas stream by adjusting the source gas and un-activated NH3 flows until the etch gas pressure is within a tolerance of a desired pressure. An example of step 310 is controlling pressure of the etch gas stream in process chamber 205, FIG. 2, and adjusting flows of input gas 212 and un-activated gas 155(3) until the pressure in process chamber 205 is within a tolerance of the desired pressure. Step 312 adjusts a ratio of source gas to un-activated NH3 to vary etch rates of polysilicon and/or silicon dioxide to achieve the desired selectivity. Step 312 is done in concert with step 310; that is, an adjustment to one of the source gas and un-activated NH3 will typically be performed with an adjustment to the other, so that the etch gas pressure and flow ratio are maintained simultaneously.
  • FIG. 4 shows a graph 330 that illustrates test etch rate results of a Si etch having tunable selectivity to SiO2. In the etch illustrated, NF3 and NH3 may be supplied either as source gases 155(2) in the apparatus illustrated in FIG. 2, and activated in remote plasma source 202, or may be supplied as source gases 155(1) and activated in plasma 245. In the test data illustrated, TiN etch rate was measured but remained at zero across all tested process conditions. When the un-activated NH3 flow rate was zero, Si had a low etch rate while SiO2 (labeled as “Oxide” in graph 330) had an etch rate of almost zero. Therefore with an un-activated NH3 flow rate of zero, the etch conditions were extremely selective to Si over oxide. As the un-activated NH3 flow rate increased, both Si and oxide etch rates increased, therefore the corresponding etch conditions were less selective to Si over oxide. At the highest un-activated NH3 flow rate tested, the oxide etch rate increased enough that the Si over oxide selectivity was only about 2:1.
  • FIGS. 5, 6 and 7 illustrate a process application that advantageously utilizes a Si etch with tunable selectivity to oxide, as described herein.
  • FIG. 5 illustrates an SiO2 region 350 on a wafer section 340, forming trenches 380, 385 that are lined with TiN 360. Atop TiN layer 360 is a poly layer 370. A region labeled as A in FIG. 5 is illustrated in greater detail in FIG. 6.
  • FIG. 6 illustrates that poly layer 370 sits atop a region of SiO 2 375 that includes a significant fraction of Si. It is important in this process that SiO 2 375 not be etched significantly, so when SiO 2 375 is exposed, an etch that is highly selective to poly over oxide should be employed, to minimize attack on SiO 2 375. Thus, the following discussion begins with generating a plasma in a controlled flow of source gas that includes NH3 and NF3, to form a stream of plasma products, and adding a controlled flow of un-activated NH3 to form an etch gas stream that interacts with poly layer 370 and SiO 2 375. Referring to FIG. 4, this suggests processing with an un-activated NH3 flow rate of zero, or nearly zero, to maximize selectivity of the etch to poly over oxide. However, low flows of NH3 are also associated with somewhat lower Si etch rate, which will make the etch process take longer if the low or zero flow rate of un-activated NH3 is used continually. A compromise that provides high etch throughput and high selectivity when SiO 2 375 is exposed, is provided by utilizing the etch with tunable selectivity as described herein. Since the poly etch rate is at least approximately known from graph 330, and the thickness of poly layer 370 is known, the etch can start out with a relatively high un-activated NH3 flow rate. For example, a flow rate of 150 to 250, in the arbitrary units illustrated in FIG. 4, can be used. This provides a high poly etch rate, and this etch rate is maintained for a time calculated as corresponding to etching partially, but not completely, through the region of poly 370 labeled as 390 in FIG. 6. Then, either in a separate etch step or without interrupting the etch, the un-activated NH3 flow rate is brought down to zero, or near zero, while etching the region of poly 370 labeled as 395. This causes the poly etch rate to drop, but the corresponding oxide etch rate also drops to near zero, as illustrated in FIG. 4, so the etch can completely clear poly 370 without significant attack on Si rich SiO 2 375. All of the process conditions are also highly selective to TiN such that a reasonable overetch can be used to clear poly in topologically difficult locations such as sidewalls of trenches 380 and 385 while leaving TiN 360 intact. FIG. 7 illustrates the result of etching wafer section 340 to form wafer section 340′.
  • FIGS. 8A, 8B and 8C schematically illustrate a process sequence in which trace amounts of SiO2 are not successfully removed by prior art processing. FIG. 8A shows a wafer section 400 that includes a portion of a silicon substrate 410 and overlying structures. A spacer structure 450 is part of a fin-FET process. Certain recesses within spacer structure 450 contain inter-layer dielectric oxides 430 that are generally to be retained in the etch sequence to be described. Other recesses contain polysilicon 420 that is to be removed; however the polysilicon is partially oxidized along grain boundaries thereof, shown as oxide traces 425, near the surface. Depth of oxide traces 425 is known with reasonable accuracy. Below the poly, adjacent to silicon substrate 410 in the same recesses of spacer structure 450 are thin layers of high dielectric constant oxide (“high-K oxide” hereinafter) 440 that are not to be etched at all.
  • FIG. 8B schematically illustrates partial etching of wafer section 400 with a highly selective poly etch to form a partially processed wafer section 401. The poly etch used is highly selective so as not to etch high-K oxide 440, but because of this selectivity, oxide traces 425 are also not etched. Wafer section 401 shows how wafer section 401 will look as poly 420 is about one third etched away.
  • FIG. 8C schematically illustrates further etching of wafer section 400 with a highly selective poly etch to form a partially processed wafer section 402. Some oxide traces 425 remain in their original locations, while others, having lost all mechanical connection with spacer structure 450, migrate about the recesses to other locations, as shown. At least some of oxide traces 425 cause electrical defects in the finished semiconductor product, as they interfere with the structures that should be present at their locations, and/or cause photolithographic defects in further processing.
  • FIGS. 9A, 9B, 9C and 9D schematically show how the structure shown as wafer section 400 can be more successfully processed with a Si etch with tunable selectivity to oxide, according to embodiments herein. Thus, the following discussion begins with generating a plasma in a controlled flow of source gas that includes NH3 and NF3, to form a stream of plasma products, and adding a controlled flow of un-activated NH3 to form an etch gas stream that interacts with the features of wafer section 400. FIG. 9A shows wafer section 400 (identical to that shown in FIG. 8A). FIG. 9B illustrates partial etching of wafer section 400 with the etch gas stream, to form a partially processed wafer section 403. The etch gas stream used to form wafer section 403 includes enough NH3 to provide a nonzero oxide etch rate, that is, to make the etch only partially selective to poly over oxide. As shown in FIG. 9B, oxide traces 425 are etched along with poly 420 in this part of the etch process. Once the etch penetrates past oxide traces 425 (for example, after a time at which a measured or calculated etch rate ensures etching to or beyond the known maximum depth of oxide traces 425) NH3 flow can be reduced to zero or near zero, to increase selectivity of poly over oxide. FIG. 9C illustrates partial etching of wafer section 400 with the etch, to form a partially processed wafer section 403. The etch proceeds in this manner until poly 420 is completely removed, as shown in wafer section 405, FIG. 9D. The high selectivity of the Si etch having tunable selectivity to oxide ensures that high-K oxide 440 is not damaged as poly 420 is removed.
  • Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
  • As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the electrode” includes reference to one or more electrodes and equivalents thereof known to those skilled in the art, and so forth. Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims (20)

1. A plasma etch processing system that etches silicon and silicon dioxide with tunable selectivity, comprising:
a first NH3 flow controller that controls an NH3 plasma source gas stream;
an NF3 flow controller that controls an NF3 plasma source as stream;
a remote plasma source configured to apply RF energy to the NH3 plasma source gas stream and the NF3 plasma source gas stream, to generate a plasma product stream therefrom;
a second NH3 flow controller configured to control an un-activated NH3 gas stream;
apparatus for adding the un-activated NH3 gas stream to the plasma product stream to form an etch gas stream; and
a process chamber configured to expose a workpiece to the etch gas stream;
wherein etch rates of at least one of polysilicon and silicon dioxide on the workpiece, by the etch gas stream, are adjustable at least by varying a ratio of the plasma source gas stream to the un-activated NH3 gas stream.
2. The plasma etch processing system of claim 1, further comprising:
a controller for adjusting at least the first and second NH3 flow controllers and the NF3 flow controller.
3. The plasma etch processing system of claim 2, further comprising:
a pressure sensor for measuring pressure of the etch gas stream, wherein the controller monitors the pressure of the etch gas stream, and adjusts at least one of the first and second NH3 flow controllers and the NF3 flow controller, to control the pressure within a range of about 0.5 Torr to 10 Torr.
4. The plasma etch processing system of claim 2, further comprising a temperature sensor, wherein the controller controls a temperature of the workpiece within a range of 100 C to 125 C.
5. The plasma etch processing system of claim 2, further comprising a vacuum pump for evacuating at least one of the remote plasma source and the process chamber, wherein the controller controls the vacuum pump.
6. The plasma etch processing system of claim 2, further comprising a temperature sensor, wherein the controller controls a temperature of the workpiece within a range of 100 C to 125 C.
7. A plasma etch processing system, comprising:
a process chamber configured to expose a workpiece to an etch gas stream;
a plasma source that generates a plasma product stream from a source gas stream that includes NH3 and NF3;
means for controlling a first NH3 flow of the source gas stream;
means for controlling an NF3 flow of the source gas stream;
means for controlling a second NH3 flow of an un-activated NH3 gas stream; and
a diffuser plate disposed between the plasma source and the process chamber that allows the plasma product stream to flow through the diffuser plate toward the process chamber, and adds the un-activated NH3 gas stream only on a process chamber side of the diffuser plate, to form an etch gas stream; and
a controller for controlling at least:
the plasma source,
the means for controlling the first NH3 flow of the source gas stream,
the means for controlling the NF3 flow of the source gas stream,
the means for controlling the second NH3 flow of the un-activated NH3 gas stream, and
a pressure within the process chamber;
such that the controller adjusts etch rates of at least one of polysilicon and silicon dioxide by the etch gas stream on the workpiece by varying at least one of the pressure and a ratio of the un-activated NH3 gas stream to the source gas stream.
8. The plasma etch processing system of claim 2, wherein:
the controller is operable to provide a 10:1 ratio of the plasma source gas stream to the un-activated NH3 gas stream; and
at the 10:1 ratio, the system provides a 20:1 selectivity of an etch rate of the polysilicon over an etch rate of the silicon dioxide.
9. The plasma etch processing system of claim 8, wherein:
the controller is operable to adjust the ratio of the plasma source gas stream to the un-activated NH3 gas stream from 10:1 to 5:1, and
at the 5:1 ratio, the system reduces the selectivity of the etch rate of the polysilicon over the etch rate of the silicon dioxide from 20:1 to 2:1.
10. The plasma etch processing system of claim 9, wherein the controller is operable to adjust the ratio of the plasma source gas stream to the un-activated NH3 gas stream from 10:1 in a first etch sequence, to 5:1 in a second etch sequence.
11. The plasma etch processing system of claim 9, wherein the controller is operable to adjust the ratio of the plasma source gas stream to the un-activated NH3 gas stream from 10:1 at a first time within a etch sequence, to 5:1 at a second time within the etch sequence.
12. The plasma etch processing system of claim 9, wherein adjusting the ratio of the plasma source gas stream to the un-activated NH3 gas stream from 10:1 to 5:1 reduces a concentration of at least one of F and H radicals in the etch gas stream.
13. The plasma etch processing system of claim 3, wherein:
the controller is operable to provide a 5:1 ratio of the plasma source gas stream to the un-activated NH3 gas stream;
the controller is operable to control the pressure at about 6 Torr, and
the system provides a 3:1 selectivity of an etch rate of the silicon dioxide over an etch rate of the polysilicon.
14. The plasma etch processing system of claim 7, wherein the means for controlling the first NH3 flow, the means for controlling the NF3 flow and the means for controlling the second NH3 flow comprise mass flow controllers for each of the first NH3 flow, the NF3 flow and the second NH3 flow.
15. The plasma etch processing system of claim 7, further comprising means for controlling a flow of one of He, Ar and oxygen that forms part of the etch gas stream.
16. The plasma etch processing system of claim 7, wherein the controller is operable to adjust a ratio of the second NH3 flow of the un-activated NH3 gas stream to a flow of the source gas stream, from a first time to a second time within an etch sequence.
17. The plasma etch processing system of claim 7, wherein:
the first time precedes the second time;
at the first time, the controller controls the second NH3 flow of the un-activated NH3 gas stream such that the un-activated NH3 gas stream produces an initial nonzero oxide etch rate at the first time; and
at the second time, the controller reduces the second NH3 flow of the un-activated NH3 gas stream to reduce the oxide etch rate, as compared to the initial nonzero oxide etch rate at the first time.
18. The plasma etch processing system of claim 7, wherein the plasma source ignites a capacitively coupled plasma from the source gas stream to generate the plasma product stream.
19. A plasma etch processing system that etches silicon and silicon dioxide with adjustable selectivity, comprising:
a first flow controller that controls a first source gas stream of a hydrogen-containing source gas;
a second flow controller that controls a second source gas stream of a fluorine-containing source gas;
a remote plasma source configured to apply RF energy to the first and second source gas streams, to generate a plasma product stream therefrom;
a third flow controller that controls an un-activated gas stream;
apparatus for adding the un-activated gas stream to the plasma product stream to form an etch gas stream; and
a process chamber configured to expose a workpiece to the etch gas stream;
wherein etch rates of at least one of silicon and silicon dioxide on the workpiece, by the etch gas stream, are adjustable at least by varying a ratio of the plasma source gas stream to the un-activated gas stream.
20. The plasma etch processing system of claim 19, wherein the un-activated gas stream comprises NH3.
US15/158,396 2014-09-24 2016-05-18 SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS Abandoned US20160260588A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/158,396 US20160260588A1 (en) 2014-09-24 2016-05-18 SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462054860P 2014-09-24 2014-09-24
US14/566,291 US9368364B2 (en) 2014-09-24 2014-12-10 Silicon etch process with tunable selectivity to SiO2 and other materials
US15/158,396 US20160260588A1 (en) 2014-09-24 2016-05-18 SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/566,291 Division US9368364B2 (en) 2014-09-24 2014-12-10 Silicon etch process with tunable selectivity to SiO2 and other materials

Publications (1)

Publication Number Publication Date
US20160260588A1 true US20160260588A1 (en) 2016-09-08

Family

ID=55526415

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/566,291 Active US9368364B2 (en) 2014-09-24 2014-12-10 Silicon etch process with tunable selectivity to SiO2 and other materials
US15/158,396 Abandoned US20160260588A1 (en) 2014-09-24 2016-05-18 SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/566,291 Active US9368364B2 (en) 2014-09-24 2014-12-10 Silicon etch process with tunable selectivity to SiO2 and other materials

Country Status (1)

Country Link
US (2) US9368364B2 (en)

Cited By (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
WO2017205781A1 (en) * 2016-05-27 2017-11-30 Board Of Trustees Of Michigan State University Hybrid diamond-polymer thin film sensors and fabrication method
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
JP7176860B6 (en) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド Semiconductor processing chamber to improve precursor flow

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090117270A1 (en) * 2005-09-26 2009-05-07 Tokyo Electron Limited Method for treating substrate and recording medium
US20090269934A1 (en) * 2008-04-25 2009-10-29 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US20110151674A1 (en) * 2009-12-23 2011-06-23 Applied Materials, Inc. Smooth siconi etch for silicon-containing films

Family Cites Families (999)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (en) 1980-03-11 1986-06-18 Oronzio De Nora Impianti PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
NL8004005A (en) 1980-07-11 1982-02-01 Philips Nv METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (en) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (en) 1982-05-28 1984-01-07 Fujitsu Ltd Plasma cvd device
JPS6060060A (en) 1983-09-12 1985-04-06 株式会社日立製作所 Switchgear for door of railway rolling stock
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63204726A (en) 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (en) 1987-04-03 1994-02-03 Fujitsu Ltd Method and device for the vapor deposition of diamond.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (en) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 Ashing apparatus and treatment method thereof
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (en) 1989-03-07 1991-10-21 Roltra Spa ELECTRIC LOCK ACTUATOR DEVICE
JP2823276B2 (en) 1989-03-18 1998-11-11 株式会社東芝 Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (en) 1990-03-19 1999-10-06 株式会社日立製作所 Method and apparatus for forming wiring insulating film of semiconductor device
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (en) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Plasma cvd method for using pulsed waveform
DE69116058T2 (en) 1990-09-27 1996-08-22 At & T Corp Process for manufacturing integrated circuits
JPH04142738A (en) 1990-10-04 1992-05-15 Sony Corp Dry-etching method
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3206916B2 (en) 1990-11-28 2001-09-10 住友電気工業株式会社 Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (en) 1991-01-23 1998-01-14 日本電気株式会社 Method of forming fluorine-containing silicon oxide film
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (en) 1991-05-16 1992-11-27 Toshiba Corp Method for forming thin film and device therefor
JP2699695B2 (en) 1991-06-07 1998-01-19 日本電気株式会社 Chemical vapor deposition
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (en) 1991-12-04 1993-09-03 Nec Corp Manufacture of semiconductor device
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (en) 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
JP2773530B2 (en) 1992-04-15 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
JP2792335B2 (en) 1992-05-27 1998-09-03 日本電気株式会社 Method for manufacturing semiconductor device
KR100293830B1 (en) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 Plasma Purification Method for Removing Residues in Plasma Treatment Chamber
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (en) 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (en) 1992-11-26 1998-10-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (en) 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (en) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 Method for etching boron nitride
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (en) 1993-07-30 2000-02-10 Applied Materials Inc Process for the deposition of silicon nitride on silicon surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (en) 1993-10-18 1995-06-12 Ladislav Bardos A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (en) 1995-02-07 1996-10-22 Seiko Epson Corp Method and system for plasma processing
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (en) 1995-06-08 2004-12-08 アネルバ株式会社 CVD equipment
JP2814370B2 (en) 1995-06-18 1998-10-22 東京エレクトロン株式会社 Plasma processing equipment
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (en) 1995-10-11 1997-04-22 Anelva Corp Plasma cvd device and method, and dry etching device and method
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
DE69623651T2 (en) 1995-12-27 2003-04-24 Lam Res Corp METHOD FOR FILLING TRENCHES ON A SEMICONDUCTOR DISC
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69636880T2 (en) 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Method and arrangement for transporting substrate disks
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (en) 1996-11-05 2000-01-15 윤종용 Pedestal in semiconductor chamber
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
JPH10172792A (en) 1996-12-05 1998-06-26 Tokyo Electron Ltd Plasma processing device
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
KR100234539B1 (en) 1996-12-24 1999-12-15 윤종용 Etching apparatus for semiconductor device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (en) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Device for filtering and separating flow media
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (en) 1997-02-04 1998-08-21 Sony Corp Manufacture of semiconductor device
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
JPH10284360A (en) 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR20010042419A (en) 1998-04-02 2001-05-25 조셉 제이. 스위니 Method for etching low k dielectrics
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (en) 1998-06-16 2001-08-07 박종섭 method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
WO2000005747A2 (en) 1998-06-30 2000-02-03 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (en) 1998-07-09 2000-01-25 Komatsu Ltd Surface treating device
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (en) 1998-09-29 2005-12-14 株式会社東芝 Semiconductor device
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (en) 1998-10-12 2006-04-12 株式会社日立製作所 Plasma processing method
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (en) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド Film forming method and apparatus
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (en) 1998-12-24 2008-03-26 ATMEL Germany GmbH Method for anisotropically plasma dry-etching a silicon nitride layer with a gas mixture containing fluorine
DE19901210A1 (en) 1999-01-14 2000-07-27 Siemens Ag Semiconductor component and method for its production
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (en) 1999-01-27 2002-09-30 松下電器産業株式会社 Etching method
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (en) 1999-05-07 2000-10-16 東京工業大学長 Manufacturing method of thin film structure
JP3482904B2 (en) 1999-05-10 2004-01-06 松下電器産業株式会社 Plasma processing method and apparatus
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (en) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ Fine pattern forming method
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
FR2795555B1 (en) 1999-06-28 2002-12-13 France Telecom METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING AN ALTERNATIVELY STACKED LAYER OF SILICON AND LAYERS OF DIELECTRIC MATERIAL
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
DE60041341D1 (en) 1999-08-17 2009-02-26 Tokyo Electron Ltd PULSE PLASMA TREATMENT METHOD AND DEVICE
JP4220075B2 (en) 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (en) 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (en) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasma nozzle
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (en) 1999-11-10 2003-01-14 日本電気株式会社 Plasma CVD equipment
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (en) 2000-02-10 2005-12-07 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
KR100350056B1 (en) 2000-03-09 2002-08-24 삼성전자 주식회사 Method of forming a self-aligned contact pad in a damascene gate process
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (en) 2000-03-28 2003-08-04 ティーディーケイ株式会社 Dry etching method and fine processing method
JP4056195B2 (en) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
DE10016340C1 (en) 2000-03-31 2001-12-06 Promos Technologies Inc Fabrication of deep trench in semiconductor substrate during e.g., fabrication of deep-trench type capacitor utilizes plasma etching composition comprising hydrogen bromide, nitrogen fluoride, chlorine gas, and helium/oxygen gas mixture
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (en) 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (en) 2000-04-21 2001-11-02 Tokyo Electron Ltd Equipment and method for heat treatment
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP3662472B2 (en) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 Substrate surface treatment method
US6731496B2 (en) 2000-05-10 2004-05-04 Ibiden Co., Ltd. Electrostatic chuck
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (en) 2000-07-18 2003-01-09 삼성전자 주식회사 Method for cleaning semiconductor substrate or LCD substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4717295B2 (en) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 Dry etching apparatus and etching method
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (en) 2000-10-08 2002-04-09 Scanavo As Storage device for a data carrier
KR100375102B1 (en) 2000-10-18 2003-03-08 삼성전자주식회사 Method for CVD and apparatus for performing the same in semiconductor device processing
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (en) 2000-11-24 2003-05-09 삼성전자주식회사 Method of manufacturing semiconductor device in the clustered plasma apparatus
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (en) 2001-01-11 2003-06-27 St Microelectronics Sa METHOD FOR INTEGRATING A DRAM CELL
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
JP4644943B2 (en) 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
CN1302152C (en) 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
JP5013353B2 (en) 2001-03-28 2012-08-29 隆 杉野 Film forming method and film forming apparatus
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
FR2823032B1 (en) 2001-04-03 2003-07-11 St Microelectronics Sa ELECTROMECHANICAL RESONATOR WITH VIBRATING BEAM
JP3707394B2 (en) 2001-04-06 2005-10-19 ソニー株式会社 Electroless plating method
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
DE10296935T5 (en) 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrier reinforcement process for copper vias (or interconnects)
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (en) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
EP1418619A4 (en) 2001-08-13 2010-09-08 Ebara Corp Semiconductor device and production method therefor, and plating solution
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6667248B2 (en) 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (en) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaic modules with a thermoplastic adhesive layer and method for fabricating the same
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (en) 2001-10-24 2006-03-29 松下電器産業株式会社 Etching method
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (en) 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
JP2006501634A (en) 2001-12-13 2006-01-12 アプライド マテリアルズ インコーポレイテッド Method and apparatus for etching a substrate
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2005514762A (en) 2001-12-20 2005-05-19 東京エレクトロン株式会社 Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (en) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
JP3921234B2 (en) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 Surface treatment apparatus and manufacturing method thereof
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
JP3813562B2 (en) 2002-03-15 2006-08-23 富士通株式会社 Semiconductor device and manufacturing method thereof
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (en) 2002-04-24 2004-09-13 삼성전자주식회사 Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (en) 2002-06-04 2004-06-04 삼성전자주식회사 Method of Manufacturing Semiconductor Device
CN100479110C (en) 2002-06-14 2009-04-15 积水化学工业株式会社 Oxide film forming method and oxide film forming apparatus
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10229037A1 (en) 2002-06-28 2004-01-29 Robert Bosch Gmbh Device and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (en) 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (en) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 Thin film forming apparatus and method
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (en) 2002-10-10 2005-07-12 최대규 Remote plasma generator
JP4606713B2 (en) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
JP2004165317A (en) 2002-11-12 2004-06-10 Renesas Technology Corp Semiconductor device and its manufacturing method
KR100862658B1 (en) 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
CN101457338B (en) 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
DE10308870B4 (en) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolar transistor with improved base-emitter junction and method of manufacture
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
WO2004082007A1 (en) 2003-03-12 2004-09-23 Tokyo Electron Limited Substrate holding structure for semiconductor processing, and plasma processing device
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
WO2004093178A1 (en) 2003-04-11 2004-10-28 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (en) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasma treatment for cleaning copper or nickel
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
JP4108633B2 (en) 2003-06-20 2008-06-25 シャープ株式会社 THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (en) 2003-07-07 2009-04-02 株式会社荏原製作所 Cap film forming method by electroless plating and apparatus used therefor
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (en) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ LSI device etching method and apparatus
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (en) 2003-08-13 2009-03-18 セイコーエプソン株式会社 Microlens and microlens manufacturing method, optical device, optical transmission device, laser printer head, and laser printer
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (en) 2003-09-24 2005-04-14 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
JP2005129688A (en) 2003-10-23 2005-05-19 Hitachi Ltd Method of manufacturing semiconductor device
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050266691A1 (en) 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
WO2005114749A1 (en) 2004-05-21 2005-12-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (en) 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method
KR100614648B1 (en) 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
KR100584485B1 (en) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 Method for preventing metal corrosion of semiconductor devices
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060021574A1 (en) 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060062897A1 (en) 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (en) 2005-02-18 2010-06-09 東京エレクトロン株式会社 Processing system, pre-processing apparatus and storage medium
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP2006261217A (en) 2005-03-15 2006-09-28 Canon Anelva Corp Method of forming thin film
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (en) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (en) 2005-03-29 2007-03-08 삼성전자주식회사 High density plasma chemical vapor deposition methods using a fluorine-based chemical etching gas and methods of fabricating a semiconductor device employing the same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (en) 2005-06-15 2012-01-11 東京エレクトロン株式会社 Substrate processing method, computer-readable recording medium, and substrate processing apparatus
JP4554461B2 (en) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device
DE102006038885B4 (en) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Method for depositing a Ge-Sb-Te thin film
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
DE102005047081B4 (en) 2005-09-30 2019-01-31 Robert Bosch Gmbh Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
KR100703014B1 (en) 2005-10-26 2007-04-06 삼성전자주식회사 Silicon oxide etching solution and method of manufacturing a semiconductor device using the same
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (en) 2005-11-16 2012-04-18 株式会社日立製作所 Manufacturing method of semiconductor integrated circuit device
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
JP2007191792A (en) 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (en) 2006-02-17 2007-08-30 한양대학교 산학협력단 Apparatus for generating remote plasma
AU2007227602A1 (en) 2006-03-16 2007-09-27 Novartis Ag Heterocyclic organic compounds for the treatment of in particular melanoma
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
WO2007112454A2 (en) 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (en) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
CN100539080C (en) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 Form the method for multi-crystal silicon floating bar structure by autoregistration
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (en) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 Laminated film pattern forming method and gate electrode forming method
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (en) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 Semiconductor device manufacturing method including cleaning surface layer
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (en) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 Plasma etching method
JP2008103645A (en) 2006-10-20 2008-05-01 Toshiba Corp Production method of semiconductor device
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008109043A (en) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd Semiconductor device manufacturing method and semiconductor device
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
JP2010512650A (en) 2006-12-11 2010-04-22 アプライド マテリアルズ インコーポレイテッド Dry photoresist removal process and equipment
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5229711B2 (en) 2006-12-25 2013-07-03 国立大学法人名古屋大学 Pattern forming method and semiconductor device manufacturing method
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (en) 2007-01-03 2008-07-08 삼성전자주식회사 Etching apparatus using neutral beam
JP4421618B2 (en) 2007-01-17 2010-02-24 東京エレクトロン株式会社 Manufacturing method of fin-type field effect transistor
KR100878015B1 (en) 2007-01-31 2009-01-13 삼성전자주식회사 Method for removing of oxides and method for filling a trench using the same
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (en) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
US8419854B2 (en) 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
JP5135879B2 (en) 2007-05-21 2013-02-06 富士電機株式会社 Method for manufacturing silicon carbide semiconductor device
KR100777043B1 (en) 2007-05-22 2007-11-16 주식회사 테스 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
KR100877107B1 (en) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 Method for fabricating interlayer dielectric in semiconductor device
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
DE102007033685A1 (en) 2007-07-19 2009-01-22 Robert Bosch Gmbh A method of etching a layer on a silicon semiconductor substrate
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
CN101868850B (en) 2007-08-31 2012-11-07 东京毅力科创株式会社 Semiconductor device manufacturing method
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
KR101519684B1 (en) 2007-09-25 2015-05-12 램 리써치 코포레이션 Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
KR101573949B1 (en) 2007-11-08 2015-12-02 램 리써치 코포레이션 Pitch reduction using oxide spacer
DE202008016190U1 (en) 2007-12-04 2009-03-19 Parabel Ag Multilayer solar element
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (en) 2008-04-23 2009-11-12 Seiko Epson Corp Method for manufacturing and manufacturing apparatus for device
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (en) 2008-05-22 2010-12-03 삼성엘이디 주식회사 Chemical vapor deposition apparatus
DE102008026134A1 (en) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Microstructure device with a metallization structure with self-aligned air gaps between dense metal lines
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP4473344B2 (en) 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 Plasma processing method and plasma processing apparatus
US7972968B2 (en) 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR100997502B1 (en) 2008-08-26 2010-11-30 금호석유화학 주식회사 Organic antireflective protecting composition layer containing ring-opening phthalic anhydride and synthesis method thereof
KR101025741B1 (en) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 Method for forming active pillar of vertical channel transistor
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (en) 2008-10-28 2014-01-22 株式会社日立製作所 Manufacturing method of semiconductor device
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (en) 2008-12-26 2010-07-08 Hitachi Ltd Magnetic flux variable type rotating electrical machine
KR101587601B1 (en) 2009-01-14 2016-01-25 삼성전자주식회사 Method for fabricating nonvolatile memory devices
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (en) 2009-01-29 2010-08-06 삼성전자주식회사 Semiconductor memory device with cylinder type storage node and method of fabricating the same
JP5210191B2 (en) 2009-02-03 2013-06-12 東京エレクトロン株式会社 Silicon nitride film dry etching method
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
KR20110138142A (en) 2009-03-17 2011-12-26 로트 운트 라우 악치엔게젤샤프트 Substrate processing apparatus and substrate processing method
KR101539699B1 (en) 2009-03-19 2015-07-27 삼성전자주식회사 Three dimensional nonvolatile memory device and method for forming the same
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
CN105088191B (en) 2009-07-15 2018-07-13 应用材料公司 The fluid control features structure of CVD chambers
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
CN102598130A (en) 2009-08-26 2012-07-18 威科仪器股份有限公司 System for fabricating a pattern on magnetic recording media
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110073136A1 (en) 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
JP5257328B2 (en) 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP4927158B2 (en) 2009-12-25 2012-05-09 東京エレクトロン株式会社 Substrate processing method, recording medium storing program for executing substrate processing method, and substrate processing apparatus
JP5710209B2 (en) 2010-01-18 2015-04-30 東京エレクトロン株式会社 Electromagnetic power feeding mechanism and microwave introduction mechanism
JP5166458B2 (en) 2010-01-22 2013-03-21 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5608384B2 (en) 2010-02-05 2014-10-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and plasma etching apparatus
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8992733B2 (en) 2010-02-15 2015-03-31 Daikin Industries, Ltd. Water and oil resistant agent for paper and paper treatment process
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
KR101853802B1 (en) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
JP2013522912A (en) 2010-03-16 2013-06-13 サンディスク スリーディー,エルエルシー Bottom electrode for use with metal oxide resistivity switching layers
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120029291A (en) 2010-09-16 2012-03-26 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US20120085733A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
US20130224960A1 (en) 2010-10-29 2013-08-29 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
JP5544343B2 (en) 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (en) 2010-11-30 2012-06-08 삼성전자주식회사 Fabricating method of semiconductor device
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (en) 2010-12-24 2015-06-03 東京エレクトロン株式会社 Substrate processing method and storage medium
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
TW201246362A (en) 2011-03-01 2012-11-16 Univ King Abdullah Sci & Tech Silicon germanium mask for deep silicon etching
FR2972563B1 (en) 2011-03-07 2013-03-01 Altis Semiconductor Snc METHOD FOR TREATING AN OXIDIZED METAL NITRIDE LAYER
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103430285B (en) 2011-03-22 2016-06-01 应用材料公司 Liner assembly for chemical vapor deposition chamber
JP5815967B2 (en) 2011-03-31 2015-11-17 東京エレクトロン株式会社 Substrate cleaning apparatus and vacuum processing system
JP6003011B2 (en) 2011-03-31 2016-10-05 東京エレクトロン株式会社 Substrate processing equipment
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (en) 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5740281B2 (en) 2011-10-20 2015-06-24 東京エレクトロン株式会社 Metal film dry etching method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
JP5779482B2 (en) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
JP2013235912A (en) 2012-05-08 2013-11-21 Tokyo Electron Ltd Method for etching substrate to be processed and plasma etching device
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
JP6035117B2 (en) 2012-11-09 2016-11-30 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (en) 2013-02-12 2014-08-25 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and high-frequency generator
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
TWI591211B (en) 2013-03-13 2017-07-11 應用材料股份有限公司 Methods of etching films comprising transition metals
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014145263A1 (en) 2013-03-15 2014-09-18 Dr. Py Institute, Llc Single-use needle assembly and method
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US20140263173A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR20150107756A (en) 2013-11-06 2015-09-23 맷슨 테크놀로지, 인크. Novel mask removal process strategy for vertical nand device
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9136273B1 (en) * 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR102175763B1 (en) 2014-04-09 2020-11-09 삼성전자주식회사 Semiconductor Memory Device And Method Of Fabricating The Same
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) * 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090117270A1 (en) * 2005-09-26 2009-05-07 Tokyo Electron Limited Method for treating substrate and recording medium
US20090269934A1 (en) * 2008-04-25 2009-10-29 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US20110151674A1 (en) * 2009-12-23 2011-06-23 Applied Materials, Inc. Smooth siconi etch for silicon-containing films

Cited By (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US9368364B2 (en) 2016-06-14
US20160086807A1 (en) 2016-03-24

Similar Documents

Publication Publication Date Title
US9368364B2 (en) Silicon etch process with tunable selectivity to SiO2 and other materials
JP7176860B2 (en) Semiconductor processing chamber to improve precursor flow
JP7180999B6 (en) Semiconductor processing chamber for multiple precursor streams
US11365476B2 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10407773B2 (en) Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10304668B2 (en) Localized process control using a plasma system
KR102503734B1 (en) Gas flow profile modulated control of overlay in plasma cvd films
TWI520212B (en) Selective titanium nitride etching
US20160111258A1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
KR20170098189A (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
KR102521089B1 (en) Ultrahigh selective nitride etch to form finfet devices
KR102405729B1 (en) Geometric Selective Deposition of Dielectric Films Using Low Frequency Bias
KR20150075362A (en) Method of forming contact layer
KR101713336B1 (en) Liner removal process
KR20150075363A (en) METHOD OF FORMING Ti FILM
US20170084448A1 (en) Low temperature conformal deposition of silicon nitride on high aspect ratio structures
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
US7192855B2 (en) PECVD nitride film
KR20230120676A (en) Backside deposition and local stress modulation for wafer bow compensation
US11810792B2 (en) Etching method and substrate processing apparatus
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
KR102510611B1 (en) Method for Depositing Thick Tetraethyl Orthosilicate Films with Low Compressive Stress, High Film Stability and Low Shrinkage at High Deposition Rates
US10991591B2 (en) Reactive ion etching apparatus
US20040161536A1 (en) Method for depositing a low-k material having a controlled thickness range

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARK, SEUNG;WANG, ANCHUAN;REEL/FRAME:038639/0077

Effective date: 20150105

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION