US20160276156A1 - Semiconductor device and manufacturing process thereof - Google Patents

Semiconductor device and manufacturing process thereof Download PDF

Info

Publication number
US20160276156A1
US20160276156A1 US14/658,649 US201514658649A US2016276156A1 US 20160276156 A1 US20160276156 A1 US 20160276156A1 US 201514658649 A US201514658649 A US 201514658649A US 2016276156 A1 US2016276156 A1 US 2016276156A1
Authority
US
United States
Prior art keywords
layer
metal silicide
semiconductor device
conductive
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/658,649
Inventor
Pohan Kung
Ying -Jing Lu
Chi-Cheng Hung
Yu-Sheng Wang
Shiu-Ko Jangjian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/658,649 priority Critical patent/US20160276156A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KUNG, POHAN, HUNG, CHI-CHENG, JANGJIAN, SHIU-KO, LU, YING -JING, WANG, YU-SHENG
Priority to TW104137686A priority patent/TWI585899B/en
Priority to CN201510844545.5A priority patent/CN105990229B/en
Publication of US20160276156A1 publication Critical patent/US20160276156A1/en
Priority to US17/027,549 priority patent/US11271103B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Definitions

  • conductive interconnect structures are widely utilized for electrically connect different components of the device and/or connect external circuits.
  • the requirements for reliability and performance of the conductive interconnects are becoming more stringent as the feature size continues to shrink.
  • Advanced manufacturing techniques are investigated for improving the integrity of the conductive interconnects and the system performance of the semiconductor chip.
  • FIGS. 1A-1L are cross sectional views of operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a schematic showing a semiconductor manufacturing platform, in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a flow diagram showing operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a flow diagram showing operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a flow diagram showing operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIGS. 1A-1L are cross sectional views of operations for manufacturing a semiconductor device 100 , in accordance with some embodiments of the present disclosure.
  • a semiconductor substrate 102 is provided.
  • Semiconductor substrate 102 includes a semiconductor material such as silicon, silicon germanium, or the like.
  • Semiconductor substrate 102 may be lightly doped with a p-type impurity to become a p-type silicon substrate (P-substrate). Otherwise, semiconductor substrate 102 can also be doped with an n-type impurity to be an n-type silicon substrate (n-substrate).
  • semiconductor substrate 102 includes an elementary semiconductor such as silicon or germanium in crystal, polycrystalline, or an amorphous structure.
  • semiconductor substrate 102 may be compound semiconductor such as gallium arsenide (GaAs), gallium phosphide (GaP), silicon carbide (SiC), indium phosphide (InP), indium arsenide (InAs), or indium antimonide (InSb).
  • GaAs gallium arsenide
  • GaP gallium phosphide
  • SiC silicon carbide
  • InP indium phosphide
  • InAs indium arsenide
  • InSb indium antimonide
  • semiconductor substrate 102 may be an alloy semiconductor such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminium gallium arsenide (AlGaAs), aluminium indium arsenide (AlInAs), germanium indium arsenide (GaInAs), germanium indium phosphide (GaInP), and/or germanium indium arsenide phosphide (GaInAsP) or any other suitable materials.
  • SiGe silicon germanium
  • GaAsP gallium arsenide phosphide
  • AlGaAs aluminium gallium arsenide
  • AlInAs aluminium indium arsenide
  • GaInAs germanium indium arsenide
  • GaInP germanium indium phosphide
  • GaInAsP germanium indium arsenide phosphide
  • semiconductor substrate 102 may be a silicon on insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • semiconductor substrate 102 includes a doped epitaxy layer or a buried layer. In other examples, semiconductor substrate 102 has a multilayer compound structure.
  • isolation features 12 such as shallow trench isolation (STI) or local oxidation of silicon (LOCOS), are formed in semiconductor substrate 102 to separate devices. Isolation features 12 are formed to define and electrically isolate various active regions as shown in FIG. 1 .
  • isolation features 12 may define a region for a complementary metal oxide semiconductor (CMOS) device, a region for a core n-type MOS (NMOS) device, a region for a core p-type MOS (PMOS) device, and other regions for various microelectronic devices utilized in integrated circuits. It is understood that several processes disclosed below form corresponding features in some other active regions on semiconductor substrate 102 for some other types of devices.
  • Isolation features 12 may comprise silicon oxide (SiOx), silicon nitride (SiN), silicon oxynitride (SiON), an air gap, other suitable materials, or combinations thereof.
  • first doped region 13 is formed in semiconductor substrate 12 .
  • second doped region 14 is formed in semiconductor substrate 12 adjacent to some isolation features 12 .
  • First doped region 13 and second doped region 14 can be a source region or a drain region for PMOS, NMOS or CMOS transistors.
  • First doped region 13 and second doped region 14 comprise highly concentrated dopants, and are formed as p-type regions with boron or n-type region with phosphorus.
  • First doped region 13 and second doped region 14 may be formed by various processes, for example, thermal diffusion process.
  • First doped region 13 and second doped region 14 may be formed by a plurality of operations, whether now known or to be developed, such as growing a sacrificial oxide on semiconductor substrate 102 , opening a pattern for a location(s) in first doped region 13 or second doped region 14 , implanting impurities and annealing.
  • semiconductor substrate 102 may include various well regions (not shown) depending on design specifications as known in the art.
  • the well regions are formed in a p-well structure, an n-well structure or a twin well structure.
  • the doping concentration in those well regions is less than first doped region 13 or second doped region 14 .
  • the p-well structure is formed with p-type dopants to surround n-type first doped region 13 or n-type second doped region 14 .
  • the n-well structure is formed with n-type dopants to surround p-type first region 13 or second doped region 14 .
  • ILD layer 104 is formed on semiconductor substrate 102 .
  • ILD layer 104 includes parts of MOS transistors, such as a gate structure 15 , first sidewall spacer 18 , and second sidewall spacer 19 and conductive plugs 21 and 22 .
  • Gate structure 15 is disposed on semiconductor substrate 102 .
  • Gate structure 15 may include a gate dielectric 16 disposed on semiconductor substrate 102 and a gate electrode 17 disposed on gate dielectric 16 .
  • Gate dielectric 16 which is a layer on semiconductor substrate 102 , may include a silicon oxide layer.
  • gate dielectric 16 may optionally include a high-k dielectric material, silicon oxide, silicon nitride, silicon oxynitride, other suitable materials, or combinations thereof.
  • the high-k material may be selected from metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitride of metals, metal aluminates, zirconium silicate, zirconium aluminate, hafnium oxide, or combinations thereof.
  • high-k dielectric material examples include HfO 2 , HfSiO, HfSiON, HfzrO, LaO, BazrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, zirconium oxide, aluminum oxide, other suitable high-k dielectric materials, and/or combinations thereof.
  • gate dielectric 16 may have a multilayer structure such as one layer of silicon oxide and another layer of high k material. Gate dielectric 16 may be formed over an interfacial layer by any suitable process.
  • Gate electrode 17 is disposed on gate dielectric 16 .
  • Gate electrode 17 includes conductive material, such as aluminum, copper, titanium, tantalum, tungsten, molybdenum, tantalum nitride, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloys, other suitable materials, and/or combinations thereof.
  • heavily doped amorphous silicon or polysilicon is alternatively used. In that case a highly concentrated dopant such as boron or phosphorus is utilized to form gate electrode 17 .
  • a silicon layer is used as gate material for gate structure 15 .
  • a silicide layer (not shown) is formed on gate electrode layer 17 by reacting silicon with conductive material such as tungsten, Ti, Pt, Ta, Nb, Hf, Mo, or other suitable metal.
  • Gate structure 15 has a first sidewall spacer 18 of gate structure 15 and a second sidewall spacer 19 disposed opposite to first sidewall spacer 18 of gate structure 15 .
  • First sidewall spacer 18 and second sidewall spacer 19 are formed by dielectric material such as silicon nitride or silicon oxide.
  • First sidewall space 18 and second sidewall spacer 19 may be formed in different shapes in the upper portions or slopes.
  • First sidewall spacer 18 and second sidewall spacer 19 may be formed by deposition of thin film, such as silicon nitride, on gate structure 15 and semiconductor substrate 12 . Then an etching process is used to remove the residue film material on the surface of semiconductor substrate 12 , leaving first sidewall spacer 18 and second sidewall 19 .
  • ILD layer 104 further comprises conductive plugs 21 and conductive plug 22 .
  • Conductive plug 21 may be formed atop first doped region 13 .
  • Conductive plug 21 is electrically coupling first doped region 13 with conductive materials of overlying layers in semiconductor device 100 .
  • conductive plug 22 is electrically coupling second doped region 14 with conductive materials of overlying layers in semiconductor device 100 .
  • Conductive plugs 21 and 22 are formed with electrically conductive materials, such as aluminum, copper, tungsten, or other suitable metal.
  • Conductive plugs 21 and 22 may be formed by a suitable process such as low pressure chemical vaporization deposition (LPCVD) or sputtering.
  • LPCVD low pressure chemical vaporization deposition
  • a diffusion barrier layer (not shown) is formed between conductive plugs 21 and 22 and semiconductor substrate 102 .
  • a diffusion barrier layer (not shown) is formed between conductive plugs 21 and 22 and semiconductor substrate 102 .
  • titanium, titanium nitride or tungsten-tungsten can be used in forming the diffusion barrier layer.
  • the diffusion barrier layer may be formed by sputtering, CVD or other suitable process.
  • ILD 104 also includes dielectric materials for electrically isolating among components in ILD layer 104 , and between ILD 104 and semiconductor substrate 102 .
  • a suitable process may be utilized for forming the dielectric materials, such as deposition. Then a planarization process is applied to ILD layer 104 for further processes.
  • Dielectric layer 105 is disposed on ILD layer 104 .
  • Dielectric layer 105 includes materials such as silicon oxide, silicon nitride (SiN), silicon oxynitride, silicon oxycarbide (SiOC), silicon carbide, fluorinated silicon oxide (SiOF), carbon-doped silicon oxide (e.g., SiOCH), spin-on glass (SOG), amorphous fluorinated carbon, fluorinated silica glass (FSG), polyimide, BCB (bis-benzocyclobutenes), non-porous materials, porous materials, and/or combinations thereof.
  • Dielectric layer 105 includes a high density plasma (HDP) dielectric material (e.g., HDP oxide) and/or a high aspect ratio process (HARP) dielectric material (e.g., HARP oxide). In some embodiments, Dielectric layer 105 is a planarized dielectric film.
  • HDP high density plasma
  • HEP high aspect ratio process
  • Dielectric layer 105 is a planarized dielectric film.
  • Dielectric layer 105 is formed by a suitable deposition process, which may include chemical vapor deposition (CVD), physical vapor deposition (PVD), ionized PVD (IPVD) and atomic layer deposition (ALD). Additionally, other processes include high density plasma CVD (HDPCVD), metal organic CVD (MOCVD), remote plasma CVD (RPCVD), plasma enhanced CVD (PECVD), LPCVD, thermal oxidation, UV-ozone oxidation, epitaxial growth methods (e.g., selective epitaxy growth), sputtering, plating, spin-on coating, other suitable methods, and/or combinations thereof. In an embodiment, dielectric layer 105 has a suitable range of thickness from about 100 ⁇ to about 2000 ⁇ .
  • a trench 107 and a recess 108 are formed by a suitable etching process on dielectric layer 105 to form patterned dielectric layer 106 .
  • Recess 108 may include a stacked trench and via structure.
  • a layer of photoresist is formed over dielectric layer 105 by a suitable process, for example, lithography or other alternatives, and patterned to form a photoresist feature by a proper photolithography patterning method.
  • a photolithography process may also be implemented or replaced by other proper methods such as maskless photolithography, electron-beam writing, ion-beam writing, and/or molecular imprint.
  • a photolithography process may include forming a photoresist layer over dielectric layer 105 , exposing photoresist to a pattern, performing a post-exposure bake process, and forming a masking element including the photoresist.
  • a dual damascene technology is utilized where an intermediate etch stop layer may be formed as hard mask for the stacked trench-via structure of recess 108 .
  • trench 107 and recess 108 may then be etched using reactive ion etching (RIE) processes and/or other etching processes.
  • RIE reactive ion etching
  • An etching process may include dry etching, wet etching, and/or other etching methods (e.g., reactive ion etching).
  • the etching process may also be either purely chemical (plasma etching), purely physical (ion milling), and/or combinations thereof.
  • a dry etching process may be implemented in an etching chamber.
  • the thickness of different features may be controlled by adjusting some process parameters including a radio frequency (RF) source power, a bias power, electrode size, a pressure, a flow rate, etching duration, a wafer temperature, other suitable process parameters, and/or combinations thereof.
  • RF radio frequency
  • a dry etching process may implement an oxygen-containing gas, fluorine-containing gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), bromine-containing gas (e.g., HBr, He and/or CHBr 3 ), iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof.
  • the dry etching process utilizes an O 2 plasma treatment and/or an O 2 /N 2 plasma treatment. Further, the dry etching process may be performed for a suitable duration.
  • a wet etching process may utilize a hydrofluoric acid (HF) solution for a HF dipping process.
  • a wet etching process may apply a diluted hydrofluoric acid to an intermediate semiconductor structure.
  • the wet etching process includes exposing to a hydroxide solution containing ammonium hydroxide, diluted HF, deionized water, and/or other suitable etchant solutions.
  • a diffusion barrier layer (not shown) may be optionally formed on the bottom and sidewalls of trench 107 and recess 108 .
  • a typical diffusion barrier metal or alloy includes tantalum, nickel, hafnium, niobium, zirconium, vanadium, tungsten, nichrome, and titanium tungdsten.
  • conductive ceramics is also considered, such as indium oxide, copper silicide, tungsten nitride, and titanium nitride.
  • a suitable deposition process for forming the diffusion barrier layer as previously discussed can be used, such as CVD, ALD and PVD.
  • the diffusion barrier layer has a thickness from about 20 ⁇ to about 200 ⁇ . It is understood, however, that the dimensions recited throughout the present disclosure will scale due to the utilized manufacturing techniques.
  • FIG. 1D is a step of filling conductive material into trench 107 and recess 108 .
  • a conductive interconnect 112 and a conductive interconnect 114 are then formed in trench 107 and recess 108 , respectively.
  • Conductive interconnect 112 is formed to electrically couple features in patterned dielectric layer 106 .
  • conductive interconnect 114 is formed in recess 108 to electrically connect underlying layers.
  • conductive interconnect 112 and conductive interconnect 114 are configured to couple gate structure 15 with an input/output (I/O) region (not shown) over conductive interconnect 112 and conductive interconnect 114 .
  • the conductive material for conductive interconnect 112 and conductive interconnect 114 includes copper, aluminum or other suitable materials.
  • a seed layer is optionally formed on the walls of trench 107 and recess 108 .
  • Typical materials for the seed layer include Pd or other compounds of polymers and organic materials.
  • the seed layer may be formed by deposition through a suitable process such as PVD.
  • a suitable planarization process is used.
  • patterned dielectric layer 106 , and conductive interconnects 112 and 114 are planarized. Additionally, dielectric layer 106 , conductive interconnects 112 and 114 are made coplanar to facilitate subsequent processes.
  • a planarization process may be a chemical mechanical planarization (CMP) operation.
  • a conductive layer 110 is deposited on conductive interconnect 112 and conductive interconnect 114 .
  • conductive layer 110 is formed between conductive interconnects 112 or 114 and overlying layers, such as dielectric layers.
  • Conductive layer 110 is used to prevent conductive material in conductive interconnect 112 and conductive interconnect 114 , such as copper, from diffusing into surrounding dielectric materials.
  • Conductive layer 110 is formed with conductive materials such as cobalt, nickel, tungsten, molybdenum, titanium, platinum tantalum, other suitable material, and/or combinations thereof.
  • Conductive layer 110 has a thickness from about 10 ⁇ to about 100 ⁇ .
  • a suitable deposition process for forming conductive layer 110 includes CVD, ALD and other suitable processes.
  • a deposition process may perform selective deposition of conductive layer 110 onto the surface of the openings of conductive interconnect 112 and conductive interconnect 114 in order for electrically insulating between conductive interconnect 112 and conductive interconnect 114 .
  • the selective deposition provides to insulate conductive interconnect 112 and conductive interconnect 114 and other conductive interconnects in patterned dielectric layer 106 .
  • a silicon layer 116 is deposited over conductive layer 110 .
  • silicon layer 116 is covering patterned dielectric layer 106 .
  • Silicon layer 116 has a thickness of from about 10 ⁇ to about 100 ⁇ .
  • a suitable deposition process includes CVD using silane (SiH 4 ) or disilane (Si 2 H 6 ) as a silicon precursor.
  • silicon layer 116 can be formed by a PVD process by using silicon as target.
  • a metal silicide layer 118 is formed at the interface of silicon layer 116 and conductive layer 110 .
  • silicon layer 116 is disposed on the periphery of metal silicide layer 118 .
  • Silicon layer 116 provides silicon for forming metal silicide layer 118 .
  • Metal silicide layer 118 is formed by reacting silicon atoms from silicon layer 116 with the metal included in conductive layer 110 .
  • Metal silicide layer 118 may include Co 2 Si, CoSi, CoSi 2 , NiSi, NiSi 2 , WSi 2 , MoSi 2 , TiSi 2 , PtSi, TaSi 2 , other suitable materials, and/or combinations thereof.
  • Metal silicide layer 118 is formed over conductive interconnects 112 and 114 . In one embodiment, when the conductive material in contact with conductive layer 110 is consumed in forming metal silicide layer 118 , metal silicide layer 118 would be formed on conductive interconnects 112 and 114 . In another embodiment where part of conductive layer 110 is disposed on conductive interconnects 112 and 114 , metal silicide layer 118 is formed between silicon layer 116 and conductive layer 110 . In one embodiment, metal silicide layer 118 is disposed over the surfaces of conductive interconnects 112 and 114 .
  • a second dielectric layer 220 is formed on metal silicide layer 118 .
  • second dielectric layer 220 is deposited on patterned dielectric layer 106 .
  • Second dielectric layer 220 may be deposited on silicon layer 116 .
  • the material used for forming second dielectric layer 220 is the same as that for forming patterned dielectric layer 106 .
  • metal silicide layer 118 may be formed between second dielectric layer 220 and conductive interconnects 112 and 114 .
  • Second dielectric layer 220 has a thickness of from about 100 ⁇ to about 2000 ⁇ . In one embodiment, the ratio of thickness between second dielectric layer 220 and metal silicide layer 118 is between 1 and 200.
  • the step of forming metal silicide layer 118 to be a silicide form of the conductive material of conductive layer 110 is performed during the formation of the second dielectric layer 220 on silicon layer 116 .
  • silicon layer 116 has a coefficient of thermal expansion (CTE) of from about 2 ppm/° C. to about 3.3 ppm/° C., for example 2.6 ppm/° C.
  • second dielectric layer 220 has a CTE of from about 0.1 ppm/° C. to about 5 ppm/° C., for example 1 ppm/° C.
  • conductive layer 110 has a CTE of from about 4.5 ppm/° C. to about 9 ppm/° C., for example 6.3 ppm/° C., or from about 13 ppm/° C. to about 14 ppm/° C., for example 13.5 ppm/° C.
  • conductive interconnects 112 or 114 has a CTE of from about 16 ppm/° C. to about 24 ppm/° C.
  • metal silicide layer 118 has a CTE of from about 6.5 ppm/° C. to about 9.5 ppm/° C., or from about 9.5 ppm/° C. to about 15 ppm/° C.
  • the CTE of conductive interconnect 112 or conductive interconnect 114 is larger than the CTE of metal silicide layer 118 .
  • the CTE of metal silicide layer 118 is larger than the CTE of silicon layer 116 .
  • the CTE of metal silicide layer 118 is larger than the CTE of second dielectric layer 220 .
  • the CTE of metal silicide layer 118 is larger than the CTE of patterned dielectric layer 106 .
  • the ratio of the CTE between metal silicide layer 118 and second dielectric layer 220 is larger than the ratio of CTE between metal silicide layer 118 and silicon layer 116 . In some examples, the ratio of the CTE between conductive interconnect 112 and second dielectric layer 220 is larger than the ratio of CTE between conductive interconnect 112 and silicon layer 116 .
  • metal silicide layer 118 can be formed in-situ with second dielectric layer 220 .
  • the formation of both metal silicide layer 118 and second dielectric layer 220 can be performed within the same chamber or within one platform without breaking vacuum.
  • second dielectric layer 220 is formed in one chamber by breaking vacuum after metal silicide layer 118 is formed in another chamber.
  • conductive layer 110 and second dielectric layer 220 In conventional practices, it is required to form conductive layer 110 and second dielectric layer 220 in different chambers, and thus a process sequence with breaking vacuum is inevitable.
  • conductive layer 110 is formed and moved out of the vacuum condition, it is found that a metal oxide layer may be formed before second dielectric layer 220 is formed on conductive layer 110 .
  • the metal oxide layer is formed by reacting oxygen in the atmosphere with conductive layer 110 . It is believed that the metal oxide layer may lead to defects such as bubbles or peeling. The adhesion between conductive layer 110 and second dielectric layer 220 is thus degraded. The device integrity and reliability would be impacted due to peeling or bubbles.
  • silicon layer 116 is formed on conductive layer 110 before conductive layer 110 is exposed to oxygen. That can prevent formation of a metal oxide layer. The performance of adhesion between conductive layer 110 and second dielectric layer 220 is thus improved.
  • FIGS. 1I -IJ are cross sectional views of a semiconductor device manufacturing processes, in accordance with some embodiments.
  • FIGS. 1I-1J show alternative operation steps subsequent to the operation step illustrated in FIG. 1F .
  • second dielectric layer 220 is deposited on silicon layer 116 before metal silicide layer 118 is formed.
  • second dielectric layer 220 is covering part of patterned dielectric layer 106 .
  • silicon layer 116 is disposed between patterned dielectric layer 106 and second dielectric layer 220 .
  • second dielectric layer 220 is disposed over conductive layer 110 .
  • conductive layer 110 is disposed between pattern dielectric layer 106 and second dielectric layer 220 .
  • metal silicide layer 118 is formed between second dielectric layer 220 and conductive interconnect 114 or conductive interconnect 112 .
  • a suitable process for forming metal silicide layer 118 includes an annealing process, such as heating semiconductor substrate 102 .
  • metal silicide layer 118 is formed on conductive layer 110 . In another embodiment, metal silicide layer 118 is formed at the surface between silicon layer 116 and conductive layer 110 . In yet another embodiment, metal silicide layer 118 is formed between silicon layer 116 and conductive interconnect 112 and conductive interconnect 114 .
  • FIGS. 1K -IL are cross sectional views of a semiconductor device manufacturing processes, in accordance with some embodiments.
  • FIGS. 1K-1L show another alternative operation steps subsequent to the operation step illustrated in FIG. 1E .
  • part of second dielectric layer 220 is formed on patterned dielectric layer 106 .
  • second dielectric layer 220 is utilized, instead of the formation of silicon layer 116 as illustrated in FIG. 1F , with a view to keeping oxygen from reacting with metal in conductive layer 110 .
  • second dielectric layer 220 is covering portions of patterned dielectric layer 106 .
  • Second dielectric layer 220 is disposed over conductive layer 110 .
  • conductive layer 110 is disposed between patterned dielectric layer 106 and second dielectric layer 220 .
  • metal silicide layer 118 is also started on the surface of conductive layer 110 .
  • the silicon in second dielectric layer 220 is transferred into metal silicide layer 118 during the formation of second dielectric layer 220 .
  • metal silicide layer 118 is formed between second dielectric layer 220 and conductive layer 110 .
  • second dielectric layer 220 and metal silicide layer 118 are formed in-situ with conductive layer 110 without breaking vacuum.
  • the step of forming metal silicide layer 118 to be a silicide form of the conductive material of conductive layer 110 is performed during the formation of second dielectric layer 220 .
  • a full second dielectric layer 220 and a full metal silicide layer 118 are formed.
  • FIG. 2 shows a schematic of a semiconductor manufacturing platform 200 , in accordance with some embodiments.
  • Semiconductor manufacturing platform 200 includes a first tool 202 , a second tool 204 , and a channel 206 .
  • First tool 202 includes a first chamber 202 to accommodate a semiconductor wafer for conducting processes.
  • First tool 202 is configured to perform semiconductor manufacturing operations, such as those illustrated in FIGS. 1A-1L , on the semiconductor wafer.
  • First chamber 202 is shown for illustration, and different configurations with more chambers are alternatively used in first tool 202 .
  • second tool 204 includes a second chamber 204 to accommodate a semiconductor wafer.
  • a manufacturing process performed in first tool 202 may be different from the manufacturing process performed in second tool 204 .
  • Channel 206 is disposed between first tool 202 and second tool 204 .
  • Channel 206 includes a robot 208 , configured to move semiconductor wafers between first tool 202 and second tool 204 .
  • channel 206 is configured under a low pressure or vacuum condition. The pressure of channel 206 may be kept under 0.1 torr.
  • channel 206 provides a virtual vacuum tunnel through which contamination due to undesired reactants, such as oxygen, can be controlled.
  • the operations in FIGS. 1E and 1K performed in sequence may be conducted in first tool 202 and second tool 204 separately.
  • the sequential operations require a working environment without breaking vacuum in order to prevent the formation of a metal oxide layer on conductive layer 110 . In that case channel 206 can be leveraged in moving semiconductor wafer without breaking vacuum after conductive layer 110 is formed.
  • FIG. 3 is a flow diagram showing a semiconductor manufacturing process, in accordance of some embodiments.
  • semiconductor substrate 102 of semiconductor device 100 is provided.
  • at least one transistor is formed on semiconductor substrate 102 .
  • the transistor includes a gate structure, a source region and a drain region.
  • dielectric layer 105 is etched to form trench 107 and recess 108 such that patterned dielectric layer 106 is formed over the gate structure of semiconductor device 100 .
  • conductive interconnect 112 and 114 are formed in trench 107 and recess 108 , respectively, in patterned dielectric layer 106 .
  • a surface of conductive interconnect 112 or conductive interconnect 114 uncovered by the patterned dielectric layer 106 is exposed.
  • conductive interconnect 112 and conductive interconnect 114 include a conductive material such as copper or aluminum.
  • conductive layer 110 having a conductive material is formed on the exposed surface of conductive interconnect 112 or conductive interconnect 114 .
  • the conductive material is formed over the exposed surface.
  • silicon layer 116 is formed on conductive layer 110 .
  • Silicon layer 116 provides the silicon for forming metal silicide layer 118 .
  • metal silicide layer 118 is formed to be a silicide form of the conductive material for conductive interconnect 112 or conductive interconnect 114 .
  • Metal silicide layer 118 is formed by reacting the conductive material with silicon. In an embodiment, metal silicide layer 118 is formed by reacting the conductive material in conductive layer 110 and the silicon through a process of, for example, heating the substrate.
  • second dielectric layer 220 is formed on silicon layer 116 .
  • second dielectric layer 220 is formed on patterned dielectric layer 106 .
  • the step of forming metal silicide layer 118 to be a silicide form of the conductive material is performed during the formation of second dielectric layer 220 on silicon layer 116 .
  • FIG. 4 is a flow diagram showing a semiconductor manufacturing process, in accordance of some embodiments. Referring to FIG. 4 , operations 310 - 360 are illustrated in operations 310 - 360 of FIG. 3 . Following operation 360 , in operation 410 , second dielectric layer 220 is formed on silicon layer 116 . In operation 420 , metal silicide layer 118 is formed between conductive layer 110 and second dielectric layer 220 .
  • FIG. 5 is a flow diagram showing a semiconductor manufacturing process, in accordance of some embodiment.
  • operations 310 - 350 are illustrated in operations 310 - 350 of FIG. 3 .
  • part of second dielectric layer 220 is formed on silicon layer 116 .
  • metal silicide layer 118 is formed between conductive layer 110 and second dielectric layer 220 .
  • Metal silicide layer 118 is formed during the formation of second dielectric layer 220 .
  • metal silicide layer 118 is formed where the silicon is transferred into metal silicide layer 118 during the process of forming second dielectric layer 220 in operation 510 .
  • Some embodiments of the present disclosure provide a semiconductor device including a dielectric layer over a gate structure of the semiconductor device.
  • a conductive interconnect is configured to couple the gate structure with an I/O region over the conductive interconnect.
  • a metal silicide layer is disposed between the conductive interconnect and the dielectric layer, and the metal silicide is a silicide form of a metal different from the conductive interconnect.
  • Some embodiments of the present disclosure provide a semiconductor device including a first dielectric layer.
  • a conductive interconnect is disposed within the first dielectric layer.
  • a metal silicide layer is disposed over the conductive interconnect.
  • a silicon layer is disposed on the periphery of the metal silicide layer, and a second dielectric layer disposed over the metal silicide layer and the silicon layer.
  • Some embodiments of the present disclosure provide a method for manufacturing a semiconductor device, which include providing a substrate.
  • the method also includes forming a gate structure on the substrate.
  • the method further includes forming a first dielectric layer over the gate structure of the semiconductor device.
  • the method includes forming a conductive interconnect in a trench of the first dielectric layer thereby exposing a surface of the conductive interconnect uncovered by the first dielectric layer.
  • the method also includes forming a conductive material on the exposed surface, and forming a metal silicide layer to be a silicide form of the conductive material by reacting the conductive material with silicon.

Abstract

A semiconductor device is provided which includes a dielectric layer over a gate structure of the semiconductor device. The semiconductor device also includes a conductive interconnect configured to couple the gate structure with an I/O region over the conductive interconnect. The semiconductor also includes a metal silicide layer disposed between the conductive interconnect and the dielectric layer where the metal silicide is a silicide form of a metal different from the conductive interconnect.

Description

    BACKGROUND
  • As the semiconductor manufacturing and fabrication industry has progressed to advanced technology nodes, it can be found that integration levels are increasing, device features are reduced, and greater demands are increasing for device performance.
  • In a fabrication process for a semiconductor chip, conductive interconnect structures are widely utilized for electrically connect different components of the device and/or connect external circuits. The requirements for reliability and performance of the conductive interconnects are becoming more stringent as the feature size continues to shrink. Advanced manufacturing techniques are investigated for improving the integrity of the conductive interconnects and the system performance of the semiconductor chip.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIGS. 1A-1L are cross sectional views of operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a schematic showing a semiconductor manufacturing platform, in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a flow diagram showing operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a flow diagram showing operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a flow diagram showing operations for manufacturing a semiconductor device, in accordance with some embodiments of the present disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIGS. 1A-1L are cross sectional views of operations for manufacturing a semiconductor device 100, in accordance with some embodiments of the present disclosure. Referring to FIG. 1A, a semiconductor substrate 102 is provided. Semiconductor substrate 102 includes a semiconductor material such as silicon, silicon germanium, or the like. Semiconductor substrate 102 may be lightly doped with a p-type impurity to become a p-type silicon substrate (P-substrate). Otherwise, semiconductor substrate 102 can also be doped with an n-type impurity to be an n-type silicon substrate (n-substrate). In some embodiments, semiconductor substrate 102 includes an elementary semiconductor such as silicon or germanium in crystal, polycrystalline, or an amorphous structure. In some embodiments, semiconductor substrate 102 may be compound semiconductor such as gallium arsenide (GaAs), gallium phosphide (GaP), silicon carbide (SiC), indium phosphide (InP), indium arsenide (InAs), or indium antimonide (InSb). In other embodiment, semiconductor substrate 102 may be an alloy semiconductor such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminium gallium arsenide (AlGaAs), aluminium indium arsenide (AlInAs), germanium indium arsenide (GaInAs), germanium indium phosphide (GaInP), and/or germanium indium arsenide phosphide (GaInAsP) or any other suitable materials.
  • In some embodiments, semiconductor substrate 102 may be a silicon on insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods. In some examples, semiconductor substrate 102 includes a doped epitaxy layer or a buried layer. In other examples, semiconductor substrate 102 has a multilayer compound structure.
  • In FIG. 1B, various isolation features 12, such as shallow trench isolation (STI) or local oxidation of silicon (LOCOS), are formed in semiconductor substrate 102 to separate devices. Isolation features 12 are formed to define and electrically isolate various active regions as shown in FIG. 1. For example, isolation features 12 may define a region for a complementary metal oxide semiconductor (CMOS) device, a region for a core n-type MOS (NMOS) device, a region for a core p-type MOS (PMOS) device, and other regions for various microelectronic devices utilized in integrated circuits. It is understood that several processes disclosed below form corresponding features in some other active regions on semiconductor substrate 102 for some other types of devices. Isolation features 12 may comprise silicon oxide (SiOx), silicon nitride (SiN), silicon oxynitride (SiON), an air gap, other suitable materials, or combinations thereof.
  • Subsequently, a first doped region 13 is formed in semiconductor substrate 12. Moreover, a second doped region 14 is formed in semiconductor substrate 12 adjacent to some isolation features 12. First doped region 13 and second doped region 14 can be a source region or a drain region for PMOS, NMOS or CMOS transistors. First doped region 13 and second doped region 14 comprise highly concentrated dopants, and are formed as p-type regions with boron or n-type region with phosphorus. First doped region 13 and second doped region 14 may be formed by various processes, for example, thermal diffusion process. First doped region 13 and second doped region 14 may be formed by a plurality of operations, whether now known or to be developed, such as growing a sacrificial oxide on semiconductor substrate 102, opening a pattern for a location(s) in first doped region 13 or second doped region 14, implanting impurities and annealing.
  • In some embodiments, semiconductor substrate 102 may include various well regions (not shown) depending on design specifications as known in the art. The well regions are formed in a p-well structure, an n-well structure or a twin well structure. The doping concentration in those well regions is less than first doped region 13 or second doped region 14. The p-well structure is formed with p-type dopants to surround n-type first doped region 13 or n-type second doped region 14. Alternatively, the n-well structure is formed with n-type dopants to surround p-type first region 13 or second doped region 14.
  • In FIG. 1B, an inter-layer dielectric (ILD) layer 104 is formed on semiconductor substrate 102. ILD layer 104 includes parts of MOS transistors, such as a gate structure 15, first sidewall spacer 18, and second sidewall spacer 19 and conductive plugs 21 and 22.
  • Gate structure 15 is disposed on semiconductor substrate 102. Gate structure 15 may include a gate dielectric 16 disposed on semiconductor substrate 102 and a gate electrode 17 disposed on gate dielectric 16.
  • Gate dielectric 16, which is a layer on semiconductor substrate 102, may include a silicon oxide layer. Alternatively, gate dielectric 16 may optionally include a high-k dielectric material, silicon oxide, silicon nitride, silicon oxynitride, other suitable materials, or combinations thereof. The high-k material may be selected from metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitride of metals, metal aluminates, zirconium silicate, zirconium aluminate, hafnium oxide, or combinations thereof. Examples of high-k dielectric material includes HfO2, HfSiO, HfSiON, HfzrO, LaO, BazrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, zirconium oxide, aluminum oxide, other suitable high-k dielectric materials, and/or combinations thereof. In some embodiments, gate dielectric 16 may have a multilayer structure such as one layer of silicon oxide and another layer of high k material. Gate dielectric 16 may be formed over an interfacial layer by any suitable process.
  • Gate electrode 17 is disposed on gate dielectric 16. Gate electrode 17 includes conductive material, such as aluminum, copper, titanium, tantalum, tungsten, molybdenum, tantalum nitride, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloys, other suitable materials, and/or combinations thereof. In some embodiments, heavily doped amorphous silicon or polysilicon is alternatively used. In that case a highly concentrated dopant such as boron or phosphorus is utilized to form gate electrode 17. In some embodiments, a silicon layer is used as gate material for gate structure 15. A silicide layer (not shown) is formed on gate electrode layer 17 by reacting silicon with conductive material such as tungsten, Ti, Pt, Ta, Nb, Hf, Mo, or other suitable metal.
  • Gate structure 15 has a first sidewall spacer 18 of gate structure 15 and a second sidewall spacer 19 disposed opposite to first sidewall spacer 18 of gate structure 15. First sidewall spacer 18 and second sidewall spacer 19 are formed by dielectric material such as silicon nitride or silicon oxide. First sidewall space 18 and second sidewall spacer 19 may be formed in different shapes in the upper portions or slopes. First sidewall spacer 18 and second sidewall spacer 19 may be formed by deposition of thin film, such as silicon nitride, on gate structure 15 and semiconductor substrate 12. Then an etching process is used to remove the residue film material on the surface of semiconductor substrate 12, leaving first sidewall spacer 18 and second sidewall 19.
  • Referring to FIG. 1B, ILD layer 104 further comprises conductive plugs 21 and conductive plug 22. Conductive plug 21 may be formed atop first doped region 13. Conductive plug 21 is electrically coupling first doped region 13 with conductive materials of overlying layers in semiconductor device 100. Similarly, conductive plug 22 is electrically coupling second doped region 14 with conductive materials of overlying layers in semiconductor device 100. Conductive plugs 21 and 22 are formed with electrically conductive materials, such as aluminum, copper, tungsten, or other suitable metal. Conductive plugs 21 and 22 may be formed by a suitable process such as low pressure chemical vaporization deposition (LPCVD) or sputtering.
  • In some embodiments, a diffusion barrier layer (not shown) is formed between conductive plugs 21 and 22 and semiconductor substrate 102. For example, titanium, titanium nitride or tungsten-tungsten can be used in forming the diffusion barrier layer. The diffusion barrier layer may be formed by sputtering, CVD or other suitable process.
  • ILD 104 also includes dielectric materials for electrically isolating among components in ILD layer 104, and between ILD 104 and semiconductor substrate 102. A suitable process may be utilized for forming the dielectric materials, such as deposition. Then a planarization process is applied to ILD layer 104 for further processes.
  • Referring to FIG. 1B, a dielectric layer 105 is disposed on ILD layer 104. Dielectric layer 105 includes materials such as silicon oxide, silicon nitride (SiN), silicon oxynitride, silicon oxycarbide (SiOC), silicon carbide, fluorinated silicon oxide (SiOF), carbon-doped silicon oxide (e.g., SiOCH), spin-on glass (SOG), amorphous fluorinated carbon, fluorinated silica glass (FSG), polyimide, BCB (bis-benzocyclobutenes), non-porous materials, porous materials, and/or combinations thereof. In some embodiments, Dielectric layer 105 includes a high density plasma (HDP) dielectric material (e.g., HDP oxide) and/or a high aspect ratio process (HARP) dielectric material (e.g., HARP oxide). In some embodiments, Dielectric layer 105 is a planarized dielectric film.
  • Dielectric layer 105 is formed by a suitable deposition process, which may include chemical vapor deposition (CVD), physical vapor deposition (PVD), ionized PVD (IPVD) and atomic layer deposition (ALD). Additionally, other processes include high density plasma CVD (HDPCVD), metal organic CVD (MOCVD), remote plasma CVD (RPCVD), plasma enhanced CVD (PECVD), LPCVD, thermal oxidation, UV-ozone oxidation, epitaxial growth methods (e.g., selective epitaxy growth), sputtering, plating, spin-on coating, other suitable methods, and/or combinations thereof. In an embodiment, dielectric layer 105 has a suitable range of thickness from about 100 Å to about 2000 Å.
  • In FIG. 1C, a trench 107 and a recess 108 are formed by a suitable etching process on dielectric layer 105 to form patterned dielectric layer 106. Recess 108 may include a stacked trench and via structure. In some embodiments, a layer of photoresist is formed over dielectric layer 105 by a suitable process, for example, lithography or other alternatives, and patterned to form a photoresist feature by a proper photolithography patterning method. A photolithography process may also be implemented or replaced by other proper methods such as maskless photolithography, electron-beam writing, ion-beam writing, and/or molecular imprint. In some embodiments, a photolithography process may include forming a photoresist layer over dielectric layer 105, exposing photoresist to a pattern, performing a post-exposure bake process, and forming a masking element including the photoresist. In an embodiment, a dual damascene technology is utilized where an intermediate etch stop layer may be formed as hard mask for the stacked trench-via structure of recess 108.
  • Subsequently, trench 107 and recess 108 may then be etched using reactive ion etching (RIE) processes and/or other etching processes. An etching process may include dry etching, wet etching, and/or other etching methods (e.g., reactive ion etching). The etching process may also be either purely chemical (plasma etching), purely physical (ion milling), and/or combinations thereof.
  • A dry etching process may be implemented in an etching chamber. The thickness of different features may be controlled by adjusting some process parameters including a radio frequency (RF) source power, a bias power, electrode size, a pressure, a flow rate, etching duration, a wafer temperature, other suitable process parameters, and/or combinations thereof. A dry etching process may implement an oxygen-containing gas, fluorine-containing gas (e.g., CF4, SF6, CH2F2, CHF3, and/or C2F6), chlorine-containing gas (e.g., Cl2, CHCl3, CCl4, and/or BCl3), bromine-containing gas (e.g., HBr, He and/or CHBr3), iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. In some embodiments, the dry etching process utilizes an O2 plasma treatment and/or an O2/N2 plasma treatment. Further, the dry etching process may be performed for a suitable duration.
  • A wet etching process may utilize a hydrofluoric acid (HF) solution for a HF dipping process. In some embodiments, a wet etching process may apply a diluted hydrofluoric acid to an intermediate semiconductor structure. In some embodiments, the wet etching process includes exposing to a hydroxide solution containing ammonium hydroxide, diluted HF, deionized water, and/or other suitable etchant solutions.
  • After trench 107 and recess 108 are formed on patterned dielectric layer 106, the photoresist may be stripped thereafter. Subsequently, a diffusion barrier layer (not shown) may be optionally formed on the bottom and sidewalls of trench 107 and recess 108. A typical diffusion barrier metal or alloy includes tantalum, nickel, hafnium, niobium, zirconium, vanadium, tungsten, nichrome, and titanium tungdsten. In addition, conductive ceramics is also considered, such as indium oxide, copper silicide, tungsten nitride, and titanium nitride. A suitable deposition process for forming the diffusion barrier layer as previously discussed can be used, such as CVD, ALD and PVD. The diffusion barrier layer has a thickness from about 20 Å to about 200 Å. It is understood, however, that the dimensions recited throughout the present disclosure will scale due to the utilized manufacturing techniques.
  • FIG. 1D is a step of filling conductive material into trench 107 and recess 108. A conductive interconnect 112 and a conductive interconnect 114 are then formed in trench 107 and recess 108, respectively. Conductive interconnect 112 is formed to electrically couple features in patterned dielectric layer 106. Similarly, conductive interconnect 114 is formed in recess 108 to electrically connect underlying layers. In some embodiments, conductive interconnect 112 and conductive interconnect 114 are configured to couple gate structure 15 with an input/output (I/O) region (not shown) over conductive interconnect 112 and conductive interconnect 114. The conductive material for conductive interconnect 112 and conductive interconnect 114 includes copper, aluminum or other suitable materials.
  • In an embodiment, before the formation of conductive interconnects 112 and conductive interconnect 114, a seed layer is optionally formed on the walls of trench 107 and recess 108. Typical materials for the seed layer include Pd or other compounds of polymers and organic materials. The seed layer may be formed by deposition through a suitable process such as PVD.
  • After conductive interconnects 112 and 114 are formed, a suitable planarization process is used. In some embodiments, patterned dielectric layer 106, and conductive interconnects 112 and 114 are planarized. Additionally, dielectric layer 106, conductive interconnects 112 and 114 are made coplanar to facilitate subsequent processes. A planarization process may be a chemical mechanical planarization (CMP) operation.
  • Referring to FIG. 1E, a conductive layer 110 is deposited on conductive interconnect 112 and conductive interconnect 114. In some embodiments, conductive layer 110 is formed between conductive interconnects 112 or 114 and overlying layers, such as dielectric layers. Conductive layer 110 is used to prevent conductive material in conductive interconnect 112 and conductive interconnect 114, such as copper, from diffusing into surrounding dielectric materials. Conductive layer 110 is formed with conductive materials such as cobalt, nickel, tungsten, molybdenum, titanium, platinum tantalum, other suitable material, and/or combinations thereof.
  • Conductive layer 110 has a thickness from about 10 Å to about 100 Å. A suitable deposition process for forming conductive layer 110 includes CVD, ALD and other suitable processes. In some embodiments, a deposition process may perform selective deposition of conductive layer 110 onto the surface of the openings of conductive interconnect 112 and conductive interconnect 114 in order for electrically insulating between conductive interconnect 112 and conductive interconnect 114. Also, the selective deposition provides to insulate conductive interconnect 112 and conductive interconnect 114 and other conductive interconnects in patterned dielectric layer 106.
  • Referring to FIG. 1F, a silicon layer 116 is deposited over conductive layer 110. In one embodiment, silicon layer 116 is covering patterned dielectric layer 106. Silicon layer 116 has a thickness of from about 10 Å to about 100 Å. A suitable deposition process includes CVD using silane (SiH4) or disilane (Si2H6) as a silicon precursor. Alternatively, silicon layer 116 can be formed by a PVD process by using silicon as target.
  • Referring to FIG. 1G, a metal silicide layer 118 is formed at the interface of silicon layer 116 and conductive layer 110. In an embodiment, silicon layer 116 is disposed on the periphery of metal silicide layer 118. Silicon layer 116 provides silicon for forming metal silicide layer 118. Metal silicide layer 118 is formed by reacting silicon atoms from silicon layer 116 with the metal included in conductive layer 110. Metal silicide layer 118 may include Co2Si, CoSi, CoSi2, NiSi, NiSi2, WSi2, MoSi2, TiSi2, PtSi, TaSi2, other suitable materials, and/or combinations thereof.
  • Metal silicide layer 118 is formed over conductive interconnects 112 and 114. In one embodiment, when the conductive material in contact with conductive layer 110 is consumed in forming metal silicide layer 118, metal silicide layer 118 would be formed on conductive interconnects 112 and 114. In another embodiment where part of conductive layer 110 is disposed on conductive interconnects 112 and 114, metal silicide layer 118 is formed between silicon layer 116 and conductive layer 110. In one embodiment, metal silicide layer 118 is disposed over the surfaces of conductive interconnects 112 and 114.
  • Referring FIG. 1H, a second dielectric layer 220 is formed on metal silicide layer 118. In one embodiment, second dielectric layer 220 is deposited on patterned dielectric layer 106. Second dielectric layer 220 may be deposited on silicon layer 116. In one embodiment, the material used for forming second dielectric layer 220 is the same as that for forming patterned dielectric layer 106. In another embodiment, when both the silicon of silicon layer 116 and the silicon-contacting metal in conductive layer 110 are consumed, metal silicide layer 118 may be formed between second dielectric layer 220 and conductive interconnects 112 and 114. Second dielectric layer 220 has a thickness of from about 100 Å to about 2000 Å. In one embodiment, the ratio of thickness between second dielectric layer 220 and metal silicide layer 118 is between 1 and 200.
  • In an embodiment, the step of forming metal silicide layer 118 to be a silicide form of the conductive material of conductive layer 110 is performed during the formation of the second dielectric layer 220 on silicon layer 116.
  • In an embodiment, silicon layer 116 has a coefficient of thermal expansion (CTE) of from about 2 ppm/° C. to about 3.3 ppm/° C., for example 2.6 ppm/° C. In another embodiment, second dielectric layer 220 has a CTE of from about 0.1 ppm/° C. to about 5 ppm/° C., for example 1 ppm/° C. In some embodiments, conductive layer 110 has a CTE of from about 4.5 ppm/° C. to about 9 ppm/° C., for example 6.3 ppm/° C., or from about 13 ppm/° C. to about 14 ppm/° C., for example 13.5 ppm/° C. In an embodiment, conductive interconnects 112 or 114 has a CTE of from about 16 ppm/° C. to about 24 ppm/° C. In an embodiment, metal silicide layer 118 has a CTE of from about 6.5 ppm/° C. to about 9.5 ppm/° C., or from about 9.5 ppm/° C. to about 15 ppm/° C.
  • In some examples, the CTE of conductive interconnect 112 or conductive interconnect 114 is larger than the CTE of metal silicide layer 118. In some examples, the CTE of metal silicide layer 118 is larger than the CTE of silicon layer 116. In some examples, the CTE of metal silicide layer 118 is larger than the CTE of second dielectric layer 220. In some examples, the CTE of metal silicide layer 118 is larger than the CTE of patterned dielectric layer 106.
  • In some examples, the ratio of the CTE between metal silicide layer 118 and second dielectric layer 220 is larger than the ratio of CTE between metal silicide layer 118 and silicon layer 116. In some examples, the ratio of the CTE between conductive interconnect 112 and second dielectric layer 220 is larger than the ratio of CTE between conductive interconnect 112 and silicon layer 116.
  • In one embodiment, metal silicide layer 118 can be formed in-situ with second dielectric layer 220. In other words, the formation of both metal silicide layer 118 and second dielectric layer 220 can be performed within the same chamber or within one platform without breaking vacuum. Alternatively, second dielectric layer 220 is formed in one chamber by breaking vacuum after metal silicide layer 118 is formed in another chamber.
  • In conventional practices, it is required to form conductive layer 110 and second dielectric layer 220 in different chambers, and thus a process sequence with breaking vacuum is inevitable. When conductive layer 110 is formed and moved out of the vacuum condition, it is found that a metal oxide layer may be formed before second dielectric layer 220 is formed on conductive layer 110. The metal oxide layer is formed by reacting oxygen in the atmosphere with conductive layer 110. It is believed that the metal oxide layer may lead to defects such as bubbles or peeling. The adhesion between conductive layer 110 and second dielectric layer 220 is thus degraded. The device integrity and reliability would be impacted due to peeling or bubbles. On the contrary, in the present disclosure, silicon layer 116 is formed on conductive layer 110 before conductive layer 110 is exposed to oxygen. That can prevent formation of a metal oxide layer. The performance of adhesion between conductive layer 110 and second dielectric layer 220 is thus improved.
  • FIGS. 1I-IJ are cross sectional views of a semiconductor device manufacturing processes, in accordance with some embodiments. FIGS. 1I-1J show alternative operation steps subsequent to the operation step illustrated in FIG. 1F. Referring to FIG. 1I, second dielectric layer 220 is deposited on silicon layer 116 before metal silicide layer 118 is formed. In an embodiment, second dielectric layer 220 is covering part of patterned dielectric layer 106. In another embodiment, silicon layer 116 is disposed between patterned dielectric layer 106 and second dielectric layer 220. Additionally, second dielectric layer 220 is disposed over conductive layer 110. In one embodiment, conductive layer 110 is disposed between pattern dielectric layer 106 and second dielectric layer 220.
  • Referring to FIG. 1J, metal silicide layer 118 is formed between second dielectric layer 220 and conductive interconnect 114 or conductive interconnect 112. In some embodiments, a suitable process for forming metal silicide layer 118 includes an annealing process, such as heating semiconductor substrate 102.
  • In one embodiment, metal silicide layer 118 is formed on conductive layer 110. In another embodiment, metal silicide layer 118 is formed at the surface between silicon layer 116 and conductive layer 110. In yet another embodiment, metal silicide layer 118 is formed between silicon layer 116 and conductive interconnect 112 and conductive interconnect 114.
  • FIGS. 1K-IL are cross sectional views of a semiconductor device manufacturing processes, in accordance with some embodiments. FIGS. 1K-1L show another alternative operation steps subsequent to the operation step illustrated in FIG. 1E. Referring to FIG. 1K, part of second dielectric layer 220 is formed on patterned dielectric layer 106. In that case second dielectric layer 220 is utilized, instead of the formation of silicon layer 116 as illustrated in FIG. 1F, with a view to keeping oxygen from reacting with metal in conductive layer 110. In an embodiment, second dielectric layer 220 is covering portions of patterned dielectric layer 106. Second dielectric layer 220 is disposed over conductive layer 110. In another embodiment, conductive layer 110 is disposed between patterned dielectric layer 106 and second dielectric layer 220.
  • During operation illustrated in FIG. 1K where part of second dielectric layer 220 is formed, the formation of metal silicide layer 118 is also started on the surface of conductive layer 110. With second dielectric layer 220, the silicon in second dielectric layer 220 is transferred into metal silicide layer 118 during the formation of second dielectric layer 220. In one embodiment, metal silicide layer 118 is formed between second dielectric layer 220 and conductive layer 110.
  • Referring to FIGS. 1E, and 1K, second dielectric layer 220 and metal silicide layer 118 are formed in-situ with conductive layer 110 without breaking vacuum. In addition, the step of forming metal silicide layer 118 to be a silicide form of the conductive material of conductive layer 110 is performed during the formation of second dielectric layer 220.
  • Referring to FIG. 1L, a full second dielectric layer 220 and a full metal silicide layer 118 are formed.
  • FIG. 2 shows a schematic of a semiconductor manufacturing platform 200, in accordance with some embodiments. Semiconductor manufacturing platform 200 includes a first tool 202, a second tool 204, and a channel 206.
  • First tool 202 includes a first chamber 202 to accommodate a semiconductor wafer for conducting processes. First tool 202 is configured to perform semiconductor manufacturing operations, such as those illustrated in FIGS. 1A-1L, on the semiconductor wafer. First chamber 202 is shown for illustration, and different configurations with more chambers are alternatively used in first tool 202. Similarly, second tool 204 includes a second chamber 204 to accommodate a semiconductor wafer. In one embodiment, a manufacturing process performed in first tool 202 may be different from the manufacturing process performed in second tool 204.
  • Channel 206 is disposed between first tool 202 and second tool 204. Channel 206 includes a robot 208, configured to move semiconductor wafers between first tool 202 and second tool 204. In one embodiment, channel 206 is configured under a low pressure or vacuum condition. The pressure of channel 206 may be kept under 0.1 torr. When two or more different processes are performed in first tool 202 and second tool 204 in sequence, channel 206 provides a virtual vacuum tunnel through which contamination due to undesired reactants, such as oxygen, can be controlled. For example, the operations in FIGS. 1E and 1K performed in sequence may be conducted in first tool 202 and second tool 204 separately. The sequential operations require a working environment without breaking vacuum in order to prevent the formation of a metal oxide layer on conductive layer 110. In that case channel 206 can be leveraged in moving semiconductor wafer without breaking vacuum after conductive layer 110 is formed.
  • FIG. 3 is a flow diagram showing a semiconductor manufacturing process, in accordance of some embodiments. In operation 310, semiconductor substrate 102 of semiconductor device 100 is provided. In operation 320, at least one transistor is formed on semiconductor substrate 102. The transistor includes a gate structure, a source region and a drain region.
  • In operation 330, dielectric layer 105 is etched to form trench 107 and recess 108 such that patterned dielectric layer 106 is formed over the gate structure of semiconductor device 100. Subsequently, in operation 340, conductive interconnect 112 and 114 are formed in trench 107 and recess 108, respectively, in patterned dielectric layer 106. A surface of conductive interconnect 112 or conductive interconnect 114 uncovered by the patterned dielectric layer 106 is exposed. In an embodiment, conductive interconnect 112 and conductive interconnect 114 include a conductive material such as copper or aluminum.
  • In operation 350, conductive layer 110 having a conductive material, such as cobalt, nickel, tungsten, molybdenum, titanium, platinum and tantalum, is formed on the exposed surface of conductive interconnect 112 or conductive interconnect 114. In one embodiment, the conductive material is formed over the exposed surface.
  • In operation 360, silicon layer 116 is formed on conductive layer 110. Silicon layer 116 provides the silicon for forming metal silicide layer 118. In operation 370, metal silicide layer 118 is formed to be a silicide form of the conductive material for conductive interconnect 112 or conductive interconnect 114. Metal silicide layer 118 is formed by reacting the conductive material with silicon. In an embodiment, metal silicide layer 118 is formed by reacting the conductive material in conductive layer 110 and the silicon through a process of, for example, heating the substrate.
  • In operation 380, second dielectric layer 220 is formed on silicon layer 116. In one embodiment, second dielectric layer 220 is formed on patterned dielectric layer 106. In an embodiment, the step of forming metal silicide layer 118 to be a silicide form of the conductive material is performed during the formation of second dielectric layer 220 on silicon layer 116.
  • FIG. 4 is a flow diagram showing a semiconductor manufacturing process, in accordance of some embodiments. Referring to FIG. 4, operations 310-360 are illustrated in operations 310-360 of FIG. 3. Following operation 360, in operation 410, second dielectric layer 220 is formed on silicon layer 116. In operation 420, metal silicide layer 118 is formed between conductive layer 110 and second dielectric layer 220.
  • FIG. 5 is a flow diagram showing a semiconductor manufacturing process, in accordance of some embodiment. Referring to FIG. 5, operations 310-350 are illustrated in operations 310-350 of FIG. 3. Following operation 350, in operation 510, part of second dielectric layer 220 is formed on silicon layer 116. In operation 520, metal silicide layer 118 is formed between conductive layer 110 and second dielectric layer 220. Metal silicide layer 118 is formed during the formation of second dielectric layer 220. In an embodiment, metal silicide layer 118 is formed where the silicon is transferred into metal silicide layer 118 during the process of forming second dielectric layer 220 in operation 510.
  • Some embodiments of the present disclosure provide a semiconductor device including a dielectric layer over a gate structure of the semiconductor device. A conductive interconnect is configured to couple the gate structure with an I/O region over the conductive interconnect. A metal silicide layer is disposed between the conductive interconnect and the dielectric layer, and the metal silicide is a silicide form of a metal different from the conductive interconnect.
  • Some embodiments of the present disclosure provide a semiconductor device including a first dielectric layer. A conductive interconnect is disposed within the first dielectric layer. A metal silicide layer is disposed over the conductive interconnect. A silicon layer is disposed on the periphery of the metal silicide layer, and a second dielectric layer disposed over the metal silicide layer and the silicon layer.
  • Some embodiments of the present disclosure provide a method for manufacturing a semiconductor device, which include providing a substrate. The method also includes forming a gate structure on the substrate. The method further includes forming a first dielectric layer over the gate structure of the semiconductor device. In addition, the method includes forming a conductive interconnect in a trench of the first dielectric layer thereby exposing a surface of the conductive interconnect uncovered by the first dielectric layer. The method also includes forming a conductive material on the exposed surface, and forming a metal silicide layer to be a silicide form of the conductive material by reacting the conductive material with silicon.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (26)

What is claimed is:
1. A semiconductor device, comprising:
a dielectric layer over a gate structure of the semiconductor device;
a conductive interconnect configured to couple the gate structure with an I/O region over the conductive interconnect; and
a metal silicide layer disposed above the conductive interconnect and the dielectric layer, the metal silicide being a silicide form of a first metal different from the conductive interconnect, and the metal silicide layer comprising a sidewall substantially coplanar with a side wall of the conductive interconnect.
2. The semiconductor device according to claim 1 further comprising a conductive layer disposed between the conductive interconnect and the metal silicide layer, wherein the conductive layer is the first metal.
3. The semiconductor device according to claim 2 further comprising a silicon layer inside the dielectric layer, wherein the silicon layer is between the metal silicide layer and the dielectric layer.
4. The semiconductor device according to claim 3, wherein the silicon layer is further disposed on a periphery of the metal silicide layer.
5. The semiconductor device according to claim 1, wherein the coefficient of linear thermal expansion (CTE-l) of the conductive interconnect is larger than the CTE of the metal silicide layer, and the CTE-l of the metal silicide layer is larger than the CTE-l of the dielectric layer.
6. The semiconductor device according to claim 2, wherein the conductive layer includes one of cobalt, nickel, tungsten, molybdenum, titanium, platinum and tantalum.
7. The semiconductor device according to claim 1, wherein the conductive interconnect includes copper.
8. The semiconductor device according to claim 1, wherein a ratio of thickness between the dielectric layer and the metal silicide layer is between 1 and 200.
9. A semiconductor device, comprising:
a first dielectric layer;
a conductive interconnect disposed within the first dielectric layer;
a metal silicide layer disposed over the conductive interconnect;
a silicon layer disposed on a periphery of the metal silicide layer; and
a second dielectric layer disposed over the metal silicide layer and the silicon layer, the second dielectric layer covering a top surface of the metal silicide layer.
10. The semiconductor device according to claim 9, wherein the silicon layer is disposed between the metal silicide layer and the second dielectric layer.
11. The semiconductor device according to claim 9, wherein the coefficient of linear thermal expansion (CTE-l) of the conductive interconnect is larger than CTE-l of the metal silicide layer, and the CTE-l of the metal silicide layer is larger than the CTE-l of the first and the second dielectric layers.
12. The semiconductor device according to claim 9, wherein the conductive interconnect includes a first metal, and the metal silicide layer is a silicide form of a second metal different from the first metal.
13. The semiconductor device according to claim 12, wherein the second metal includes one of cobalt, nickel, tungsten, molybdenum, titanium, platinum and tantalum.
14. The semiconductor device according to claim 12 further comprising a conductive layer including the second metal, disposed between the conductive interconnect and the metal silicide layer.
15. (canceled)
16. (canceled)
17. (canceled)
18. (canceled)
19. (canceled)
20. (canceled)
21. A semiconductor device, comprising:
a dielectric layer over a gate structure of the semiconductor device;
a conductive interconnect disposed within the dielectric layer;
a metal silicide layer disposed over the conductive interconnect; and
a silicon layer disposed on a periphery of the metal silicide layer and covering the dielectric layer.
22. The semiconductor device according to claim 21, wherein the coefficient of linear thermal expansion (CTE-l) of the conductive interconnect is larger than the CTE-l of the metal silicide layer, and the CTE-l of the metal silicide layer is larger than the CTE-l of the dielectric layer.
23. The semiconductor device according to claim 21, wherein the metal silicide layer is a silicide form of a metal selected from one of cobalt, nickel, tungsten, molybdenum, titanium, platinum and tantalum.
24. The semiconductor device according to claim 21, wherein the conductive interconnect includes copper.
25. The semiconductor device according to claim 21, wherein a ratio of thickness between the dielectric layer and the metal silicide layer is between 1 and 200.
26. The semiconductor device according to claim 21, wherein the conductive interconnect includes a first metal, and the metal silicide layer is a silicide form of a second metal different from the first metal.
US14/658,649 2015-03-16 2015-03-16 Semiconductor device and manufacturing process thereof Abandoned US20160276156A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/658,649 US20160276156A1 (en) 2015-03-16 2015-03-16 Semiconductor device and manufacturing process thereof
TW104137686A TWI585899B (en) 2015-03-16 2015-11-16 Semiconductor device and manufacturing process thereof
CN201510844545.5A CN105990229B (en) 2015-03-16 2015-11-27 Semiconductor devices and its manufacturing process
US17/027,549 US11271103B2 (en) 2015-03-16 2020-09-21 Semiconductor device and manufacturing process thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/658,649 US20160276156A1 (en) 2015-03-16 2015-03-16 Semiconductor device and manufacturing process thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/027,549 Division US11271103B2 (en) 2015-03-16 2020-09-21 Semiconductor device and manufacturing process thereof

Publications (1)

Publication Number Publication Date
US20160276156A1 true US20160276156A1 (en) 2016-09-22

Family

ID=56924996

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/658,649 Abandoned US20160276156A1 (en) 2015-03-16 2015-03-16 Semiconductor device and manufacturing process thereof
US17/027,549 Active US11271103B2 (en) 2015-03-16 2020-09-21 Semiconductor device and manufacturing process thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/027,549 Active US11271103B2 (en) 2015-03-16 2020-09-21 Semiconductor device and manufacturing process thereof

Country Status (3)

Country Link
US (2) US20160276156A1 (en)
CN (1) CN105990229B (en)
TW (1) TWI585899B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020534681A (en) * 2017-09-16 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Volume expansion of metal-containing film due to silicidation
US11114448B2 (en) * 2019-07-09 2021-09-07 Nanya Technology Corporation Semiconductor device and method for fabricating the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109704269A (en) * 2017-10-25 2019-05-03 中芯国际集成电路制造(上海)有限公司 A kind of MEMS device and preparation method, electronic device

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4398335A (en) * 1980-12-09 1983-08-16 Fairchild Camera & Instrument Corporation Multilayer metal silicide interconnections for integrated circuits
US4581815A (en) * 1984-03-01 1986-04-15 Advanced Micro Devices, Inc. Integrated circuit structure having intermediate metal silicide layer and method of making same
US4593454A (en) * 1983-11-22 1986-06-10 Societe pour d'Etude et la Fabrication de Circuits Integres Speciaux EFCS Process for manufacturing an integrated circuit with tantalum silicide connections utilizing self-aligned oxidation
US4833519A (en) * 1986-05-30 1989-05-23 Fujitsu Limited Semiconductor device with a wiring layer having good step coverage for contact holes
US4902637A (en) * 1986-03-03 1990-02-20 Mitsubishi Denki Kabushiki Kaisha Method for producing a three-dimensional type semiconductor device
US4939568A (en) * 1986-03-20 1990-07-03 Fujitsu Limited Three-dimensional integrated circuit and manufacturing method thereof
US4961103A (en) * 1987-11-27 1990-10-02 Nec Corporation Semiconductor device having polycrystalline silicon resistor
US4968645A (en) * 1985-12-20 1990-11-06 Sgs-Thomson Microelectronics S.R.L. Method for manufacturing MOS/CMOS monolithic integrated circuits including silicide and polysilicon patterning
US5061983A (en) * 1980-07-15 1991-10-29 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device having a metal silicide layer connecting two semiconductors
US5079177A (en) * 1989-09-19 1992-01-07 National Semiconductor Corporation Process for fabricating high performance bicmos circuits
US5108945A (en) * 1990-01-10 1992-04-28 Microunity Systems Engineering, Inc. Process for fabricating polysilicon resistors and interconnects
US5122476A (en) * 1991-01-28 1992-06-16 Micron Technology, Inc. Double DRAM cell
US5128744A (en) * 1988-09-12 1992-07-07 Hitachi, Ltd. Semiconductor integrated circuit and method of manufacturing same
US5134581A (en) * 1989-11-01 1992-07-28 Hitachi, Ltd. Highly stable semiconductor memory with a small memory cell area
US5171713A (en) * 1990-01-10 1992-12-15 Micrunity Systems Eng Process for forming planarized, air-bridge interconnects on a semiconductor substrate
US5210429A (en) * 1990-06-29 1993-05-11 Sharp Kabushiki Kaisha Static RAM cell with conductive straps formed integrally with thin film transistor gates
US5229326A (en) * 1992-06-23 1993-07-20 Micron Technology, Inc. Method for making electrical contact with an active area through sub-micron contact openings and a semiconductor device
US5275963A (en) * 1990-07-31 1994-01-04 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
US5323045A (en) * 1991-03-30 1994-06-21 Nippon Steel Corporation Semiconductor SRAM with low resistance power line
US5323049A (en) * 1991-05-10 1994-06-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with an interconnection layer on surface having a step portion
US5413968A (en) * 1989-11-20 1995-05-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5462894A (en) * 1991-08-06 1995-10-31 Sgs-Thomson Microelectronics, Inc. Method for fabricating a polycrystalline silicon resistive load element in an integrated circuit
US5475266A (en) * 1992-02-24 1995-12-12 Texas Instruments Incorporated Structure for microelectronic device incorporating low resistivity straps between conductive regions
US5475240A (en) * 1991-03-15 1995-12-12 Mitsubishi Denki Kabushiki Kaisha Contact structure of an interconnection layer for a semiconductor device and a multilayer interconnection SRAM
US5497022A (en) * 1993-01-22 1996-03-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing thereof
US5521401A (en) * 1992-08-21 1996-05-28 Sgs-Thomson Microelectronics, Inc. P-N junction in a vertical memory cell that creates a high resistance load
US5557147A (en) * 1989-03-20 1996-09-17 Hitachi, Ltd. Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same
US5616934A (en) * 1993-05-12 1997-04-01 Micron Technology, Inc. Fully planarized thin film transistor (TFT) and process to fabricate same
US5641991A (en) * 1994-09-01 1997-06-24 Nec Corporation Semiconductor device containing conductor plug that can reduce contact resistance
US5665642A (en) * 1993-04-30 1997-09-09 Sony Corporation Process of making a semiconductor device with a multilayer wiring and pillar formation
US5670812A (en) * 1995-09-29 1997-09-23 International Business Machines Corporation Field effect transistor having contact layer of transistor gate electrode material
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US5700722A (en) * 1992-08-06 1997-12-23 Sony Corporation Process for forming silicide plugs in semiconductor devices
US5702979A (en) * 1994-05-31 1997-12-30 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5731217A (en) * 1996-10-08 1998-03-24 Advanced Micro Devices, Inc. Multi-level transistor fabrication method with a filled upper transistor substrate and interconnection thereto
US5763321A (en) * 1993-07-09 1998-06-09 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device utilizing selective CVD method
US5763923A (en) * 1996-08-13 1998-06-09 Micron Technology, Inc. Compound PVD target material for semiconductor metallization
US5818069A (en) * 1997-06-20 1998-10-06 Advanced Micro Devices, Inc. Ultra high density series-connected transistors formed on separate elevational levels
US5850090A (en) * 1995-05-24 1998-12-15 Mitsubishi Denki Kabushiki Kaisha Dynamic semiconductor memory device on SOI substrate
US5923067A (en) * 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
US5926700A (en) * 1997-05-02 1999-07-20 Advanced Micro Devices, Inc. Semiconductor fabrication having multi-level transistors and high density interconnect therebetween
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6074925A (en) * 1995-05-24 2000-06-13 Nec Corporation Method for fabricating semiconductor device with polycide structure for electrode or interconnect
US6103624A (en) * 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6136692A (en) * 1997-02-20 2000-10-24 Nec Corporation Method for forming metal plug electrode in semiconductor device
US6144096A (en) * 1998-10-05 2000-11-07 Advanced Micro Devices, Inc. Low resistivity semiconductor barrier layers and manufacturing method therefor
US6229211B1 (en) * 1998-07-30 2001-05-08 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6242806B1 (en) * 1998-03-13 2001-06-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing thereof
US6249010B1 (en) * 1998-08-17 2001-06-19 National Semiconductor Corporation Dielectric-based anti-fuse cell with polysilicon contact plug and method for its manufacture
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US6707088B2 (en) * 2000-03-01 2004-03-16 Micron Technology, Inc. Method of forming integrated circuitry, method of forming a capacitor, method of forming DRAM integrated circuitry and DRAM integrated category
US6720248B2 (en) * 2002-04-01 2004-04-13 Hynix Semiconductor Inc. Method of forming metal interconnection layer in semiconductor device
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
US6737692B2 (en) * 2002-02-20 2004-05-18 Infineon Technologies Ag Method for fabricating a component, and component having a metal layer and an insulation layer
US6756254B2 (en) * 2001-04-30 2004-06-29 Infineon Technologies Ag Integrated circuit having an antifuse and a method of manufacture
US20040192021A1 (en) * 2003-03-27 2004-09-30 Wei-Min Li Method of producing adhesion-barrier layer for integrated circuits
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6958291B2 (en) * 2003-09-04 2005-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with composite barrier layers and method for fabricating the same
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US7179737B2 (en) * 2003-09-11 2007-02-20 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US7276404B2 (en) * 2004-11-08 2007-10-02 Samsung Electronics Co., Ltd. Methods of forming SRAM cells having landing pad in contact with upper and lower cell gate patterns
US7323781B2 (en) * 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7387962B2 (en) * 2005-10-17 2008-06-17 Samsung Electronics Co., Ltd Physical vapor deposition methods for forming hydrogen-stuffed trench liners for copper-based metallization
US7435634B2 (en) * 2005-04-18 2008-10-14 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices having stacked transistors
US7465657B2 (en) * 2002-05-29 2008-12-16 Fujitsu Limited Method of manufacturing a semiconductor device having a capacitor
US7498256B2 (en) * 2006-08-21 2009-03-03 International Business Machines Corporation Copper contact via structure using hybrid barrier layer
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US20090278259A1 (en) * 2008-05-12 2009-11-12 Fujitsu Microelectronics Limited Semiconductor device and method for manufacturing semiconductor device
US7618855B2 (en) * 2005-10-13 2009-11-17 Renesas Technology Corp. Manufacturing method of semiconductor device
US20100026866A1 (en) * 2008-08-01 2010-02-04 Sony Corporation Solid-state imaging device, method for manufacturing solid-state imaging device, and imaging apparatus
US7668008B2 (en) * 2006-07-21 2010-02-23 Hynix Semiconductor Inc. 1-transistor type DRAM cell, a DRAM device and manufacturing method therefore, driving circuit for DRAM, and driving method therefor
US7858465B2 (en) * 2007-02-19 2010-12-28 Kabushiki Kaisha Toshiba Semiconductor device comprising transistor and capacitor and method of manufacturing the same
US7929321B2 (en) * 2008-08-22 2011-04-19 Force-Mos Technology Corp Depletion mode trench MOSFET for improved efficiency of DC/DC converter applications
US7928008B2 (en) * 2007-01-18 2011-04-19 Terasemicon Corporation Method for fabricating semiconductor device
US8143157B2 (en) * 2006-11-29 2012-03-27 Nxp B.V. Fabrication of a diffusion barrier cap on copper containing conductive elements
US8143162B2 (en) * 2006-04-04 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure having a silicide/germanide cap layer
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US8531033B2 (en) * 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US8653663B2 (en) * 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US9153500B2 (en) * 2011-09-23 2015-10-06 Fudan University Method for improving the electromigration resistance in the copper interconnection process
US20160013128A1 (en) * 2014-07-08 2016-01-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US9331071B2 (en) * 2012-09-05 2016-05-03 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US20160322353A1 (en) * 2014-01-09 2016-11-03 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268289B1 (en) * 1998-05-18 2001-07-31 Motorola Inc. Method for protecting the edge exclusion of a semiconductor wafer from copper plating through use of an edge exclusion masking layer
US6339025B1 (en) * 1999-04-03 2002-01-15 United Microelectronics Corp. Method of fabricating a copper capping layer
JP4535629B2 (en) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6432822B1 (en) * 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
US6461959B1 (en) * 2001-06-21 2002-10-08 United Microelectronics Corp. Method of fabrication of a contact plug in an embedded memory
KR100449949B1 (en) * 2002-04-26 2004-09-30 주식회사 하이닉스반도체 Method for fabricating capacitor in ferroelectric memory device
DE10224167B4 (en) * 2002-05-31 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale A method of making a copper wire with increased resistance to electromigration in a semiconductor element
US6977218B2 (en) * 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects
US7704873B1 (en) * 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7268073B2 (en) * 2004-11-10 2007-09-11 Texas Instruments Incorporated Post-polish treatment for inhibiting copper corrosion
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US20070194450A1 (en) * 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
JP5154140B2 (en) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 Semiconductor device and manufacturing method thereof
JP2009016520A (en) * 2007-07-04 2009-01-22 Tokyo Electron Ltd Method and apparatus for manufacturing semiconductor apparatus
JP5230542B2 (en) * 2009-06-22 2013-07-10 パナソニック株式会社 Manufacturing method of semiconductor device
CN102074479B (en) * 2009-11-24 2012-08-29 中国科学院微电子研究所 Semiconductor device and production method thereof
KR20110080666A (en) * 2010-01-06 2011-07-13 삼성전자주식회사 Semiconductor contact structure and method for fabrication of the same
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
CN103715133B (en) * 2012-09-29 2016-01-06 中芯国际集成电路制造(上海)有限公司 Mos transistor and forming method thereof
TWI543304B (en) * 2013-07-25 2016-07-21 華邦電子股份有限公司 Embedded memory device and method of fabricating the same

Patent Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5061983A (en) * 1980-07-15 1991-10-29 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device having a metal silicide layer connecting two semiconductors
US4398335A (en) * 1980-12-09 1983-08-16 Fairchild Camera & Instrument Corporation Multilayer metal silicide interconnections for integrated circuits
US4593454A (en) * 1983-11-22 1986-06-10 Societe pour d'Etude et la Fabrication de Circuits Integres Speciaux EFCS Process for manufacturing an integrated circuit with tantalum silicide connections utilizing self-aligned oxidation
US4581815A (en) * 1984-03-01 1986-04-15 Advanced Micro Devices, Inc. Integrated circuit structure having intermediate metal silicide layer and method of making same
US4968645A (en) * 1985-12-20 1990-11-06 Sgs-Thomson Microelectronics S.R.L. Method for manufacturing MOS/CMOS monolithic integrated circuits including silicide and polysilicon patterning
US4902637A (en) * 1986-03-03 1990-02-20 Mitsubishi Denki Kabushiki Kaisha Method for producing a three-dimensional type semiconductor device
US4939568A (en) * 1986-03-20 1990-07-03 Fujitsu Limited Three-dimensional integrated circuit and manufacturing method thereof
US4833519A (en) * 1986-05-30 1989-05-23 Fujitsu Limited Semiconductor device with a wiring layer having good step coverage for contact holes
US4961103A (en) * 1987-11-27 1990-10-02 Nec Corporation Semiconductor device having polycrystalline silicon resistor
US5128744A (en) * 1988-09-12 1992-07-07 Hitachi, Ltd. Semiconductor integrated circuit and method of manufacturing same
US5557147A (en) * 1989-03-20 1996-09-17 Hitachi, Ltd. Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same
US5079177A (en) * 1989-09-19 1992-01-07 National Semiconductor Corporation Process for fabricating high performance bicmos circuits
US5134581A (en) * 1989-11-01 1992-07-28 Hitachi, Ltd. Highly stable semiconductor memory with a small memory cell area
US5413968A (en) * 1989-11-20 1995-05-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5108945A (en) * 1990-01-10 1992-04-28 Microunity Systems Engineering, Inc. Process for fabricating polysilicon resistors and interconnects
US5171713A (en) * 1990-01-10 1992-12-15 Micrunity Systems Eng Process for forming planarized, air-bridge interconnects on a semiconductor substrate
US5210429A (en) * 1990-06-29 1993-05-11 Sharp Kabushiki Kaisha Static RAM cell with conductive straps formed integrally with thin film transistor gates
US5275963A (en) * 1990-07-31 1994-01-04 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
US5122476A (en) * 1991-01-28 1992-06-16 Micron Technology, Inc. Double DRAM cell
US5581093A (en) * 1991-03-15 1996-12-03 Mitsubishi Denki Kabushiki Kaisha Contact structure of an interconnection layer for a semiconductor device and a multilayer interconnection SRAM
US5475240A (en) * 1991-03-15 1995-12-12 Mitsubishi Denki Kabushiki Kaisha Contact structure of an interconnection layer for a semiconductor device and a multilayer interconnection SRAM
US5323045A (en) * 1991-03-30 1994-06-21 Nippon Steel Corporation Semiconductor SRAM with low resistance power line
US5323049A (en) * 1991-05-10 1994-06-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with an interconnection layer on surface having a step portion
US5462894A (en) * 1991-08-06 1995-10-31 Sgs-Thomson Microelectronics, Inc. Method for fabricating a polycrystalline silicon resistive load element in an integrated circuit
US5475266A (en) * 1992-02-24 1995-12-12 Texas Instruments Incorporated Structure for microelectronic device incorporating low resistivity straps between conductive regions
US5918145A (en) * 1992-02-24 1999-06-29 Texas Instruments Incorporated Method of forming a microelectronic device incorporating low resistivity straps between regions
US5229326A (en) * 1992-06-23 1993-07-20 Micron Technology, Inc. Method for making electrical contact with an active area through sub-micron contact openings and a semiconductor device
US5700722A (en) * 1992-08-06 1997-12-23 Sony Corporation Process for forming silicide plugs in semiconductor devices
US5521401A (en) * 1992-08-21 1996-05-28 Sgs-Thomson Microelectronics, Inc. P-N junction in a vertical memory cell that creates a high resistance load
US5497022A (en) * 1993-01-22 1996-03-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing thereof
US5665642A (en) * 1993-04-30 1997-09-09 Sony Corporation Process of making a semiconductor device with a multilayer wiring and pillar formation
US5616934A (en) * 1993-05-12 1997-04-01 Micron Technology, Inc. Fully planarized thin film transistor (TFT) and process to fabricate same
US5763321A (en) * 1993-07-09 1998-06-09 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device utilizing selective CVD method
US5894160A (en) * 1994-05-31 1999-04-13 Stmicroelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5702979A (en) * 1994-05-31 1997-12-30 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5641991A (en) * 1994-09-01 1997-06-24 Nec Corporation Semiconductor device containing conductor plug that can reduce contact resistance
US6074925A (en) * 1995-05-24 2000-06-13 Nec Corporation Method for fabricating semiconductor device with polycide structure for electrode or interconnect
US5850090A (en) * 1995-05-24 1998-12-15 Mitsubishi Denki Kabushiki Kaisha Dynamic semiconductor memory device on SOI substrate
US5670812A (en) * 1995-09-29 1997-09-23 International Business Machines Corporation Field effect transistor having contact layer of transistor gate electrode material
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US5763923A (en) * 1996-08-13 1998-06-09 Micron Technology, Inc. Compound PVD target material for semiconductor metallization
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5731217A (en) * 1996-10-08 1998-03-24 Advanced Micro Devices, Inc. Multi-level transistor fabrication method with a filled upper transistor substrate and interconnection thereto
US6136692A (en) * 1997-02-20 2000-10-24 Nec Corporation Method for forming metal plug electrode in semiconductor device
US5923067A (en) * 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
US5926700A (en) * 1997-05-02 1999-07-20 Advanced Micro Devices, Inc. Semiconductor fabrication having multi-level transistors and high density interconnect therebetween
US5818069A (en) * 1997-06-20 1998-10-06 Advanced Micro Devices, Inc. Ultra high density series-connected transistors formed on separate elevational levels
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6242806B1 (en) * 1998-03-13 2001-06-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing thereof
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US6229211B1 (en) * 1998-07-30 2001-05-08 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6249010B1 (en) * 1998-08-17 2001-06-19 National Semiconductor Corporation Dielectric-based anti-fuse cell with polysilicon contact plug and method for its manufacture
US6144096A (en) * 1998-10-05 2000-11-07 Advanced Micro Devices, Inc. Low resistivity semiconductor barrier layers and manufacturing method therefor
US6103624A (en) * 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6707088B2 (en) * 2000-03-01 2004-03-16 Micron Technology, Inc. Method of forming integrated circuitry, method of forming a capacitor, method of forming DRAM integrated circuitry and DRAM integrated category
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6756254B2 (en) * 2001-04-30 2004-06-29 Infineon Technologies Ag Integrated circuit having an antifuse and a method of manufacture
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US6737692B2 (en) * 2002-02-20 2004-05-18 Infineon Technologies Ag Method for fabricating a component, and component having a metal layer and an insulation layer
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
US6720248B2 (en) * 2002-04-01 2004-04-13 Hynix Semiconductor Inc. Method of forming metal interconnection layer in semiconductor device
US7465657B2 (en) * 2002-05-29 2008-12-16 Fujitsu Limited Method of manufacturing a semiconductor device having a capacitor
US7323781B2 (en) * 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US20040192021A1 (en) * 2003-03-27 2004-09-30 Wei-Min Li Method of producing adhesion-barrier layer for integrated circuits
US6958291B2 (en) * 2003-09-04 2005-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with composite barrier layers and method for fabricating the same
US7179737B2 (en) * 2003-09-11 2007-02-20 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7276404B2 (en) * 2004-11-08 2007-10-02 Samsung Electronics Co., Ltd. Methods of forming SRAM cells having landing pad in contact with upper and lower cell gate patterns
US7435634B2 (en) * 2005-04-18 2008-10-14 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices having stacked transistors
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7618855B2 (en) * 2005-10-13 2009-11-17 Renesas Technology Corp. Manufacturing method of semiconductor device
US7387962B2 (en) * 2005-10-17 2008-06-17 Samsung Electronics Co., Ltd Physical vapor deposition methods for forming hydrogen-stuffed trench liners for copper-based metallization
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
US8143162B2 (en) * 2006-04-04 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure having a silicide/germanide cap layer
US7668008B2 (en) * 2006-07-21 2010-02-23 Hynix Semiconductor Inc. 1-transistor type DRAM cell, a DRAM device and manufacturing method therefore, driving circuit for DRAM, and driving method therefor
US7498256B2 (en) * 2006-08-21 2009-03-03 International Business Machines Corporation Copper contact via structure using hybrid barrier layer
US8143157B2 (en) * 2006-11-29 2012-03-27 Nxp B.V. Fabrication of a diffusion barrier cap on copper containing conductive elements
US7928008B2 (en) * 2007-01-18 2011-04-19 Terasemicon Corporation Method for fabricating semiconductor device
US7858465B2 (en) * 2007-02-19 2010-12-28 Kabushiki Kaisha Toshiba Semiconductor device comprising transistor and capacitor and method of manufacturing the same
US20090278259A1 (en) * 2008-05-12 2009-11-12 Fujitsu Microelectronics Limited Semiconductor device and method for manufacturing semiconductor device
US8525909B2 (en) * 2008-08-01 2013-09-03 Sony Corporation Solid-state imaging device, method for manufacturing solid-state imaging device, and imaging apparatus
US20100026866A1 (en) * 2008-08-01 2010-02-04 Sony Corporation Solid-state imaging device, method for manufacturing solid-state imaging device, and imaging apparatus
US7929321B2 (en) * 2008-08-22 2011-04-19 Force-Mos Technology Corp Depletion mode trench MOSFET for improved efficiency of DC/DC converter applications
US8531033B2 (en) * 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US8653663B2 (en) * 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US9153500B2 (en) * 2011-09-23 2015-10-06 Fudan University Method for improving the electromigration resistance in the copper interconnection process
US9331071B2 (en) * 2012-09-05 2016-05-03 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20160322353A1 (en) * 2014-01-09 2016-11-03 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US20160013128A1 (en) * 2014-07-08 2016-01-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020534681A (en) * 2017-09-16 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Volume expansion of metal-containing film due to silicidation
JP7305622B2 (en) 2017-09-16 2023-07-10 アプライド マテリアルズ インコーポレイテッド Volume expansion of metal-containing films due to silicidation
US11114448B2 (en) * 2019-07-09 2021-09-07 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US11521978B2 (en) 2019-07-09 2022-12-06 Nanya Technology Corporation Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US11271103B2 (en) 2022-03-08
TW201635434A (en) 2016-10-01
TWI585899B (en) 2017-06-01
CN105990229B (en) 2019-08-02
US20210005743A1 (en) 2021-01-07
CN105990229A (en) 2016-10-05

Similar Documents

Publication Publication Date Title
US11670717B2 (en) Structure of S/D contact and method of making same
US11569362B2 (en) Semiconductor device and a method for fabricating the same
CN107275281B (en) Self-aligned contact scheme, semiconductor structure and forming method thereof
US10325816B2 (en) Structure and method for FinFET device
US8754487B2 (en) Semiconductor device with metal gate
US20220254687A1 (en) Threshold Voltage Tuning for Fin-Based Integrated Circuit Device
CN103022102B (en) Multilayer for ultra-thin interface dielectric layer removes metal gate stacks part
US11271103B2 (en) Semiconductor device and manufacturing process thereof
KR20170010707A (en) A method and structure for finfet device
US9865709B2 (en) Selectively deposited spacer film for metal gate sidewall protection
TW201724215A (en) Semiconductor devices
US11411107B2 (en) FinFET structure and method with reduced fin buckling
US11482594B2 (en) Semiconductor devices with backside power rail and method thereof
TWI787817B (en) Manufacture method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KUNG, POHAN;LU, YING -JING;HUNG, CHI-CHENG;AND OTHERS;SIGNING DATES FROM 20150525 TO 20150526;REEL/FRAME:035795/0437

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION