US3862397A - Cool wall radiantly heated reactor - Google Patents

Cool wall radiantly heated reactor Download PDF

Info

Publication number
US3862397A
US3862397A US397631A US39763173A US3862397A US 3862397 A US3862397 A US 3862397A US 397631 A US397631 A US 397631A US 39763173 A US39763173 A US 39763173A US 3862397 A US3862397 A US 3862397A
Authority
US
United States
Prior art keywords
lamps
reflector
segments
lamp
coolant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US397631A
Inventor
Emmett R Anderson
Douglas S Schatz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Technology Ltd
Applied Materials Technologies Inc
Original Assignee
Applied Materials Technology Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Technology Ltd filed Critical Applied Materials Technology Ltd
Priority to US397631A priority Critical patent/US3862397A/en
Application granted granted Critical
Publication of US3862397A publication Critical patent/US3862397A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Definitions

  • a cool wall radiantly heated chemical vapor deposition reactor includes a plurality of banks of elongated heat lamps surrounding the radiant energy transmissive wall of the reactor for heating the susceptor in the reactor on. which the wafer substrates are supported.
  • Each bank of lamps includes a segmented reflector assembly having the reflector segments spaced from each other to provide slotsaligned with the lamp filaments for permitting a gaseous coolant to be introduced from a cooling structure into contact with the lamps and with the wall of the reactor and which precludes direct reflection of radiant heat back on the lamp filaments which would cause damage thereto and shorten lamp life.
  • refle'ctor segments are arranged to isolate the individual lamps in each bank from each other to further enhance lamp life by precluding direct filament-to-filament radiation transfer between adjacent lamps.
  • the reflector segments are hollow and the cooling structure is designed to introduce the gaseous coolant directly into the hollow interior of the. reflector segments from which the coolant 5 Claims, 9 Drawing Figures thereafter circulates through the slots and over the lamps.
  • Each reflector segment may also be provided with conduit means through with a liquid coolant is circulated to additionally cool the segments.
  • This invention relates to the field of radiant energy assemblies. More particularly, the field of this invention involves radiant energy sources for transmitting heat energy against a surface to be heated thereby. This invention further relates to the field of high temperature radiant heat lamps, and reflectors therefor, such as high intensity lamps capable of producing and transmitting radiant heat energy at short wave lengths, such as approximately one micron. 7
  • this invention relates to the field of utilization of radiant heat energy in the heating of silicon or like wafer substrates used in the production of semiconductor devices while chemical vapor films are deposited on such substrates.
  • This invention further relates to the field of means for cooling high temperature radiant heat sources and for prolonging the useful life of high temperature lamps utilized as radiant heat sources.
  • Radiant heat sources comprising one or more radiant heat lamps utilized to heat silicon wafer substrates or susceptors supporting such substrates in the production of semi-conductor devices have been knownheretofore.
  • the particular reflector assembly and-cooling structure embodied in the heat source of the present invention has not been known or utilized heretofore,
  • the reflector assembly of the present invention has been designed to enhance lamp life and efficiency, by facilitating cooling of lamps used as a source of high temperature radiant heat, and by minimizing lamp damage by eliminating reflected radiation which heretofore has been directed back.
  • improved cold wall reactors have been devised within recent years to replace the prior known RF reactors used in conjunction with the vapor deposition of oxide, nitride, metal or other similar films on substrates.
  • Such improved reactors and processes overcome the disadvantages of prior known RF induction heated systems by utilizing radiant heat sources which transmit heat energy from a radiant heat lamp positioned outside a transparent reaction chamber.
  • the wave length of the radiated heat energy and of the material from which the reactor walls are formed are selected so that the radiant heat energy is transmitted through the walls of the reaction chamber with minimal absorption so that the walls remain essentially unheated.
  • the radiant heat source utilized preferably comprises one or more high intensity,-high temperature lamps which operate at a filament temperature in the range of 5 ,0OO to 6,000F., by way of example.
  • Such lamps may be selected from the type which produce-radiant heat energy in the short wave length range of, for example, approximately one micron. Radiant heat energy at such short wave length passes through material found suitable for defining the walls of the reaction chamber, of which quartz is preferred. Quartz possesses excellent radiant energy transmission characteristics at the short wave length noted so that minimal radiation is absorbedby the walls, thus insuring the advantages of cool wall reaction systems as noted previously to preclude the deposition of chemical vapor films on the reactor walls during a chemical vapor deposition procedure.
  • the present invention relates to an improved radiant heat lamp reflector assembly and cooling structure, particularly as the same is utilizable in conjunction with a chemical vapor deposition reactor.
  • This invention relates generally to an improved heat source. More particularly, this invention relates to an improved radiant energy heat source well suited for use in conjunction with a chemical vapor deposition apparatus, or other apparatus requiring a heat source in conjunction therewith. Still more particularly, this invention relates to an improved radiant lamp assembly, and associated cooling structure, well suited for use in conjunction with a cool wall chemical vapor deposition reactor, and to improved reflector means which permits lamp coolant to be effectively circulated relative to the lamp assembly and reaction chamber associated therewith, and which precludes the reflection back of radiant energy onto the filaments of the lamps or onto the filaments of adjacent lamps.
  • the reflector of this invention which is associated with such a lamp is provided with improved means which defines a slotted base structure through which a suitable coolant, such as air, maybe introduced along the length of the lamp.
  • a suitable coolant such as air
  • the slotted base structure of the reflector also provides an exit passage for radiant heat energy emanating from the filament so that damaging reflection of radiant energy back to the lamp is precluded.
  • a suitable liquid such as water, may be introduced into the reflector assembly to further assist in cooling the same.
  • FIG. 1 is a generally schematic vertical sectional'view through a chemical vapor deposition reactor showing the subject invention positioned to heat a susceptor positioned therein;
  • FIG. 2 is a horizontal sectional view through the reactor of FIG. 1 taken in the plane of line 22 of FIG. 1;
  • FIG. 3 is a partial vertical sectional view, on an enlarged scale, taken generally in the area defined by line 33 of FIG. 1;
  • FIGS. 4 and 5 are sectional views of prior art conventional radiant heat lamp and reflector assemblies
  • FIGS. 6 and 7 are sectional views of a portion of a reflector assembly having the improved construction of the present invention.
  • FIG. 8 is aview corresponding generally to a portion of FIG. 3 showing additional cooling means provided in conjunction'with the. reflector assembly thereof;
  • FIG. 9 is an isometric illustration of a modified embodiment of the subject invention utilized in conjunction with a single lamp rather than a bank of lamps.
  • the reactor with which the subject radiant heat source is illustrated is designed to produce various chemical reactions and- /or thermal pyrolysis reactions to deposit a variety of films on silicon wafers or like substrates.
  • films include various types of epitaxial, polycrystalline or amorphous films, such as silicon, aluminum oxide, silicon nitride and silicon dioxide, as well as metal films such as molybdenum, titanium, zirconium, and tungsten, depositable in accordance with known chemical vapor deposition reactions in the presence of heat.
  • the heat source illustrated desirably comprises one or more tungsten filament lamps, such as tungsten filament quartz-halogen high intensity lamps of which quartz-iodine and quartz bromide are exemplary.
  • tungsten filament lamps such as tungsten filament quartz-halogen high intensity lamps of which quartz-iodine and quartz bromide are exemplary.
  • Such lamps are commercially available as described in said McNeilly et al. patent.
  • Such lamps are capable of producing high filament temperatures in the range of 5,000 to 6,000F.
  • the lamps chosen desirably are selected from the type which producesmaximum radiant heat energy in the short wave length, preferably approximately one micron. Radiant heat energy in such short wave length passes through material found suitable for defining the walls of a chemical vapor deposition reaction chamber, of which quartz is preferred.
  • Reactors of the type described briefly herein have been effectively used heretofore for producing films of the type identified with film thickness uniformity of plus or minus 5 percent from substrate to substrate within a given run. Highly effective results are insured because operating temperatures can be controlled closely and uniformly with the radiant heat source described. Such operating temperature uniformity can now be even more closely controlled, and heat source life extended, by utilizing a reflector assembly and cooling structure of the present invention.
  • FIGS. 1 and 2 in which an exemplary barrel type chemical vapor deposition reactor system is shown, it should be understood that thereactor structure is intended to be enclosed within a surrounding cabinet (not shown) in and on which the necessary gaseous reactant flow controls, electrical power sources and other attendant mechanisms are to be housed and mounted.
  • a surrounding cabinet not shown
  • the necessary gaseous reactant flow controls, electrical power sources and other attendant mechanisms are to be housed and mounted.
  • those portions of the reactor necessary to illustrate the environment in which the improved radiant lamp and reflector assembly is utilized have been illustrated. It should be understood that those portions of the reactor illustrated are intended-tobe supported within the aforementioned cabinet in any suitable fashion and that suitable power supply sources are provided for energizing the lamps shown. r
  • the reactor illustrated in FIG. 1, generally designated l, is defined by an enclosure, generally designated 2, within which the subject heat source, generally designated 3, is positioned.
  • the enclosure 2 is defined 'by'a pair of opposed upper and lower plates, 4 and 6,
  • Heat source 3 is defined by a plurality of banks of high intensity lamps capable of producing and transmitting radiant heat energy at the short wave length noted previously.
  • heat source 3 is defined by a plurality of four banks of radiant lamps designated 7, 8, 9 and 11, respectively.
  • Such banks of lamps are positioned at right angles to each other to surround the reaction chamber of the reactor, which in the embodiment shown in FIG. 1, is defined by a quartz bell jar 12 which is transparent to heat energy emanating from the heat source at the wave length noted.
  • the bell jar surrounds the susceptor structure 14 of the reactor which corresponds in construction to that shown in the aforementioned Rosler application. At its lower end, the bell jar is provided with a circular pee ripheral flange 16 which is supported upon the lower plate 6 of the enclosure 2.
  • Susceptor 14 includes a vertically extending shaft 17 which extends upwardly through a boss 18 provided in lower plate 6 and through a bearing 19 positioned in the boss as seen in FIG. 1.
  • shaft 17 is provided with an enlarged retaining ring 21 which supports the susceptor for rotation withinthe reaction chamber.
  • the lower portion 22 of the shaft is operatively connectable with suitable means for rotating the same (not shown) so that the entire susceptor is rotatable within the reaction chamber in the presence of radiant heat energy emanating from the banks of radiant heat lamps surrounding the chamber.
  • the susceptor further comprises a plurality of graphite or like susceptor slabs, designated 23, each of which carries a plurality of wafer substrates 8 to be chemically vapor deposition coated in the known manner.
  • each of such slabs is separable from the supporting framework of the susceptor when the bell jar is removed from around the susceptor by raising the same in the direction of the arrows shown at the top of FIG.
  • Suitable chemical vaporreactants are introducible into the reaction chamber through a conduit 26 for contacting the substrates to be coated in the presence of heat emanating from the radiant heat source.
  • the spent gaseous reactants are withdrawable from the reaction chamber through a conduit 27 after the reactants have been maintained in contact with the substrates for a suitable period of time to effect the desired revolutions per minute has been found effective to insure uniform heating of the susceptor slabs 23 and substrates carried thereby.
  • each of the lamp banks 7, 8, 9 and 11 is supported by lower plate 6 and is enclosed within a vertical framework, generally designated 31, which extends between the upper and lower plates 4 and 6 of the enclosure 2.
  • the lamp banks, as seen in FIG. 1, are generally coextensive with the susceptor to insure effective heating thereof.
  • each bank of lamps comprises a plurality of vertically spaced radiant heat lamps 32 of the aforementioned type; five such lamps are illustrated in each bank in the reactor embodiment shown.
  • each of the lamps 32 is tubular in construction and elongated in configuration to extend substantially the full width of one side of the reactor enclosure.
  • Each such lamp has a transparent envelope surroundingan elongated filament F extending longitudinally thereof which produces the infrared radiant heat energy emanating therefrom.
  • each lamp envelope at each of its opposite ends is sealed around a metal electrical contact which in turn is received in and clamped by a metal contact clamp, each designated 33.
  • a metal contact clamp each designated 33.
  • Such contact clamps are operatively connected with a suitable electrical source (not shown) in known fashion.
  • the life of such lamps has been unnecessarily shortenedbecause of the inability to effectively cool the same, and furthermore because of the contact of radiant energy with the lamp which is reflected from the lamp itself or emanates from an adjacent lamp in a bank of lamps.
  • the present invention obviates the cooling problem noted, as well as the radiant energy problem noted by including in the lamp assembly an improved reflector assembly and cooling structure.
  • FIG. 4 shows a standard highly polished parabolic metal reflector of the type commonly used and readily available on the market in conjunction with lamps of the type noted.
  • Such reflector designated 36, is formed from any suitable reflective temperature-resistant material.
  • a lamp 32 is positioned to extend generally longitudinally through the focal point of the reflector so that the parabolic configuration of the reflector base will direct radiant energy em anating from the filament F of the lamp back towards the body to be heated.
  • Such reflective energy traveling back to the lampv filament cooling such radiant heat lamps, namely the utilization therewith of a coolant barrier, designated 39, which extends longitudinally of the lamp.
  • a coolant barrier comprises a transparent sheet, such as a sheet of quartz, which extends longitudinally of the reflector 36 and the lamp 32, The purpose of such barrier sheet is to retain cooling air in contact with the lamp during operation thereof.
  • Such cooling air is introduced longitudinallyof the lamp at one end of the reflector and exits from the other end thereof.
  • longitudinal coolant flow is not fully effective and does not produce uniform lamp cooling.
  • the requirement for a quartz or like air barrier complicates the construction of the-lamp assembly unnecessarily.
  • FIG. 5 a further prior art arrangement is illustrated, which possesses the inherent disadvantages noted previously, in conjunction with a bank of lamps.
  • the problem of reflected energy from the base of the reflector 36 onto the filaments of the respective lamps 32 is encountered.
  • the embodiment of FIG. 5 has the furtherdisadvantage in that radiant heat energy may pass directly from the filament of one lamp onto another which further shortens the lamp filament and envelope effectiveness and life as above noted.
  • the requirement for a quartz or like-for barrier 39' with its inherent disadvantages and ineffective cooling similarly is encounteredin the prior art bank of lamps shown in FIG. 5.
  • FIGS. 6 and 7 the improved features of the present invention are illustrated. Such features comprise a simple yet highly effective modification of the base of the reflector structure utilized in conjunction with a radiant heat lamp so that more effective coolant circulation around the lamps and reaction chamber 12 may be effected and so that reflected radiant energy back onto the filament of the lamp is precluded.
  • the schematic showings of FIGS. 6 and 7 should be taken in conjunction with the detailed showing of the reflector assembly of this invention, generally designated 46 in FIG. 3.
  • the reflector assembly 46 comprises a reflector structure defined by a series of adjacent reflector elements designated 47 adjacent which the respective lamps 32 are positioned.
  • the reflector assembly illustrated in FIGS. 1 through 3 is defined by a plurality of said reflector segments 47 mounted closely adjacent each other but in vertically spaced orientation relative to adjacent segments.
  • two adjacent reflector segments 47 cooperate as seen in FIG. 3 to define a single parabolic reflector surface, designated 48, adjacent which the respective lamps 32 are positioned.
  • each reflector segment 47 is formed with a generally triangular tip portion comprising a peak lying between a pair of highly polished concave reflecting surfaces, the contour of which is designed to define the' parabolic reflecting surface 48 mentioned previously when such reflector segment is positioned adjacent a similarly contoured reflector segment.
  • the base of each parabolic reflector surface is provided with a discontinuous or open structure which extends the full length of the reflector.
  • Each such discontinuous base includes a longitudinal opening or slot 49 extending therealong as seen in FIG. 3 and illustrated generally schematically in FIGS. 6 and 7.
  • each slot 49 is two-fold, namely to permit the introduction of coolant through the reflectorassembly to pass over and around a lamp to cool the same so that the temperature thereof may be effectively controlled, and, secondly, to permit the selective escape of radiant energy emanating from the filament F of the lamp in the manner seen in FIG. 7 so that such energy is not reflected directly back onto the lamp in the manner characteristic of prior known reflector assemblies so that envelope, end seal and filament damage are obviated.
  • efficiency and lamp life are enhanced.
  • FIGS. 1. and 2 after the coolant passes over the lamps 32, it contacts the wall of the reaction chamber 12, and passes thereover prior to exiting through the apertured top of the reactor.
  • cool wall deposition reactions as discussed hereinabove are further enhanced.
  • Each reflector segment 47 is mounted in its operative position by securing opposite ends 51 and 52 thereof in any suitable fashion (by bolting or bonding) to mounting blocks 53 and 54 which extend vertically of the framework 31 within which the lamp and reflector assemblies are positioned.
  • the mounting blocks 53 and 54 may be of any suitable insulating material to preelude unwanted transmission of heat from the reflector assembly to the framework.
  • Each reflector segment 47 is formed from any known reflective material used in the art heretofore.
  • the various parabolic respective reflecting surfaces 48 preferably are gold plated and are highly polished for most effective radiant heat energy transmission.
  • the actual material from which the reflector segments are formed may be chosen from a list of ceramics or metals which are known to be capable of withstanding the substantial I heat to which thesegments are subjected.
  • reflector segments is provided therein to admit a coolant fluid into the interior of the segments to maintain the temperature thereof at a workable level during operation of the lamp bank assembly.
  • a coolant manifold generally designated 61, defined by a main conduit 62 which is positioned in operative communication with a source of coolant fluid (not shown), such as a supply of cool air under pressure.
  • Branching from the main conduit 62 are a series of vertically spaced branch conduits 63, each of which is positioned to extend through openings provided in the side walls 64 of the framework 31 surrounding the lamp assembly, each such side wall forming a baffle plate positioned behind the respective reflector assemblies.
  • cooling fluid such as air introduced through the respective conduits 63 passes into the opening behind the reflector assembly and such cooling fluid enters the respective hollow interiors of the reflector segments 47, circulates therein, and passes out therefrom to subsequently pass between the respective segments through the slots 49 to pass over the lamps 32.
  • the temperature of the reflector segments and of the lamps maybe maintained below the critical level.
  • such cooling fluid also passes over the wall of the reaction chamber 12 to enhance the cool wall deposition reaction capability carried out in that chamber as discussed previously herein.
  • branch conduits 63 are positioned generally in line with the slots 57 provided in the respective reflector segments to insure direct introduction of coolant into the hollow interiors of the segments for most effective cooling thereof.
  • a cooling fluid may be introduced into contact with the reflector assembly in a manner unknown heretofore to cool the reflector segments as well as to pass therefrom into contact with the high temperature lamps 32 to maintain the temperature of such lamps at a workable level also.
  • FIG. 8 shows a modified arrangement for the cooling structure of the reflector assembly shown in FIG. 3 which includes additional means for introducing coolant into contact with the respective segments 47.
  • each such segment is provided with a fluid conduit, such as a length of copper tubing, designated 66, positioned in the semi-spherical extension 58 of the hollowed out interior of each reflector segment.
  • a fluid conduit such as a length of copper tubing, designated 66
  • Each such conduit extends longitudinally for the full length of its associated reflector segment and such conduit is maintained in position within the segment by means of a threaded wedge bolt 67 which passes through a threaded plate member 68 positioned within the hollow interior of each segment 47.
  • the wedge bolt 67 urges a conduit 66 against the bottom of extension 58 and holds the same in operative position within the respective reflector segments.
  • the conduits 66 may be positioned in the segments during any stage of production thereof. It should be understood that the respective conduits in turn are operatively connected with a fluid manifold (not shown) at each of the opposite ends of the respective reflector assemblies.
  • the manifold at one endof the assembly introduces cooling fluid, such as water, through the respective conduits 66 and the manifold at the other end removes the fluid from the respective segments after the fluid has passed longitudinally the length of the rerespective reflector segments to further effectively cool the same.
  • a reflector assembly may be fabricated to any desired size, depending upon the nature of the work piece or susceptor to be heated, merely by adding or subtracting reflector units and lamps from the assembly.
  • suitable fasteners such as bolts, or other means such as bonding adhesive, may be utilized to position the re flector segments in place in the manner seen in FIG. 2.
  • FIG. 9 for an illustration of a modified arrangement of the subject reflector structure.
  • the lamp 32 is held in place in opposed clip members 33 in the manner described previously, such clip members being secured to suitable mounting plates corresponding to the mounting plates 53 and 54 described previously.
  • Such mounting plates 53 and 54 also provide means for mounting segments of a reflector in the manner shown.
  • the single lamp reflector illustrated in FIG. 9 comprises a pair of spaced opposed reflector segments 71 and 72 which define a slot 73 for the passage of coolant therebetween in the manner described previously. It will be noted that each of the segments 71 and 72 forms essentially one-half of a segment of the type described previously.
  • each such segment is generally solid, that is, it does not include recess portions 56, 57 and 58 described previously with respect to segments 47.
  • the respective segments 71 and 72 each includes a portion of a curved reflective surface of the type noted previously with the portions of the two segments cooperating to define a parabolic reflecting surface of the type described previa gaseous ously.
  • Such parabolic surface is continuous except for the slotted opening 73 passing therethrough to permit coolant to be circulated around the lamp 32 as previously noted.
  • a single lamp heating unit may be employed, or a plurality of reflector units of the type shown in FIG. 9 may be positioned adjacent each other to form a composite reflector assembly useable with a bank of lamps in an arrangement similar to that shown in FIGS. 1 through 3.
  • each such slot should have a width which is at least equal to the thickness of the filament F of the lamp with which the reflector is to be used so that radiant energy emanating from the filament and directed towards the base of an associated parabolic reflecting surface will pass through the slot and none of such energy will be reflected directly back to the lamp filament and its surrounding quartz envelope.
  • conduit means comprises an air manifold defined by a plurality of conduit sections, each of said conduit sections being aligned with the coolant entrance extending into a hollow reflector segment, whereby coolant may be introduced directly intosaid reflector segments and thereafter may circulate from such segments into and through said slot shaped openings over said lamps.
  • cooling structure further includes elongated conduits positioned within at least some of said reflector segments, said conduits being operatively connected with means for introducing a coolant into said conduits for circulation therethrough and through said segments.
  • conduit means comprises an air manifold defined by a plurality of conduit sections arranged to direct air over and between said segments of each reflector assembly.

Abstract

A cool wall radiantly heated chemical vapor deposition reactor includes a plurality of banks of elongated heat lamps surrounding the radiant energy transmissive wall of the reactor for heating the susceptor in the reactor on which the wafer substrates are supported. Each bank of lamps includes a segmented reflector assembly having the reflector segments spaced from each other to provide slots aligned with the lamp filaments for permitting a gaseous coolant to be introduced from a cooling structure into contact with the lamps and with the wall of the reactor and which precludes direct reflection of radiant heat back on the lamp filaments which would cause damage thereto and shorten lamp life. Furthermore, reflector segments are arranged to isolate the individual lamps in each bank from each other to further enhance lamp life by precluding direct filament-to-filament radiation transfer between adjacent lamps. The reflector segments are hollow and the cooling structure is designed to introduce the gaseous coolant directly into the hollow interior of the reflector segments from which the coolant thereafter circulates through the slots and over the lamps. Each reflector segment may also be provided with conduit means through with a liquid coolant is circulated to additionally cool the segments.

Description

[ 1 Jan. 21, 1975 Primary ExaminerA. Bartis Attorney, Agent, or FirmFlehr, Hohbach, Test, Albritton & Herbert I [57] ABSTRACT A cool wall radiantly heated chemical vapor deposition reactor includes a plurality of banks of elongated heat lamps surrounding the radiant energy transmissive wall of the reactor for heating the susceptor in the reactor on. which the wafer substrates are supported. Each bank of lamps includes a segmented reflector assembly having the reflector segments spaced from each other to provide slotsaligned with the lamp filaments for permitting a gaseous coolant to be introduced from a cooling structure into contact with the lamps and with the wall of the reactor and which precludes direct reflection of radiant heat back on the lamp filaments which would cause damage thereto and shorten lamp life. Furthermore, refle'ctor segments are arranged to isolate the individual lamps in each bank from each other to further enhance lamp life by precluding direct filament-to-filament radiation transfer between adjacent lamps. The reflector segments are hollow and the cooling structure is designed to introduce the gaseous coolant directly into the hollow interior of the. reflector segments from which the coolant 5 Claims, 9 Drawing Figures thereafter circulates through the slots and over the lamps. Each reflector segment may also be provided with conduit means through with a liquid coolant is circulated to additionally cool the segments.
Panama 7.5
. 219/343 UX 219/349 UX 219/349 UX 219/343 UX Elfii J 219/343 UX' 219/343 X United States Patent Anderson et a1.
[ COOL WALL RADIANTLY HEATED REACTOR Inventors: Emmett R. Anderson, Saratoga;
Douglas S. Schatz, Los Gatos, both of Canada Applied Materials Technology, Inc.,
Santa Clara, Calif.
Sept. 17, 1973 Assigneez' 1221 Filed:
[51] Int. Cl....... H05b 1/00, F27b 5/14, F2lv 29/00 [58] Field of Search 219/411, 343, 347-349,
[56] References Cited UNITED STATES PATENTS 3,114,322 12/1963 Boland.................L...... 3,240,915 3/1966 Carter et al;.
3,381,125 4/1968 Cooper 3,427,435 2/1969 Webb.. 3,472,721 10/1969 Abramson et a1 3,623,712 1l/19 71 McNeilly et al. 3,654,471 11/1969 Nilsson............. 3,761,677 9/1973 Mizutani et al..
27 {L Panama/7'5 Patented Jan. 21, 1975 3,862,397
2 Sheets-Sheet 2 COOL WALL RADIANTLY HEATED REACTOR This application is a continuation of application Ser. No. 237,698, filed Mar. 24, 1972 and now abandoned.
BACKGROUND OF THE INVENTION Field of the Invention This invention relates to the field of radiant energy assemblies. More particularly, the field of this invention involves radiant energy sources for transmitting heat energy against a surface to be heated thereby. This invention further relates to the field of high temperature radiant heat lamps, and reflectors therefor, such as high intensity lamps capable of producing and transmitting radiant heat energy at short wave lengths, such as approximately one micron. 7
Still morev particularly, this invention relates to the field of utilization of radiant heat energy in the heating of silicon or like wafer substrates used in the production of semiconductor devices while chemical vapor films are deposited on such substrates. This invention further relates to the field of means for cooling high temperature radiant heat sources and for prolonging the useful life of high temperature lamps utilized as radiant heat sources. I
Description of the Prior Art Radiant heat sources comprising one or more radiant heat lamps utilized to heat silicon wafer substrates or susceptors supporting such substrates in the production of semi-conductor devices have been knownheretofore. However, so far as-is known, the particular reflector assembly and-cooling structure embodied in the heat source of the present invention has not been known or utilized heretofore, The reflector assembly of the present invention has been designed to enhance lamp life and efficiency, by facilitating cooling of lamps used as a source of high temperature radiant heat, and by minimizing lamp damage by eliminating reflected radiation which heretofore has been directed back.
against the lamp by prior known reflector assemblies. By way of example of one desirable field of use of the present invention, in chemical vapor deposition systems, it is highly desirable to carry out the deposition reaction in a cold wall type reaction chamber. By maintaining the reaction chamber walls in the relatively unheated state, such walls receive little or no film deposition thereon during substrate coating. Cold wall systems are additionally desirable because they insure the deposition of high purity films on the substrates being coated. Impurities can be evolved from or permeate through heated reaction chamber walls. Thus, because such impurities would interfere with and adversely affect the purity of the substrate coating, cold wall reaction chambers preferably are employed.
Cold wall chemical vapor deposition processes have been developed which permit heating of the substrate positioned within a reaction chamber without simultaneously heating the reaction chamber walls. Such processes frequently involve the use of radio frequency (RF) induction heating of a graphite susceptor positioned within a reaction chamber, the walls of which are formed of non-conducting material, such as quartz. However, RF heating of graphite or like susceptors positioned within a quartz reaction chamber has inherent drawbacks which are well 'known in the art.
As a result, improved cold wall reactors have been devised within recent years to replace the prior known RF reactors used in conjunction with the vapor deposition of oxide, nitride, metal or other similar films on substrates. Such improved reactors and processes overcome the disadvantages of prior known RF induction heated systems by utilizing radiant heat sources which transmit heat energy from a radiant heat lamp positioned outside a transparent reaction chamber. The wave length of the radiated heat energy and of the material from which the reactor walls are formed are selected so that the radiant heat energy is transmitted through the walls of the reaction chamber with minimal absorption so that the walls remain essentially unheated.
The radiant heat source utilized preferably comprises one or more high intensity,-high temperature lamps which operate at a filament temperature in the range of 5 ,0OO to 6,000F., by way of example. Such lamps may be selected from the type which produce-radiant heat energy in the short wave length range of, for example, approximately one micron. Radiant heat energy at such short wave length passes through material found suitable for defining the walls of the reaction chamber, of which quartz is preferred. Quartz possesses excellent radiant energy transmission characteristics at the short wave length noted so that minimal radiation is absorbedby the walls, thus insuring the advantages of cool wall reaction systems as noted previously to preclude the deposition of chemical vapor films on the reactor walls during a chemical vapor deposition procedure.
Prior to the subject invention, however, the useful life of lamps utilized to emit radiant heat energy in a chemical vapor deposition reaction or other procedure was shortened because of difficulty in providing adequate coolant in conjunction with the lamp to overcome the high filament temperatures at which such lamps operate. Additionally, because such lamps normally are utilized in conjunction with a highly polished reflector structure to insure maximum heat transfer to the articles being heated, radiant energy emanating from the filaments of the lamps was directed back onto such filament, or onto thefilaments of adjacent lamps, which resulted in lamp damage and shortened lamp life.
The present invention relates to an improved radiant heat lamp reflector assembly and cooling structure, particularly as the same is utilizable in conjunction with a chemical vapor deposition reactor. Thus, the advantages of use of radiant heat energy generally recognized as favorable in conjunction with a cool wall reaction sured.
In applicants assignees McNeilly et al. US. Pat. No.
3,623,712, dated Nov. 30, l971,'and in applicants as-' signees pending Rosler application Ser. No. 208,732, filed Dec. 16, 1971, improved cool wall radiation heated systems are disclosed which were designed to replace the RF type and other previously known reaction systems utilized theretofore. In that regard, the subject invention is illustrated and described herein in conjunction with one of the reactor embodiments disclosed in said Rosler application but it should be understood that utility-of the present invention is not restricted to such an environment and that the same may be utilized in conjunction with the other reactor construction shown in the Rosler application as well as with the various reactor structures shown in said McNeilly et al. patent, as well as in other environments.
SUMMARY OF THE INVENTION This invention relates generally to an improved heat source. More particularly, this invention relates to an improved radiant energy heat source well suited for use in conjunction with a chemical vapor deposition apparatus, or other apparatus requiring a heat source in conjunction therewith. Still more particularly, this invention relates to an improved radiant lamp assembly, and associated cooling structure, well suited for use in conjunction with a cool wall chemical vapor deposition reactor, and to improved reflector means which permits lamp coolant to be effectively circulated relative to the lamp assembly and reaction chamber associated therewith, and which precludes the reflection back of radiant energy onto the filaments of the lamps or onto the filaments of adjacent lamps.
While this invention is disclosed herein in conjunction with a chemical vapor deposition reactor, it should be understood that its utility is not so limited and that the same is applicable in any apparatus or system requiring radiant energy transmission and the reflection and focusing of such energy onto an object to be heated. That is, while this invention has particular utility in conjunction with a chemical vapor deposition systern for coating substrates with various types of known films, including epitaxial, polycrystalline and amorphous films, its utility is not so limited. Similarly, while this invention, is disclosed herein in conjunction with a particular type-of chemical vapor deposition reactor, it should be understood that utility of this invention in conjunction with other types and constructions of reactors also is contemplated.
To prolong the life of each lamp utilized as a heat source in the manner noted, the reflector of this invention which is associated with such a lamp is provided with improved means which defines a slotted base structure through which a suitable coolant, such as air, maybe introduced along the length of the lamp. With tubular radiant heat lamps of the type commonly utilized for the noted purpose, such coolant introduction along the length of the lamp is particularly important. Heretofore, coolant, such as air, necessarily was introduced and passed longitudinally of the tubular lamps; such coolant circulation along the length of the lamp was less than fully effective.
Additionally, with prior known lamp reflector assemblies not possessing the slotted base structure of the present invention, radiant energy emanating from the filament of thelamp was reflected directly back onto the lamp, resulting in damage, due to overheating, to the transparent quartz envelope surrounding the lamp filament and to the seals at the lamp ends, with attendant shortened lamp life and lowered lamp efficiency.
With the subject invention, the slotted base structure of the reflector also provides an exit passage for radiant heat energy emanating from the filament so that damaging reflection of radiant energy back to the lamp is precluded.
In a modified embodiment, a suitable liquid, such as water, may be introduced into the reflector assembly to further assist in cooling the same.
From the foregoing, it should be understoodthat objects of this invention include the provision of an improved radiant heat lamp source; the provision of means for prolonging the effective life of a radiant heat BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a generally schematic vertical sectional'view through a chemical vapor deposition reactor showing the subject invention positioned to heat a susceptor positioned therein;
FIG. 2 is a horizontal sectional view through the reactor of FIG. 1 taken in the plane of line 22 of FIG. 1;
FIG. 3 is a partial vertical sectional view, on an enlarged scale, taken generally in the area defined by line 33 of FIG. 1;
FIGS. 4 and 5 are sectional views of prior art conventional radiant heat lamp and reflector assemblies;
FIGS. 6 and 7 are sectional views of a portion of a reflector assembly having the improved construction of the present invention;
FIG. 8 is aview corresponding generally to a portion of FIG. 3 showing additional cooling means provided in conjunction'with the. reflector assembly thereof;
FIG. 9 is an isometric illustration of a modified embodiment of the subject invention utilized in conjunction with a single lamp rather than a bank of lamps.
DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments of radiant heat source reflectors and cooling structures are disclosed herein in conjunction with one exemplary embodiment of a chemical vapor deposition reactor of the type disclosed in the above identified Rosler application. However, full structural details of such reactor and its 'mode of operation are not described in detail herein. For a full understanding of the construction and operation of a radiant heated reactor of the type illustrated herein, reference is directed to the aforementioned Rosler et al.- application and to the aforementioned McNeilly et al. US. Pat. No. 3,623,712. The chemical vapor deposition procedure effected within the reaction chamber of the illustrated reactor is fully described in said McNeilly et al. patent.
Generally, it should be understood that the reactor with which the subject radiant heat source is illustrated is designed to produce various chemical reactions and- /or thermal pyrolysis reactions to deposit a variety of films on silicon wafers or like substrates. Such films include various types of epitaxial, polycrystalline or amorphous films, such as silicon, aluminum oxide, silicon nitride and silicon dioxide, as well as metal films such as molybdenum, titanium, zirconium, and tungsten, depositable in accordance with known chemical vapor deposition reactions in the presence of heat.
In that regard, the heat source illustrated desirably comprises one or more tungsten filament lamps, such as tungsten filament quartz-halogen high intensity lamps of which quartz-iodine and quartz bromide are exemplary. Such lamps are commercially available as described in said McNeilly et al. patent. Such lamps are capable of producing high filament temperatures in the range of 5,000 to 6,000F. The lamps chosen desirably are selected from the type which producesmaximum radiant heat energy in the short wave length, preferably approximately one micron. Radiant heat energy in such short wave length passes through material found suitable for defining the walls of a chemical vapor deposition reaction chamber, of which quartz is preferred.
Reactors of the type described briefly herein have been effectively used heretofore for producing films of the type identified with film thickness uniformity of plus or minus 5 percent from substrate to substrate within a given run. Highly effective results are insured because operating temperatures can be controlled closely and uniformly with the radiant heat source described. Such operating temperature uniformity can now be even more closely controlled, and heat source life extended, by utilizing a reflector assembly and cooling structure of the present invention.
Referring first to the showing of FIGS. 1 and 2 in which an exemplary barrel type chemical vapor deposition reactor system is shown, it should be understood that thereactor structure is intended to be enclosed within a surrounding cabinet (not shown) in and on which the necessary gaseous reactant flow controls, electrical power sources and other attendant mechanisms are to be housed and mounted. For purposes of understanding the subject invention, only those portions of the reactor necessary to illustrate the environment in which the improved radiant lamp and reflector assembly is utilized have been illustrated. It should be understood that those portions of the reactor illustrated are intended-tobe supported within the aforementioned cabinet in any suitable fashion and that suitable power supply sources are provided for energizing the lamps shown. r
The reactor illustrated in FIG. 1, generally designated l, is defined by an enclosure, generally designated 2, within which the subject heat source, generally designated 3, is positioned. The enclosure 2 is defined 'by'a pair of opposed upper and lower plates, 4 and 6,
the upper one of which is apertured for the purpose to become apparent.
Heat source 3, as will be described in greater detail hereinafter, is defined by a plurality of banks of high intensity lamps capable of producing and transmitting radiant heat energy at the short wave length noted previously. As seen from FIG. 2, heat source 3 is defined by a plurality of four banks of radiant lamps designated 7, 8, 9 and 11, respectively. Such banks of lamps are positioned at right angles to each other to surround the reaction chamber of the reactor, which in the embodiment shown in FIG. 1, is defined by a quartz bell jar 12 which is transparent to heat energy emanating from the heat source at the wave length noted.
The bell jar surrounds the susceptor structure 14 of the reactor which corresponds in construction to that shown in the aforementioned Rosler application. At its lower end, the bell jar is provided with a circular pee ripheral flange 16 which is supported upon the lower plate 6 of the enclosure 2.
Susceptor 14"includes a vertically extending shaft 17 which extends upwardly through a boss 18 provided in lower plate 6 and through a bearing 19 positioned in the boss as seen in FIG. 1. Within the reaction chamher, shaft 17 is provided with an enlarged retaining ring 21 which supports the susceptor for rotation withinthe reaction chamber. In that regard, the lower portion 22 of the shaft is operatively connectable with suitable means for rotating the same (not shown) so that the entire susceptor is rotatable within the reaction chamber in the presence of radiant heat energy emanating from the banks of radiant heat lamps surrounding the chamber.
The susceptor further comprises a plurality of graphite or like susceptor slabs, designated 23, each of which carries a plurality of wafer substrates 8 to be chemically vapor deposition coated in the known manner. In that regard, each of such slabs is separable from the supporting framework of the susceptor when the bell jar is removed from around the susceptor by raising the same in the direction of the arrows shown at the top of FIG.
Suitable chemical vaporreactants are introducible into the reaction chamber through a conduit 26 for contacting the substrates to be coated in the presence of heat emanating from the radiant heat source. The spent gaseous reactants are withdrawable from the reaction chamber through a conduit 27 after the reactants have been maintained in contact with the substrates for a suitable period of time to effect the desired revolutions per minute has been found effective to insure uniform heating of the susceptor slabs 23 and substrates carried thereby.
It will be noted from FIG; 2 that each of the lamp banks 7, 8, 9 and 11 is supported by lower plate 6 and is enclosed within a vertical framework, generally designated 31, which extends between the upper and lower plates 4 and 6 of the enclosure 2. The lamp banks, as seen in FIG. 1, are generally coextensive with the susceptor to insure effective heating thereof.
In that regard, each bank of lamps comprises a plurality of vertically spaced radiant heat lamps 32 of the aforementioned type; five such lamps are illustrated in each bank in the reactor embodiment shown. It should be noted further from FIG. 2 that each of the lamps 32 is tubular in construction and elongated in configuration to extend substantially the full width of one side of the reactor enclosure. Each such lamp has a transparent envelope surroundingan elongated filament F extending longitudinally thereof which produces the infrared radiant heat energy emanating therefrom.
The combined four lamp banks, as seen in FIG. 2,
completely surround the reaction chamber to insure effective heating of the susceptor 14 positioned therein as noted. It will be notedthat each lamp envelope at each of its opposite ends is sealed around a metal electrical contact which in turn is received in and clamped by a metal contact clamp, each designated 33. Such contact clamps are operatively connected with a suitable electrical source (not shown) in known fashion. Thus, to replace a lamp it is merely necessary to slip the same from its associated pair of electrical contact clamps and substitute a new lamp therefor, as may be required.
Heretofore the life of such lamps has been unnecessarily shortenedbecause of the inability to effectively cool the same, and furthermore because of the contact of radiant energy with the lamp which is reflected from the lamp itself or emanates from an adjacent lamp in a bank of lamps. The present invention obviates the cooling problem noted, as well as the radiant energy problem noted by including in the lamp assembly an improved reflector assembly and cooling structure.
Before describing the preferred embodiment of the improved reflector assembly and cooling structure of this invention, reference is directed to FIGS. 4 and 5 i which illustrate the problems encountered in the prior art constructions. FIG. 4 shows a standard highly polished parabolic metal reflector of the type commonly used and readily available on the market in conjunction with lamps of the type noted. Such reflector, designated 36, is formed from any suitable reflective temperature-resistant material. A lamp 32 is positioned to extend generally longitudinally through the focal point of the reflector so that the parabolic configuration of the reflector base will direct radiant energy em anating from the filament F of the lamp back towards the body to be heated. In that regard, it will be noted by arrow 37-in FIG. 4 that a portion of the radiant energy emanating from the filament F of the lamp will strike the base of the parabolic reflector surface and will be directed thereby back onto the filament. Such reflective energy traveling back to the lampv filament cooling such radiant heat lamps, namely the utilization therewith of a coolant barrier, designated 39, which extends longitudinally of the lamp. Such a coolant barrier comprises a transparent sheet, such as a sheet of quartz, which extends longitudinally of the reflector 36 and the lamp 32, The purpose of such barrier sheet is to retain cooling air in contact with the lamp during operation thereof. Such cooling air is introduced longitudinallyof the lamp at one end of the reflector and exits from the other end thereof. However, such longitudinal coolant flow is not fully effective and does not produce uniform lamp cooling. Additionally, the requirement for a quartz or like air barrier complicates the construction of the-lamp assembly unnecessarily.
In FIG. 5 a further prior art arrangement is illustrated, which possesses the inherent disadvantages noted previously, in conjunction with a bank of lamps. As illustrated by the arrows 37 in such figure, the problem of reflected energy from the base of the reflector 36 onto the filaments of the respective lamps 32 is encountered. Additionally, as illustrated by arrows 41, the embodiment of FIG. 5 has the furtherdisadvantage in that radiant heat energy may pass directly from the filament of one lamp onto another which further shortens the lamp filament and envelope effectiveness and life as above noted. The requirement for a quartz or like-for barrier 39' with its inherent disadvantages and ineffective cooling similarly is encounteredin the prior art bank of lamps shown in FIG. 5.
In the schematic showings of FIGS. 6 and 7, the improved features of the present invention are illustrated. Such features comprise a simple yet highly effective modification of the base of the reflector structure utilized in conjunction with a radiant heat lamp so that more effective coolant circulation around the lamps and reaction chamber 12 may be effected and so that reflected radiant energy back onto the filament of the lamp is precluded. The schematic showings of FIGS. 6 and 7 should be taken in conjunction with the detailed showing of the reflector assembly of this invention, generally designated 46 in FIG. 3.
In the embodiment of FIG. 3, the reflector assembly 46 comprises a reflector structure defined by a series of adjacent reflector elements designated 47 adjacent which the respective lamps 32 are positioned. The reflector assembly illustrated in FIGS. 1 through 3 is defined by a plurality of said reflector segments 47 mounted closely adjacent each other but in vertically spaced orientation relative to adjacent segments. Thus, two adjacent reflector segments 47 cooperate as seen in FIG. 3 to define a single parabolic reflector surface, designated 48, adjacent which the respective lamps 32 are positioned.
In that regard, each reflector segment 47 is formed with a generally triangular tip portion comprising a peak lying between a pair of highly polished concave reflecting surfaces, the contour of which is designed to define the' parabolic reflecting surface 48 mentioned previously when such reflector segment is positioned adjacent a similarly contoured reflector segment. However, because of the vertical spacing of adjacent reflector segments, the base of each parabolic reflector surface is provided with a discontinuous or open structure which extends the full length of the reflector. Each such discontinuous base includes a longitudinal opening or slot 49 extending therealong as seen in FIG. 3 and illustrated generally schematically in FIGS. 6 and 7.
As noted in those latter figures, the purpose of each slot 49 is two-fold, namely to permit the introduction of coolant through the reflectorassembly to pass over and around a lamp to cool the same so that the temperature thereof may be effectively controlled, and, secondly, to permit the selective escape of radiant energy emanating from the filament F of the lamp in the manner seen in FIG. 7 so that such energy is not reflected directly back onto the lamp in the manner characteristic of prior known reflector assemblies so that envelope, end seal and filament damage are obviated. Thus, efficiency and lamp life are enhanced. Also, as noted from FIGS. 1. and 2, after the coolant passes over the lamps 32, it contacts the wall of the reaction chamber 12, and passes thereover prior to exiting through the apertured top of the reactor. Thus, cool wall deposition reactions as discussed hereinabove are further enhanced.
Each reflector segment 47 is mounted in its operative position by securing opposite ends 51 and 52 thereof in any suitable fashion (by bolting or bonding) to mounting blocks 53 and 54 which extend vertically of the framework 31 within which the lamp and reflector assemblies are positioned. The mounting blocks 53 and 54 may be of any suitable insulating material to preelude unwanted transmission of heat from the reflector assembly to the framework.
- Each reflector segment 47 is formed from any known reflective material used in the art heretofore. The various parabolic respective reflecting surfaces 48 preferably are gold plated and are highly polished for most effective radiant heat energy transmission. The actual material from which the reflector segments are formed may be chosen from a list of ceramics or metals which are known to be capable of withstanding the substantial I heat to which thesegments are subjected.
. reflector segments is provided therein to admit a coolant fluid into the interior of the segments to maintain the temperature thereof at a workable level during operation of the lamp bank assembly. In that regard, provided in conjunction with the reflector assembly is a coolant manifold, generally designated 61, defined by a main conduit 62 which is positioned in operative communication with a source of coolant fluid (not shown), such as a supply of cool air under pressure.
' Branching from the main conduit 62 are a series of vertically spaced branch conduits 63, each of which is positioned to extend through openings provided in the side walls 64 of the framework 31 surrounding the lamp assembly, each such side wall forming a baffle plate positioned behind the respective reflector assemblies.
' Thus, cooling fluid such as air introduced through the respective conduits 63 passes into the opening behind the reflector assembly and such cooling fluid enters the respective hollow interiors of the reflector segments 47, circulates therein, and passes out therefrom to subsequently pass between the respective segments through the slots 49 to pass over the lamps 32. As a result, the temperature of the reflector segments and of the lamps maybe maintained below the critical level. Additionally, such cooling fluid also passes over the wall of the reaction chamber 12 to enhance the cool wall deposition reaction capability carried out in that chamber as discussed previously herein.
It will be noted that the branch conduits 63 are positioned generally in line with the slots 57 provided in the respective reflector segments to insure direct introduction of coolant into the hollow interiors of the segments for most effective cooling thereof. With the arrangement illustrated, a cooling fluid may be introduced into contact with the reflector assembly in a manner unknown heretofore to cool the reflector segments as well as to pass therefrom into contact with the high temperature lamps 32 to maintain the temperature of such lamps at a workable level also.
FIG. 8 shows a modified arrangement for the cooling structure of the reflector assembly shown in FIG. 3 which includes additional means for introducing coolant into contact with the respective segments 47. In
that regard, each such segment is provided with a fluid conduit, such as a length of copper tubing, designated 66, positioned in the semi-spherical extension 58 of the hollowed out interior of each reflector segment. Each such conduit extends longitudinally for the full length of its associated reflector segment and such conduit is maintained in position within the segment by means of a threaded wedge bolt 67 which passes through a threaded plate member 68 positioned within the hollow interior of each segment 47. The wedge bolt 67 urges a conduit 66 against the bottom of extension 58 and holds the same in operative position within the respective reflector segments.
The conduits 66 may be positioned in the segments during any stage of production thereof. It should be understood that the respective conduits in turn are operatively connected with a fluid manifold (not shown) at each of the opposite ends of the respective reflector assemblies. The manifold at one endof the assembly introduces cooling fluid, such as water, through the respective conduits 66 and the manifold at the other end removes the fluid from the respective segments after the fluid has passed longitudinally the length of the rerespective reflector segments to further effectively cool the same.
It should be understood from the foregoing descrip-' tion that with the subject arrangement a reflector assembly may be fabricated to any desired size, depending upon the nature of the work piece or susceptor to be heated, merely by adding or subtracting reflector units and lamps from the assembly. In that regard, suitable fasteners, such as bolts, or other means such as bonding adhesive, may be utilized to position the re flector segments in place in the manner seen in FIG. 2.
It should also be noted from FIGS. 3 and 8 that the peaked center portions of adjacent reflector segments 47 provide shields which prevent direct radiation from passing between adjacent lamps of the lamp bank, thereby prolonging lamp life in a fashion not possible with the prior art arrangement of FIG. 5.
Reference is now directed to FIG. 9 for an illustration of a modified arrangement of the subject reflector structure. In the FIG. 9 embodiment, the lamp 32 is held in place in opposed clip members 33 in the manner described previously, such clip members being secured to suitable mounting plates corresponding to the mounting plates 53 and 54 described previously. Such mounting plates 53 and 54 also provide means for mounting segments of a reflector in the manner shown. In that regard, the single lamp reflector illustrated in FIG. 9 comprises a pair of spaced opposed reflector segments 71 and 72 which define a slot 73 for the passage of coolant therebetween in the manner described previously. It will be noted that each of the segments 71 and 72 forms essentially one-half of a segment of the type described previously. Additionally, each such segment is generally solid, that is, it does not include recess portions 56, 57 and 58 described previously with respect to segments 47. However, the respective segments 71 and 72 each includes a portion of a curved reflective surface of the type noted previously with the portions of the two segments cooperating to define a parabolic reflecting surface of the type described previa gaseous ously. Such parabolic surface is continuous except for the slotted opening 73 passing therethrough to permit coolant to be circulated around the lamp 32 as previously noted.
With the arrangement shown in FIG. 9, a single lamp heating unit may be employed, or a plurality of reflector units of the type shown in FIG. 9 may be positioned adjacent each other to form a composite reflector assembly useable with a bank of lamps in an arrangement similar to that shown in FIGS. 1 through 3.
It should be understood that the slots 49 in the reflector assembly of FIG. 3 and'the slot 73 in the modified arrangement of FIG. 9 may vary in width to meet particular needs. However, each such slot should have a width which is at least equal to the thickness of the filament F of the lamp with which the reflector is to be used so that radiant energy emanating from the filament and directed towards the base of an associated parabolic reflecting surface will pass through the slot and none of such energy will be reflected directly back to the lamp filament and its surrounding quartz envelope.
While the subject invention has been illustrated in conjunction with an upright reactor utilizing a generally barrel shaped susceptor of the type shown in the aforementioned Rosler et al. application, it should be understood that reflector assemblies of this invention may be utilized with horizontal reactors of the type shown in the aforementioned McNeilly et al. patent. Similarly, as previously noted, the improved reflector assembly and cooling structure illustrated herein may be utilized in conjunction with the heating or other radiant energy treatment of various other structures in addition to susceptors or substrates used in chemical vapor deposition reactors as illustrated and described herein. Furthermore, while this invention has been illustrated herein in conjunction with an elongated tubular lamp, it should be understood that the principles disclosed herein are also applicable for use in effectively cooling other high temperature lamps having configurations and sizes different from those of the lamp shown.
Having thus made a full disclosure of this invention, reference is directed to the appended claims for the scope of protection to be afforded thereto.
We claim:
1. A cool wall radiantly heated chemical vapor depowall thereof; a reflector assembly; for each bank comprising a plurality of adjacently disposed reflector segments; and cooling structure in conjunction with said reaction chamber and each of said lamp banks, said lamps of each bank being spaced from each other and from the wall of said reaction chamber with portions of adjacent-segments of each reflector assembly being interposed between adjacent lamps to prevent direct transmission of radiant energy between the filaments of such adjacent lamps; each reflector assembly having the plurality of said reflector segments spaced from each other so that elongated generally slot shaped openings are provided between adjacent reflector segments, each of said lampsbeing positioned so that its elongated filament lies generally in line with one of said elongated openings, said openings being at least as wide as the width of said filaments and at least as long as the lenght of said filaments aligned therewith so that radiant energy passing in one direction from each said filament may exit length an adjacent opening so that reflection of said energy back toward said lamp filament is precluded; said cooling structure comprising dual purpose conduit means associated with each reflector assembly for introducing a coolant through said slot shaped openings of each reflector assembly, such coolant upon passing through such openings passing over each of said lamps in said bank positioned in line therewith to effect cooling of such lamps, and further passing over the wall of said reaction chamber to assist in maintaining such chamber wall cool during treatment of substrates in said reactor.
2. The combination of claim 1 in which at least some of said reflector segments are hollow and have a coolant entrance so that coolant from said cooling structure may enter said segments to cool the same internally.
3. The combination of claim 2 in which said conduit means comprises an air manifold defined by a plurality of conduit sections, each of said conduit sections being aligned with the coolant entrance extending into a hollow reflector segment, whereby coolant may be introduced directly intosaid reflector segments and thereafter may circulate from such segments into and through said slot shaped openings over said lamps.
4. The combination of claim 1 in which said cooling structure further includes elongated conduits positioned within at least some of said reflector segments, said conduits being operatively connected with means for introducing a coolant into said conduits for circulation therethrough and through said segments.
5. The combination of claim 1 in which said conduit means comprises an air manifold defined by a plurality of conduit sections arranged to direct air over and between said segments of each reflector assembly.

Claims (5)

1. A cool wall radiantly heated chemical vapor deposition reactor comprising in combination a plurality of banks of elongated radiant energy heat lamps for heating substrates to be treated in said reactor, each of said lamps including an elongated filament therein; a radiant energy transmissive cool wall reaction chamber in which said substrates are to be treated, said banks of lamps being oriented to generally surround said reaction chamber to transmit radiant energy through the wall thereof; a reflector assembly; for each bank comprising a plurality of adjacently disposed reflector segments; and cooling structure in conjunction with said reaction chamber and each of said lamp banks, said lamps of each bank being spaced from each other and from the wall of said reaction chamber with portions of adjacent segments of each reflector assembly being interposed between adjacent lamps to prevent direct transmission of radiant energy between the filaments of such adjacent lamps; each reflector assembly having the pluraLity of said reflector segments spaced from each other so that elongated generally slot shaped openings are provided between adjacent reflector segments, each of said lamps being positioned so that its elongated filament lies generally in line with one of said elongated openings, said openings being at least as wide as the width of said filaments and at least as long as the lenght of said filaments aligned therewith so that radiant energy passing in one direction from each said filament may exit length an adjacent opening so that reflection of said energy back toward said lamp filament is precluded; said cooling structure comprising dual purpose conduit means associated with each reflector assembly for introducing a coolant through said slot shaped openings of each reflector assembly, such coolant upon passing through such openings passing over each of said lamps in said bank positioned in line therewith to effect cooling of such lamps, and further passing over the wall of said reaction chamber to assist in maintaining such chamber wall cool during treatment of substrates in said reactor.
2. The combination of claim 1 in which at least some of said reflector segments are hollow and have a coolant entrance so that coolant from said cooling structure may enter said segments to cool the same internally.
3. The combination of claim 2 in which said conduit means comprises an air manifold defined by a plurality of conduit sections, each of said conduit sections being aligned with the coolant entrance extending into a hollow reflector segment, whereby coolant may be introduced directly into said reflector segments and thereafter may circulate from such segments into and through said slot shaped openings over said lamps.
4. The combination of claim 1 in which said cooling structure further includes elongated conduits positioned within at least some of said reflector segments, said conduits being operatively connected with means for introducing a coolant into said conduits for circulation therethrough and through said segments.
5. The combination of claim 1 in which said conduit means comprises an air manifold defined by a plurality of conduit sections arranged to direct air over and between said segments of each reflector assembly.
US397631A 1972-03-24 1973-09-17 Cool wall radiantly heated reactor Expired - Lifetime US3862397A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US397631A US3862397A (en) 1972-03-24 1973-09-17 Cool wall radiantly heated reactor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23769872A 1972-03-24 1972-03-24
US397631A US3862397A (en) 1972-03-24 1973-09-17 Cool wall radiantly heated reactor

Publications (1)

Publication Number Publication Date
US3862397A true US3862397A (en) 1975-01-21

Family

ID=26930935

Family Applications (1)

Application Number Title Priority Date Filing Date
US397631A Expired - Lifetime US3862397A (en) 1972-03-24 1973-09-17 Cool wall radiantly heated reactor

Country Status (1)

Country Link
US (1) US3862397A (en)

Cited By (423)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3964430A (en) * 1974-11-14 1976-06-22 Unicorp Incorporated Semi-conductor manufacturing reactor instrument with improved reactor tube cooling
US4030964A (en) * 1976-04-29 1977-06-21 The United States Of America As Represented By The United States Energy Research And Development Administration Temperature cycling vapor deposition HgI2 crystal growth
US4048916A (en) * 1975-09-26 1977-09-20 Sun Chemical Corporation Curing section for continuous motion decorator
US4079104A (en) * 1976-04-16 1978-03-14 Owens-Illinois, Inc. Method for heating plastic articles
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4115163A (en) * 1976-01-08 1978-09-19 Yulia Ivanovna Gorina Method of growing epitaxial semiconductor films utilizing radiant heating
US4141060A (en) * 1975-06-18 1979-02-20 Ppg Industries, Inc. Ultraviolet light processor having movable reflectors
US4168522A (en) * 1976-07-12 1979-09-18 Oce-Van Der Grinten N.V. Light emission control for gas-discharge lamp
US4208573A (en) * 1976-12-11 1980-06-17 Vita Zahnfabrik H. Rauter Kg Kiln utilizing infrared radiation in the range of 0.7 to 1.5 μm to heat dental ceramic material
US4275282A (en) * 1980-03-24 1981-06-23 Rca Corporation Centering support for a rotatable wafer support susceptor
US4306515A (en) * 1976-06-08 1981-12-22 Balzers Patent- Und Beteilingungs-Aktiengesellschaft Vacuum-deposition apparatus
US4408658A (en) * 1981-06-30 1983-10-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Apparatus and method for heating a material in a transparent ampoule
US4419332A (en) * 1979-10-29 1983-12-06 Licentia Patent-Verwaltungs-G.M.B.H. Epitaxial reactor
EP0119654A1 (en) * 1983-03-18 1984-09-26 Philips Electronics Uk Limited A furnace suitable for heat-treating semiconductor bodies
US4496828A (en) * 1983-07-08 1985-01-29 Ultra Carbon Corporation Susceptor assembly
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
EP0161540A1 (en) * 1984-05-04 1985-11-21 Th. Goldschmidt AG Device for hardening flat materials from compounds, and compositions hardenable by U.V. radiation
EP0162111A1 (en) * 1983-11-23 1985-11-27 Gemini Research, Inc. Method and apparatus for chemical vapor deposition
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4620884A (en) * 1979-07-24 1986-11-04 Samuel Strapping Systems Ltd. Heat treat process and furnace
US4680447A (en) * 1983-08-11 1987-07-14 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4741928A (en) * 1985-12-27 1988-05-03 General Electric Company Method for selective deposition of tungsten by chemical vapor deposition onto metal and semiconductor surfaces
US4747368A (en) * 1985-05-17 1988-05-31 Mitel Corp. Chemical vapor deposition apparatus with manifold enveloped by cooling means
US4760244A (en) * 1985-11-11 1988-07-26 Jiri Hokynar Apparatus for the treatment of semiconductor materials
EP0276061A1 (en) * 1987-01-15 1988-07-27 Varian Associates, Inc. Rapid thermal chemical vapour deposition apparatus
EP0291273A2 (en) * 1987-05-12 1988-11-17 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US4812620A (en) * 1985-05-08 1989-03-14 Hy-Bec Corporation Concentrated radiant energy heat source unit
US4859832A (en) * 1986-09-08 1989-08-22 Nikon Corporation Light radiation apparatus
US4908495A (en) * 1988-12-20 1990-03-13 Texas Instruments Incorporated Heating lamp assembly for ccvd reactors
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
US4920908A (en) * 1983-03-29 1990-05-01 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4928210A (en) * 1986-10-24 1990-05-22 Kabushiki Kaisha Hybec Linear lamp unit with contacts at both ends
EP0381247A2 (en) * 1989-02-03 1990-08-08 Applied Materials, Inc. Apparatus and method for epitaxial deposition
WO1990010093A1 (en) * 1989-02-28 1990-09-07 Moore Epitaxial, Inc. A high capacity epitaxial reactor
US4970428A (en) * 1988-12-26 1990-11-13 Kabushiki Kaisha Hybec Double-ended miniature lamp
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5014339A (en) * 1987-12-30 1991-05-07 Deutsche Forschungsanstalt Fur Luft- Und Raumfahrt E.V. Device for heating up a flow of gas
WO1991010873A1 (en) * 1990-01-19 1991-07-25 G-Squared Semiconductor Corporation Heating apparatus for semiconductor wafers or substrates
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5097890A (en) * 1988-06-16 1992-03-24 Tel Sagami Limited Heat treating apparatus with cooling fluid nozzles
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
DE4223133A1 (en) * 1991-07-15 1993-01-21 T Elektronik Gmbh As Rapid thermal processing of sensitive devices - using heat source programme control to avoid defects in e.g. semiconductor devices
US5253324A (en) * 1992-09-29 1993-10-12 North Carolina State University Conical rapid thermal processing apparatus
US5279986A (en) * 1989-02-03 1994-01-18 Applied Materials, Inc. Method for epitaxial deposition
US5317492A (en) * 1990-01-19 1994-05-31 Applied Materials, Inc. Rapid thermal heating apparatus and method
WO1994017353A1 (en) * 1993-01-21 1994-08-04 Moore Epitaxial, Inc. A rapid thermal processing apparatus for processing semiconductor wafers
US5346555A (en) * 1992-07-30 1994-09-13 Kabushiki Kaisha Toshiba Device for thermal treatment and film forming process
US5359693A (en) * 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US6072160A (en) * 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
EP1030535A1 (en) * 1999-02-16 2000-08-23 Ushiodenki Kabushiki Kaisha Heat treatment device of the light irradiation type
US6114664A (en) * 1998-07-08 2000-09-05 Amana Company, L.P. Oven with combined convection and low mass, high power density heating
US6167196A (en) * 1997-01-10 2000-12-26 The W. B. Marvin Manufacturing Company Radiant electric heating appliance
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6570137B1 (en) * 2002-03-04 2003-05-27 Applied Materials, Inc. System and method for lamp split zone control
US20030098039A1 (en) * 2001-11-29 2003-05-29 Woo-Seock Cheong Device for deposition with chamber cleaner and method for cleaning the chamber
US20030132692A1 (en) * 2001-12-25 2003-07-17 Hiromasa Eguchi Flash emitting device and radiant heating apparatus
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US20040035847A1 (en) * 1998-11-20 2004-02-26 Arnon Gat Fast heating and cooling apparatus for semiconductor wafers
US6717158B1 (en) 1999-01-06 2004-04-06 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6744017B2 (en) * 2002-05-29 2004-06-01 Ibis Technology Corporation Wafer heating devices for use in ion implantation systems
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
EP1464904A1 (en) * 2003-03-19 2004-10-06 Rudolf Barget Melting device with a crucible
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US7037797B1 (en) 2000-03-17 2006-05-02 Mattson Technology, Inc. Localized heating and cooling of substrates
US20080038950A1 (en) * 2006-08-11 2008-02-14 Haro Robert C Lamp fasteners for semiconductor processing reactors
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US20110033610A1 (en) * 2008-06-30 2011-02-10 Bertram Jr Ronald Thomas Modular and readily configurable reactor enclosures and associated function modules
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110193479A1 (en) * 2010-02-08 2011-08-11 Nilssen Ole K Evaporation Cooled Lamp
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US20160167258A1 (en) * 2013-07-04 2016-06-16 Sidel Participations Heating module comprising a lamp and a lens fastened by a brace to a non-emissive portion of the lamp
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3261407A1 (en) * 2012-12-28 2017-12-27 Haimerl, Helmut Radiant heater with heating pipe element
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US20180195174A1 (en) * 2017-01-10 2018-07-12 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
CN109844175A (en) * 2016-10-05 2019-06-04 洛佩诗公司 The method of epitaxial deposition reactor and cooling susceptor and substrate with the reflector outside reaction chamber
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227738B2 (en) * 2017-04-21 2022-01-18 Hewlett-Packard Development Company, L.P. Cooling for a lamp assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11397288B2 (en) * 2017-01-24 2022-07-26 Solaronics S.A. Ceramic reflector for infrared lamps
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US20220252272A1 (en) * 2021-02-05 2022-08-11 Inforesight Consumer Products, Inc. Radiant Heater
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3114322A (en) * 1959-04-09 1963-12-17 Carrier Corp Hermetic pump
US3240915A (en) * 1962-09-19 1966-03-15 Fostoria Corp Infra-red heater
US3381125A (en) * 1967-06-01 1968-04-30 George D. Cooper Light fixture
US3427435A (en) * 1967-06-02 1969-02-11 Webb James E High speed infrared furnace
US3472721A (en) * 1966-01-24 1969-10-14 Research Inc Apparatus for lap joinder of plastic sheets
US3623712A (en) * 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US3654471A (en) * 1968-11-13 1972-04-04 Infraroedteknik Ab Reflector device
US3761677A (en) * 1971-02-06 1973-09-25 Nippon Electric Co Apparatus for producing single crystals including halogen lamps aligned with the common major axes of a spheroidal reflector pair

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3114322A (en) * 1959-04-09 1963-12-17 Carrier Corp Hermetic pump
US3240915A (en) * 1962-09-19 1966-03-15 Fostoria Corp Infra-red heater
US3472721A (en) * 1966-01-24 1969-10-14 Research Inc Apparatus for lap joinder of plastic sheets
US3381125A (en) * 1967-06-01 1968-04-30 George D. Cooper Light fixture
US3427435A (en) * 1967-06-02 1969-02-11 Webb James E High speed infrared furnace
US3654471A (en) * 1968-11-13 1972-04-04 Infraroedteknik Ab Reflector device
US3623712A (en) * 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US3761677A (en) * 1971-02-06 1973-09-25 Nippon Electric Co Apparatus for producing single crystals including halogen lamps aligned with the common major axes of a spheroidal reflector pair

Cited By (571)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3964430A (en) * 1974-11-14 1976-06-22 Unicorp Incorporated Semi-conductor manufacturing reactor instrument with improved reactor tube cooling
US4141060A (en) * 1975-06-18 1979-02-20 Ppg Industries, Inc. Ultraviolet light processor having movable reflectors
US4048916A (en) * 1975-09-26 1977-09-20 Sun Chemical Corporation Curing section for continuous motion decorator
US4115163A (en) * 1976-01-08 1978-09-19 Yulia Ivanovna Gorina Method of growing epitaxial semiconductor films utilizing radiant heating
US4079104A (en) * 1976-04-16 1978-03-14 Owens-Illinois, Inc. Method for heating plastic articles
US4030964A (en) * 1976-04-29 1977-06-21 The United States Of America As Represented By The United States Energy Research And Development Administration Temperature cycling vapor deposition HgI2 crystal growth
US4306515A (en) * 1976-06-08 1981-12-22 Balzers Patent- Und Beteilingungs-Aktiengesellschaft Vacuum-deposition apparatus
US4168522A (en) * 1976-07-12 1979-09-18 Oce-Van Der Grinten N.V. Light emission control for gas-discharge lamp
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4208573A (en) * 1976-12-11 1980-06-17 Vita Zahnfabrik H. Rauter Kg Kiln utilizing infrared radiation in the range of 0.7 to 1.5 μm to heat dental ceramic material
US4620884A (en) * 1979-07-24 1986-11-04 Samuel Strapping Systems Ltd. Heat treat process and furnace
US4419332A (en) * 1979-10-29 1983-12-06 Licentia Patent-Verwaltungs-G.M.B.H. Epitaxial reactor
US4275282A (en) * 1980-03-24 1981-06-23 Rca Corporation Centering support for a rotatable wafer support susceptor
US4408658A (en) * 1981-06-30 1983-10-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Apparatus and method for heating a material in a transparent ampoule
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
EP0119654A1 (en) * 1983-03-18 1984-09-26 Philips Electronics Uk Limited A furnace suitable for heat-treating semiconductor bodies
US4920908A (en) * 1983-03-29 1990-05-01 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4496828A (en) * 1983-07-08 1985-01-29 Ultra Carbon Corporation Susceptor assembly
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4680447A (en) * 1983-08-11 1987-07-14 Genus, Inc. Cooled optical window for semiconductor wafer heating
EP0162111A4 (en) * 1983-11-23 1986-09-15 Gemini Res Inc Method and apparatus for chemical vapor deposition.
EP0162111A1 (en) * 1983-11-23 1985-11-27 Gemini Research, Inc. Method and apparatus for chemical vapor deposition
EP0161540A1 (en) * 1984-05-04 1985-11-21 Th. Goldschmidt AG Device for hardening flat materials from compounds, and compositions hardenable by U.V. radiation
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4812620A (en) * 1985-05-08 1989-03-14 Hy-Bec Corporation Concentrated radiant energy heat source unit
US4747368A (en) * 1985-05-17 1988-05-31 Mitel Corp. Chemical vapor deposition apparatus with manifold enveloped by cooling means
US4760244A (en) * 1985-11-11 1988-07-26 Jiri Hokynar Apparatus for the treatment of semiconductor materials
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US4741928A (en) * 1985-12-27 1988-05-03 General Electric Company Method for selective deposition of tungsten by chemical vapor deposition onto metal and semiconductor surfaces
US4859832A (en) * 1986-09-08 1989-08-22 Nikon Corporation Light radiation apparatus
US4928210A (en) * 1986-10-24 1990-05-22 Kabushiki Kaisha Hybec Linear lamp unit with contacts at both ends
EP0276061A1 (en) * 1987-01-15 1988-07-27 Varian Associates, Inc. Rapid thermal chemical vapour deposition apparatus
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
EP0291273A3 (en) * 1987-05-12 1990-05-16 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
EP0291273A2 (en) * 1987-05-12 1988-11-17 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US5014339A (en) * 1987-12-30 1991-05-07 Deutsche Forschungsanstalt Fur Luft- Und Raumfahrt E.V. Device for heating up a flow of gas
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
US5097890A (en) * 1988-06-16 1992-03-24 Tel Sagami Limited Heat treating apparatus with cooling fluid nozzles
US4908495A (en) * 1988-12-20 1990-03-13 Texas Instruments Incorporated Heating lamp assembly for ccvd reactors
US4970428A (en) * 1988-12-26 1990-11-13 Kabushiki Kaisha Hybec Double-ended miniature lamp
EP0381247A2 (en) * 1989-02-03 1990-08-08 Applied Materials, Inc. Apparatus and method for epitaxial deposition
US5279986A (en) * 1989-02-03 1994-01-18 Applied Materials, Inc. Method for epitaxial deposition
EP0381247A3 (en) * 1989-02-03 1991-08-07 Applied Materials, Inc. Apparatus and method for epitaxial deposition
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
WO1990010093A1 (en) * 1989-02-28 1990-09-07 Moore Epitaxial, Inc. A high capacity epitaxial reactor
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US6122439A (en) * 1990-01-19 2000-09-19 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5487127A (en) * 1990-01-19 1996-01-23 Applied Materials, Inc. Rapid thermal heating apparatus and method utilizing plurality of light pipes
US5743643A (en) * 1990-01-19 1998-04-28 Applied Materials, Inc. Rapid thermal heating apparatus and method
WO1991010873A1 (en) * 1990-01-19 1991-07-25 G-Squared Semiconductor Corporation Heating apparatus for semiconductor wafers or substrates
US5317492A (en) * 1990-01-19 1994-05-31 Applied Materials, Inc. Rapid thermal heating apparatus and method
EP1049356A3 (en) * 1990-01-19 2001-03-28 Applied Materials, Inc. Heating apparatus for semiconductor wafers or substrates
US5767486A (en) * 1990-01-19 1998-06-16 Applied Materials, Inc. Rapid thermal heating apparatus including a plurality of radiant energy sources and a source of processing gas
US5790751A (en) * 1990-01-19 1998-08-04 Applied Materials, Inc. Rapid thermal heating apparatus including a plurality of light pipes and a pyrometer for measuring substrate temperature
US5708755A (en) * 1990-01-19 1998-01-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6434327B1 (en) 1990-01-19 2002-08-13 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5683173A (en) * 1990-01-19 1997-11-04 Applied Materials, Inc. Cooling chamber for a rapid thermal heating apparatus
US5840125A (en) * 1990-01-19 1998-11-24 Applied Materials, Inc. Rapid thermal heating apparatus including a substrate support and an external drive to rotate the same
US5689614A (en) * 1990-01-19 1997-11-18 Applied Materials, Inc. Rapid thermal heating apparatus and control therefor
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5359693A (en) * 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
DE4223133A1 (en) * 1991-07-15 1993-01-21 T Elektronik Gmbh As Rapid thermal processing of sensitive devices - using heat source programme control to avoid defects in e.g. semiconductor devices
US5346555A (en) * 1992-07-30 1994-09-13 Kabushiki Kaisha Toshiba Device for thermal treatment and film forming process
US5253324A (en) * 1992-09-29 1993-10-12 North Carolina State University Conical rapid thermal processing apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5683518A (en) * 1993-01-21 1997-11-04 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
WO1994017353A1 (en) * 1993-01-21 1994-08-04 Moore Epitaxial, Inc. A rapid thermal processing apparatus for processing semiconductor wafers
US6151447A (en) * 1993-01-21 2000-11-21 Moore Technologies Rapid thermal processing apparatus for processing semiconductor wafers
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5710407A (en) * 1993-01-21 1998-01-20 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6310327B1 (en) 1993-01-21 2001-10-30 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6072160A (en) * 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6167196A (en) * 1997-01-10 2000-12-26 The W. B. Marvin Manufacturing Company Radiant electric heating appliance
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6114664A (en) * 1998-07-08 2000-09-05 Amana Company, L.P. Oven with combined convection and low mass, high power density heating
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US7226488B2 (en) 1998-11-20 2007-06-05 Mattson Technology, Inc. Fast heating and cooling apparatus for semiconductor wafers
US6919271B2 (en) 1998-11-20 2005-07-19 Mattson Technology, Inc. Method for rapidly heating and cooling semiconductor wafers
US20050183854A1 (en) * 1998-11-20 2005-08-25 Arnon Gat Fast heating and cooling apparatus for semiconductor wafers
US20040035847A1 (en) * 1998-11-20 2004-02-26 Arnon Gat Fast heating and cooling apparatus for semiconductor wafers
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6727474B2 (en) 1998-12-10 2004-04-27 Mattson Technology, Inc. Rapid thermal processing chamber for processing multiple wafers
US6610967B2 (en) 1998-12-10 2003-08-26 Mattson Technology, Inc. Rapid thermal processing chamber for processing multiple wafers
US20050008351A1 (en) * 1999-01-06 2005-01-13 Arnon Gat Heating device for heating semiconductor wafers in thermal processing chambers
US6717158B1 (en) 1999-01-06 2004-04-06 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US20100018960A1 (en) * 1999-01-06 2010-01-28 Arnon Gat Heating Device For Heating Semiconductor Wafers in Thermal Processing Chambers
US8138451B2 (en) 1999-01-06 2012-03-20 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US20060201927A1 (en) * 1999-01-06 2006-09-14 Arnon Gat Heating device for heating semiconductor wafers in thermal processing chambers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US7038174B2 (en) 1999-01-06 2006-05-02 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US7608802B2 (en) 1999-01-06 2009-10-27 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6414279B1 (en) 1999-02-16 2002-07-02 Ushiodenki Kabushiki Kaisha Heat treatment device of the light irradiation type
EP1030535A1 (en) * 1999-02-16 2000-08-23 Ushiodenki Kabushiki Kaisha Heat treatment device of the light irradiation type
US6741804B2 (en) * 1999-08-19 2004-05-25 Innovent Systems, Inc. Apparatus and method for rapid thermal processing
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
US7037797B1 (en) 2000-03-17 2006-05-02 Mattson Technology, Inc. Localized heating and cooling of substrates
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6708700B2 (en) 2000-03-28 2004-03-23 Asm America Cleaning of semiconductor processing chambers
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
CN1293600C (en) * 2000-12-29 2007-01-03 应用材料有限公司 Chamber for uniformly heating substrate
US20040255861A1 (en) * 2000-12-29 2004-12-23 Quanyuan Shang Chamber for uniform substrate heating
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US7022948B2 (en) * 2000-12-29 2006-04-04 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20030098039A1 (en) * 2001-11-29 2003-05-29 Woo-Seock Cheong Device for deposition with chamber cleaner and method for cleaning the chamber
US6923869B2 (en) * 2001-11-29 2005-08-02 Hynix Semiconductor Inc. Device for deposition with chamber cleaner and method for cleaning the chamber
US20030132692A1 (en) * 2001-12-25 2003-07-17 Hiromasa Eguchi Flash emitting device and radiant heating apparatus
US6798142B2 (en) * 2001-12-25 2004-09-28 Ushio Denki Kabushiki Kaisha Flash emitting device and radiant heating apparatus
US6570137B1 (en) * 2002-03-04 2003-05-27 Applied Materials, Inc. System and method for lamp split zone control
US6744017B2 (en) * 2002-05-29 2004-06-01 Ibis Technology Corporation Wafer heating devices for use in ion implantation systems
EP1464904A1 (en) * 2003-03-19 2004-10-06 Rudolf Barget Melting device with a crucible
US20080038950A1 (en) * 2006-08-11 2008-02-14 Haro Robert C Lamp fasteners for semiconductor processing reactors
US7597574B2 (en) * 2006-08-11 2009-10-06 Asm America, Inc. Lamp fasteners for semiconductor processing reactors
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
EP2294608A4 (en) * 2008-06-30 2013-08-21 Soitec Silicon On Insulator Modular and readily configurable reactor enclosures and associated function modules
US20110033610A1 (en) * 2008-06-30 2011-02-10 Bertram Jr Ronald Thomas Modular and readily configurable reactor enclosures and associated function modules
EP2294608A2 (en) * 2008-06-30 2011-03-16 S.O.I.Tec Silicon on Insulator Technologies Modular and readily configurable reactor enclosures and associated function modules
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011097486A3 (en) * 2010-02-08 2011-11-03 Nilssen Ole K Evaporation cooled lamp
WO2011097486A2 (en) * 2010-02-08 2011-08-11 Nilssen Ole K Evaporation cooled lamp
US20110193479A1 (en) * 2010-02-08 2011-08-11 Nilssen Ole K Evaporation Cooled Lamp
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP3261407A1 (en) * 2012-12-28 2017-12-27 Haimerl, Helmut Radiant heater with heating pipe element
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20160167258A1 (en) * 2013-07-04 2016-06-16 Sidel Participations Heating module comprising a lamp and a lens fastened by a brace to a non-emissive portion of the lamp
US10259145B2 (en) * 2013-07-04 2019-04-16 Sidel Participations Heating module comprising a lamp and a lens fastened by a brace to a non-emissive portion of the lamp
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN109844175A (en) * 2016-10-05 2019-06-04 洛佩诗公司 The method of epitaxial deposition reactor and cooling susceptor and substrate with the reflector outside reaction chamber
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20220307139A1 (en) * 2017-01-10 2022-09-29 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US20180195174A1 (en) * 2017-01-10 2018-07-12 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11397288B2 (en) * 2017-01-24 2022-07-26 Solaronics S.A. Ceramic reflector for infrared lamps
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US11227738B2 (en) * 2017-04-21 2022-01-18 Hewlett-Packard Development Company, L.P. Cooling for a lamp assembly
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2020-11-24 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US20220252272A1 (en) * 2021-02-05 2022-08-11 Inforesight Consumer Products, Inc. Radiant Heater
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Similar Documents

Publication Publication Date Title
US3862397A (en) Cool wall radiantly heated reactor
US5418885A (en) Three-zone rapid thermal processing system utilizing wafer edge heating means
US3623712A (en) Epitaxial radiation heated reactor and process
US4496609A (en) Chemical vapor deposition coating process employing radiant heat and a susceptor
US5345534A (en) Semiconductor wafer heater with infrared lamp module with light blocking means
KR880000472B1 (en) Chemical vapor deposition apparatus
US3796182A (en) Susceptor structure for chemical vapor deposition reactor
EP0811709B1 (en) Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US4081313A (en) Process for preparing semiconductor wafers with substantially no crystallographic slip
US5951896A (en) Rapid thermal processing heater technology and method of use
US6121579A (en) Heating apparatus, and processing apparatus
KR910007109B1 (en) Reflector apparatus for chemical vapor deposition reactors
US9842753B2 (en) Absorbing lamphead face
KR20040028647A (en) Improved lamphead for a rapid thermal processing chamber
TWI663285B (en) Absorbing reflector for semiconductor processing chamber
US4284867A (en) Chemical vapor deposition reactor with infrared reflector
US5253324A (en) Conical rapid thermal processing apparatus
KR950003895B1 (en) Apparatus and method for epitaxial deposition
EP0728850A2 (en) Quasi hot wall reaction chamber
WO1986006755A1 (en) Selective chemical vapor deposition method and apparatus
JPS5925142B2 (en) heat treatment equipment
JPS60189927A (en) Vapor phase reactor
WO2014176174A1 (en) Absorbing lamphead face
EP0162111A4 (en) Method and apparatus for chemical vapor deposition.
JPS62101021A (en) Semiconductor manufacturing equipment