US5378501A - Method for chemical vapor deposition of titanium nitride films at low temperatures - Google Patents

Method for chemical vapor deposition of titanium nitride films at low temperatures Download PDF

Info

Publication number
US5378501A
US5378501A US08/131,900 US13190093A US5378501A US 5378501 A US5378501 A US 5378501A US 13190093 A US13190093 A US 13190093A US 5378501 A US5378501 A US 5378501A
Authority
US
United States
Prior art keywords
substrate
titanium nitride
temperature
chemical vapor
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US08/131,900
Inventor
Robert F. Foster
Joseph T. Hillman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Materials Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Materials Research Corp filed Critical Materials Research Corp
Priority to US08/131,900 priority Critical patent/US5378501A/en
Assigned to MATERIALS RESEARCH CORPORTION reassignment MATERIALS RESEARCH CORPORTION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FOSTER, ROBERT F., HILLMAN, JOSEPH T.
Priority to AU70910/94A priority patent/AU7091094A/en
Priority to JP7510790A priority patent/JPH09503623A/en
Priority to EP94919971A priority patent/EP0723601A4/en
Priority to PCT/US1994/003307 priority patent/WO1995009933A1/en
Priority to KR1019960701705A priority patent/KR960705074A/en
Priority to CA002173480A priority patent/CA2173480A1/en
Priority to TW083102880A priority patent/TW247963B/zh
Publication of US5378501A publication Critical patent/US5378501A/en
Application granted granted Critical
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MATERIALS RESEARCH CORPORATION, SONY CORPORATION
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Definitions

  • Thin film titanium nitride is widely utilized throughout the integrated circuit industry as a diffusion barrier.
  • a diffusion barrier is an inter-layer between the silicon contacts and the metal inter-connection. Its primary purpose is to prevent junction spiking failures which occur when a significant amount of metal diffuses into the silicon creating a short circuit across the junction. As contact dimensions shrink, this diffusion process is driven by high current density and higher local temperature making an effective diffusion barrier an essential part of the integrated circuit fabrication process.
  • Titanium nitride is also used as an adhesion layer or blanket tungsten films.
  • titanium nitride is deposited after contacts or vias are cut in the dielectric. Blanket tungsten is then deposited and etched back to form plugs which are coplanar with the top of the dielectric. Then aluminum is deposited and patterned to form the metal interconnection for the integrated circuit. This series of processes is usually repeated to form three or four levels of metalization.
  • the reaction rate versus the reciprocal of temperature appears as a graph as shown in FIG. 1.
  • This is also referred to as the Arrhenius plot.
  • This graph shows two basically linear lines, a horizontal line which represents higher temperatures from about 600° C. and higher, and a sloped portion from 600° C. and lower.
  • This horizontal portion is called the mass transfer portion where the deposition rate is limited by the mass transfer.
  • the sloped portion is limited by the reaction rate.
  • the reaction rate for titanium nitride deposition can be expressed by the following equation:
  • FIG. 1 is a graphic depiction of an Arrhenius plot
  • FIG. 2 is a cross-sectional graphical depiction of a rotating disk reactor
  • FIG. 2A is an enlarged portion of FIG. 3.
  • FIG. 3 is a diagramatic cross-sectional depiction of a laminar flow reactor broken away upstream and downstream of the reactor chamber;
  • FIG. 4 is a graph depicting the reaction rate as a function of the boundary layer.
  • FIG. 5 is a graph depicting the deposition rate of TiN vs. rotation rate at a temperature of 450° C.
  • titanium nitride films are deposited on semi-conductor wafers, semi-conductor substrates or other substrates using a chemical vapor deposition reaction.
  • titanium tetrachloride TiCl 4
  • ammonia gas in a diluent to form titanium nitride on the surface of the substrate.
  • the substrate will include semiconductors such as silicon and patterned wafers.
  • the method which is generally used to deposit a TiN film which may be from 50 to 5000 angstroms thick.
  • the reaction temperature in the present invention will be less than 550° C., generally 500° C. to 350° C. and preferably about 450° C.
  • a perpendicular flow reactor where the flow of reactant gases are pumped from above the substrate directly down upon the substrate perpendicular to the plane of the substrate
  • a laminar flow reactor where the gas passes parallel to the plane of the substrate
  • FIG. 1 shows a plot of the natural log of the reaction rate versus the reciprocal of the temperature. This is referred to as an Arrhenius plot.
  • the Arrhenius plot shows two different reaction portions. The upper horizontal portion of the plot is the diffusion rate controlled regime. When the reaction temperature is very high the deposition rate is dependent upon the ability of the reactants to reach the surface of the substrate. This is also referred to as the mass transfer area. The lower portion or sloped portion of the Arrhenius plot is referred to as the kinetic reaction area or regime. In this area, the reaction rate is a function of the reaction kinetics and is extremely temperature variable. The rate itself is equal to:
  • the present invention deals only with deposition of titanium nitride in the kinetic reaction regime.
  • the reaction itself employs three gases: titanium tetrachloride, ammonia and a diluent.
  • the diluent will be an inert gas such as helium, argon, hydrogen or nitrogen. Generally, equimolar portions of titanium tetrachloride and ammonia are used in the present invention and generally a 10-fold excess of diluent.
  • the total gas flow rate should be from 1 slm to about 50 slm and the inlet gas temperature should be about 150° C.
  • the preferred reactor for the present invention is a rotating disk reactor.
  • FIG. 2 shows a schematic representation of the pertinent portions of a rotating disk reactor suitable for practicing the present invention.
  • the rotating disk reactor 10 has a rotating susceptor 12 which supports a patterned wafer substrate 13. The susceptor and thus the substrate 13 are rotated in a clockwise direction by means of a motor 14 which drives shaft 15 affixed to susceptor or support 12.
  • Susceptor 12 is further provided with a temperature controlling device to heat the wafer to the desired temperature.
  • the reaction chamber 11 is provided with an exhaust port 18 through which the reaction gas by-products and unreacted starting materials are exhausted.
  • the chamber itself is pressure controlled to maintain a constant and desired reaction pressure. Generally this will be from 1 to 100 Torr.
  • the reacting gases themselves are fed to a reservoir 22 near the top of the reaction chamber where they are mixed.
  • the mixed reactant gases 24 flow downwardly through a shower head dispenser toward the wafer 13 which is being rotated on the susceptor 12.
  • the rotation of the wafer 13 acts as a pump forcing the reactant gases and formed gaseous by-products along the wafer surface to the exhaust 18.
  • the gas approaches the wafer surface it begins to change its direction from a downward direction to an outward direction 25. This begins at a distance above the wafer and, of course, ends along the wafer surface.
  • the distance between the initiation of the change in direction of the gas flow and the wafer surface is referred to as the boundary layer and the thickness itself is the boundary layer thickness.
  • the present reactor is preferably operated under matched flow conditions.
  • Matched flow means that the rate of gas flow in a downward direction indicated by Q-1 equals the rate of gas flow in a horizontal direction referred to as Q-2. When these two gas flow rates are equal, matched flow occurs.
  • the reaction conditions can be optimized by minimizing the boundary layer thickness.
  • the boundary layer thickness is equal to ##EQU1##
  • the kinematic viscosity equals the viscosity of the reactor gas mixture divided by the density.
  • the boundary layer thickness can be decreased by decreasing the kinematic viscosity which, in turn, is reduced by lowering the actual viscosity--in other words, changing diluent gases.
  • modifying the rotational velocity will decrease the boundary layer thickness.
  • FIG. 4 is a graph showing the boundary layer thickness in centimeters as a function of the rotation rate for the given conditions stated. It is preferred to maintain the boundary layer at less than 4 cm, preferably at about 2.5 cm or less.
  • the rotational velocity should be from about 100 to about 1200 rpm (or higher). This should increase as temperatures decrease or viscosity increases.
  • the reaction will continue until the desired film thickness is applied. Generally, this will be 30 to 180 seconds. An ammonia anneal for 30 seconds can be used to further reduce chlorine impurities.
  • a laminar flow reactor 26 As shown in FIG. 3, the same reactant gases are passed through the reactor over the substrate 13.
  • the gas enters at a speed V 1 and as it passes over the substrate 13 the gas 24 at the surface of the substrate has a velocity of zero.
  • the boundary layer 28 in a laminar flow reactor is the area in which the velocity of the gas passing over the substrate is less than V 1 .
  • the boundary layer 28 should be minimized to increase efficiency. Preferably this will be less than 4 cm and most preferably less than 2 cm.
  • Low temperature (450° C.) titanium nitride is deposited using a commercial single wafer rotating disk reactor.
  • the chamber is an MESC-compatible process module attached to an MRC Galaxy-1000TM cluster tool. All wafers pass through two stages of vacuum before loading into the process chamber.
  • the reaction wall temperature is controlled such that any reaction byproducts or volatile gas inlet temperatures are regulated to prevent condensation.
  • the wafer is heated by a susceptor which rests on a three-zone resistive heater.
  • Helium is introduced between the wafer back side and the susceptor to enhance the heat transfer.
  • Thermal transfer is primarily conductive as the gap is smaller than the mean free path of the helium.
  • the process utilizes a reaction between titanium tetrachloride and ammonia.
  • the gas flows were 15 sccm titanium tetrachloride, 50 sccm ammonia, and 5 slm of Nitrogen.
  • the deposition rate as a function of rotational speed, was determined and is shown in FIG. 5.
  • the bulk chlorine content was measured at 1.1 atomic percent when deposited at 450° C. with the rotating disk system. (Without use of the rotating disc this would be 4-5 percent.)
  • the boundary layer thickness for a 10 Torr process was determined at various rotational rates. These are shown in Table 1.
  • rotation has been shown to improve the gas flow above the wafer surface and reduce the chlorine content by approximately 80%.
  • the rotation results in a more than 300% increase in deposition rate.

Abstract

Titianium nitride film is deposited upon a semi-conductor substrate by chemical vapor deposition of titanium tetrachloride, ammonia and a diluent at temperatures less than 550° C. This is accomplished by minimizing the boundary layer thickness over the substrate.

Description

BACKGROUND OF THE INVENTION
Thin film titanium nitride (TiN) is widely utilized throughout the integrated circuit industry as a diffusion barrier. A diffusion barrier is an inter-layer between the silicon contacts and the metal inter-connection. Its primary purpose is to prevent junction spiking failures which occur when a significant amount of metal diffuses into the silicon creating a short circuit across the junction. As contact dimensions shrink, this diffusion process is driven by high current density and higher local temperature making an effective diffusion barrier an essential part of the integrated circuit fabrication process.
Titanium nitride is also used as an adhesion layer or blanket tungsten films. In this application titanium nitride is deposited after contacts or vias are cut in the dielectric. Blanket tungsten is then deposited and etched back to form plugs which are coplanar with the top of the dielectric. Then aluminum is deposited and patterned to form the metal interconnection for the integrated circuit. This series of processes is usually repeated to form three or four levels of metalization.
There are three processes for depositing titanium nitride films. These are sputtering titanium onto a substrate and then reacting in nitrogen or ammonia, reactively sputtering titanium in a nitrogen ambient and chemical vapor deposition (CVD). The first two processes are physical and result in line of sight trajectories for the deposited material. As a result, coverage of the side walls and bottoms of high aspect ratio contacts is poor with respect to the top surface of the substrate. The third process, CVD, allows surface diffusion of the depositing species and so the coverage on the side walls and bottoms of the high aspect ratio contacts can be equivalent to that on the top surface of the substrate. An apparatus and method useful for such chemical vapor deposition of titanium nitride films is disclosed in pending applications Methods of Chemical Vapor Deposition (CVD) of Films on Patterned Wafer Substrates, Ser. No. 07/898,492 filed Jun. 15, 1992 and Semiconductor Wafer Processing Method and Apparatus With Heat and Gas Glow Control, Ser. No. 07/898,800 filed Jun. 15, 1992, the disclosures of which are incorporated herein by reference.
The excellent conformality which has been demonstrated by chemical vapor deposition from titanium tetrachloride and ammonia is usually accomplished at a temperature of 650° C. However, substantial benefits could be realized from this process if the deposition temperature could be reduced to less than 550° C. Reducing the deposition temperature to less than 550° C., and preferably 450° C., would make the deposition process compatible with aluminum metalization. A low temperature process such as this could be utilized not only to deposit diffusion barriers at the contact level, but also to deposit adhesion layers for blanket tungsten deposition at subsequent metalization levels without disturbing the underlying aluminum layers. There are also other metalization schemes which require a low temperature titanium nitride process.
In CVD deposition of titanium nitride, the reaction rate versus the reciprocal of temperature appears as a graph as shown in FIG. 1. This is also referred to as the Arrhenius plot. This graph shows two basically linear lines, a horizontal line which represents higher temperatures from about 600° C. and higher, and a sloped portion from 600° C. and lower. This horizontal portion is called the mass transfer portion where the deposition rate is limited by the mass transfer. The sloped portion is limited by the reaction rate. In this region, the reaction rate for titanium nitride deposition can be expressed by the following equation:
R=3.48×10.sup.-7 exp(-4800/T)P.sup.0 TiCl.sub.4 P.sup.2 NH.sub.3
There are two problems which occur with chemical vapor deposition of titanium nitride at the reaction rate limited temperatures. The first of course, is the reaction rate itself. This can be slow, increasing deposition time. Also, and more importantly, at these lower reaction temperatures chlorine impurities remain in the deposited film. The chlorine impurities increase the resistance of the titanium nitride film. Also, the chlorine present in the deposited film corrodes metal, in particular aluminum, damaging the surface.
In a rotating reactor such as disclosed in co-pending application Ser. No. 07898,492 entitled Method of Chemical Vapor Deposition (CVD) of Films on Patterned Wafer Substrates filed Jun. 15, 1992, it is known that the reaction rate in the mass transfer region of the Arrhenius plot can be increased by increasing the rotation rate of the disk for certain CVD films. For example, Heterogeneous Kinetics and Mass Transfer and Chemical Vapor Deposition Crystal Growth Characterization, 1981 Vol. 4, pp. 283-296, discloses this phenomenon with respect to CVD deposition of tungsten silicon chloride. However, their findings showed that there was no increase in reaction rate in the reaction controlled portion of the Arrhenius plot for the deposition of Tungsten Silicon Chloride. Thus the rotation rate had no effect on the reaction rate at lower temperatures.
SUMMARY OF THE INVENTION
Accordingly, it is an object of the present invention to provide a method of depositing high quality titanium nitride films by low temperature chemical vapor deposition. More particularly, it is an object of the present invention to provide such films wherein the chlorine impurities are decreased and reaction rate is increased.
These objects and advantages of the present invention have been attained by chemical vapor deposition of titanium nitride at temperatures below 550° C. by maintaining the boundary layer thin enough to increase the reaction rate and decrease the chlorine impurity rate.
In a laminar flow reactor this is accomplished by increasing the velocity at which the reaction mixture passes over the substrate. In a rotating disk reactor this is accomplished by establishing matched flow conditions and modifying viscosity, temperature and flow rate to obtain the necessary thin boundary layer. The objects and advantages of the present invention will be further appreciated in light of the following detailed description and drawings in which:
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a graphic depiction of an Arrhenius plot;
FIG. 2 is a cross-sectional graphical depiction of a rotating disk reactor; and
FIG. 2A is an enlarged portion of FIG. 3.
FIG. 3 is a diagramatic cross-sectional depiction of a laminar flow reactor broken away upstream and downstream of the reactor chamber;
FIG. 4 is a graph depicting the reaction rate as a function of the boundary layer.
FIG. 5 is a graph depicting the deposition rate of TiN vs. rotation rate at a temperature of 450° C.
DETAILED DESCRIPTION
According to the present invention, titanium nitride films are deposited on semi-conductor wafers, semi-conductor substrates or other substrates using a chemical vapor deposition reaction. In this reaction, titanium tetrachloride (TiCl4)is reacted with ammonia gas in a diluent to form titanium nitride on the surface of the substrate. For purposes of the present invention, the substrate will include semiconductors such as silicon and patterned wafers. The method which is generally used to deposit a TiN film which may be from 50 to 5000 angstroms thick. The reaction temperature in the present invention will be less than 550° C., generally 500° C. to 350° C. and preferably about 450° C.
There are two basic reactors suitable for use in the present invention: a perpendicular flow reactor, where the flow of reactant gases are pumped from above the substrate directly down upon the substrate perpendicular to the plane of the substrate, and a laminar flow reactor where the gas passes parallel to the plane of the substrate.
With either type of reactor, the reaction rate will vary depending upon the reaction temperature. FIG. 1 shows a plot of the natural log of the reaction rate versus the reciprocal of the temperature. This is referred to as an Arrhenius plot. The Arrhenius plot shows two different reaction portions. The upper horizontal portion of the plot is the diffusion rate controlled regime. When the reaction temperature is very high the deposition rate is dependent upon the ability of the reactants to reach the surface of the substrate. This is also referred to as the mass transfer area. The lower portion or sloped portion of the Arrhenius plot is referred to as the kinetic reaction area or regime. In this area, the reaction rate is a function of the reaction kinetics and is extremely temperature variable. The rate itself is equal to:
3.48×10.sup.-7 exp(-4800/T)P.sup.0 TiCl.sub.4 P.sup.2 NH.sub.3
The present invention deals only with deposition of titanium nitride in the kinetic reaction regime.
The reaction itself employs three gases: titanium tetrachloride, ammonia and a diluent. The diluent will be an inert gas such as helium, argon, hydrogen or nitrogen. Generally, equimolar portions of titanium tetrachloride and ammonia are used in the present invention and generally a 10-fold excess of diluent. The total gas flow rate should be from 1 slm to about 50 slm and the inlet gas temperature should be about 150° C.
As shown more particularly in FIG. 2, the preferred reactor for the present invention is a rotating disk reactor. FIG. 2 shows a schematic representation of the pertinent portions of a rotating disk reactor suitable for practicing the present invention. As shown in FIG. 2, the rotating disk reactor 10 has a rotating susceptor 12 which supports a patterned wafer substrate 13. The susceptor and thus the substrate 13 are rotated in a clockwise direction by means of a motor 14 which drives shaft 15 affixed to susceptor or support 12. Susceptor 12 is further provided with a temperature controlling device to heat the wafer to the desired temperature. The reaction chamber 11 is provided with an exhaust port 18 through which the reaction gas by-products and unreacted starting materials are exhausted. The chamber itself is pressure controlled to maintain a constant and desired reaction pressure. Generally this will be from 1 to 100 Torr.
The reacting gases themselves are fed to a reservoir 22 near the top of the reaction chamber where they are mixed. The mixed reactant gases 24 flow downwardly through a shower head dispenser toward the wafer 13 which is being rotated on the susceptor 12.
As indicated by the gas flow lines 24 in FIG. 2 and 2A, as the gas approaches the wafer surface it flows radially outward in a uniform manner over the entire wafer surface and down past the sides of the support toward the exhaust port 18.
The rotation of the wafer 13 acts as a pump forcing the reactant gases and formed gaseous by-products along the wafer surface to the exhaust 18. As shown more particularly in FIG. 2A, as the gas approaches the wafer surface it begins to change its direction from a downward direction to an outward direction 25. This begins at a distance above the wafer and, of course, ends along the wafer surface. The distance between the initiation of the change in direction of the gas flow and the wafer surface is referred to as the boundary layer and the thickness itself is the boundary layer thickness.
As will be described further, the present reactor is preferably operated under matched flow conditions. Matched flow means that the rate of gas flow in a downward direction indicated by Q-1 equals the rate of gas flow in a horizontal direction referred to as Q-2. When these two gas flow rates are equal, matched flow occurs.
Preferably the reaction conditions can be optimized by minimizing the boundary layer thickness. The boundary layer thickness is equal to ##EQU1## The kinematic viscosity equals the viscosity of the reactor gas mixture divided by the density. Thus, the boundary layer thickness can be decreased by decreasing the kinematic viscosity which, in turn, is reduced by lowering the actual viscosity--in other words, changing diluent gases. Also, modifying the rotational velocity will decrease the boundary layer thickness. FIG. 4 is a graph showing the boundary layer thickness in centimeters as a function of the rotation rate for the given conditions stated. It is preferred to maintain the boundary layer at less than 4 cm, preferably at about 2.5 cm or less.
Generally at temperatures below 500° C. the rotational velocity should be from about 100 to about 1200 rpm (or higher). This should increase as temperatures decrease or viscosity increases. The reaction will continue until the desired film thickness is applied. Generally, this will be 30 to 180 seconds. An ammonia anneal for 30 seconds can be used to further reduce chlorine impurities.
In a laminar flow reactor 26, as shown in FIG. 3, the same reactant gases are passed through the reactor over the substrate 13. The gas enters at a speed V1 and as it passes over the substrate 13 the gas 24 at the surface of the substrate has a velocity of zero. Above the wafer there is a point 27 where the velocity of the gas equals V1. The boundary layer 28 in a laminar flow reactor is the area in which the velocity of the gas passing over the substrate is less than V1. In the laminar flow reactor as in a rotating disk reactor, the boundary layer 28 should be minimized to increase efficiency. Preferably this will be less than 4 cm and most preferably less than 2 cm.
The invention will be further appreciated in light of the following example.
Example 1
Low temperature (450° C.) titanium nitride is deposited using a commercial single wafer rotating disk reactor. The chamber is an MESC-compatible process module attached to an MRC Galaxy-1000™ cluster tool. All wafers pass through two stages of vacuum before loading into the process chamber. The reaction wall temperature is controlled such that any reaction byproducts or volatile gas inlet temperatures are regulated to prevent condensation.
The wafer is heated by a susceptor which rests on a three-zone resistive heater. Helium is introduced between the wafer back side and the susceptor to enhance the heat transfer. Thermal transfer is primarily conductive as the gap is smaller than the mean free path of the helium. There is a separate back side vacuum system to maintain the back side helium pressure below that over the front surface of the wafer. In this manner the wafer is retained in place solely by vacuum differential without the use of a clamp.
The process utilizes a reaction between titanium tetrachloride and ammonia. The gas flows were 15 sccm titanium tetrachloride, 50 sccm ammonia, and 5 slm of Nitrogen.
The deposition rate, as a function of rotational speed, was determined and is shown in FIG. 5.
The bulk chlorine content was measured at 1.1 atomic percent when deposited at 450° C. with the rotating disk system. (Without use of the rotating disc this would be 4-5 percent.)
Example 2
The boundary layer thickness for a 10 Torr process was determined at various rotational rates. These are shown in Table 1.
              TABLE 1                                                     
______________________________________                                    
Tinf = 423.00                                                             
             Dsusc = 22.86                                                
                         Gr = 2875.31                                     
P = 10.00    Ts = 723.00 Dshwr = 15.24                                    
v = 18.999   h = 10.16   ε = 1                                    
______________________________________                                    
Disc                                       Bndary                         
Rota-                              Matched Layer                          
tion         Q                     Flow    Thick-                         
Rate         (sccm)                Rate    ness                           
Ω                                                                   
      V      New-           Gr/    Q (sccm)                               
                                           δ                        
rpm   cm/s   man     Re     Re 1.5 Schlichting                            
                                           (cm)                           
______________________________________                                    
 50   8.63    876    36.00  13.31  2015    7.620                          
100   12.44  1262    72.00  4.71   2849    5.388                          
150   15.27  1549    107.99 2.56   3490    4.400                          
200   17.64  1790    143.99 1.66   4030    3.810                          
250   19.72  2002    179.99 1.19   4505    3.408                          
300   21.61  2193    215.99 0.91   4935    3.111                          
350   23.34  2368    251.99 0.72   5331    2.880                          
400   24.95  2532    287.99 0.59   5699    2.694                          
450   26.46  2686    323.98 0.49   6044    2.540                          
500   27.89  2831    359.98 0.42   6371    2.410                          
550   29.26  2969    395.98 0.36   6682    2.298                          
600   30.56  3101    431.98 0.32   6979    2.200                          
650   31.80  3228    467.97 0.28   7264    2.113                          
700   33.00  3350    503.97 0.25   7539    2.037                          
750   34.16  3467    539.97 0.23   7803    1.968                          
800   35.28  3581    575.97 0.21   8059    1.905                          
850   36.37  3691    611.97 0.19   8307    1.848                          
900   37.42  3798    647.96 0.17   8548    1.796                          
950   38.45  3902    683.96 0.16   8782    1.748                          
1000  39.45  4003    719.96 0.15   9010    1.704                          
1100  41.37  4199    791.96 0.13   9450    1.625                          
1200  43.21  4386    863.95 0.11   9870    1.555                          
1300  44.98  4565    935.95 0.10   10273   1.494                          
1400  46.68  4737    1007.94                                              
                            0.09   10661   1.440                          
1500  48.31  4903    1079.94                                              
                            0.08   11035   1.391                          
______________________________________                                    
 [Dimensions in cm, P in Torr; T in K                                     
This shows a dramatic reduction in the boundary layer at a rotational velocity of 150-250 rpm.
In conclusion, rotation has been shown to improve the gas flow above the wafer surface and reduce the chlorine content by approximately 80%. The rotation results in a more than 300% increase in deposition rate. Further, there are significant advantages in maintaining the reaction temperature at less than 500° C. This creates less stress on the substrate and permits the process to be used on a wider range of substrates.

Claims (9)

This has been a description of the present invention along with the preferred method of practicing the invention currently known, however, the invention itself should be defined by the appended claims wherein we claim:
1. A method of chemical vapor deposition of titanium nitride onto a semiconductor substrate surface comprising the steps of:
passing a gaseous reaction mixture of titanium tetrachloride, ammonia and a diluent over said substrate surface;
maintaining said substrate surface at a temperature of 200° C. to 500° C.; and
establishing and maintaining a boundary layer of less than or equal to 4 cm over said substrate surface, thereby forming a layer of titanium nitride on said substrate surface.
2. The method claimed in claim 1 wherein said reactor is a rotating disk reactor and said titanium tetrachloride, ammonia and diluent are forced perpendicular to the substrate surface.
3. The method claimed in claim 2 wherein said substrate is rotated at a rate of about 150 to about 1500 rpm.
4. The method claimed in claim 2 wherein said diluent gas is selected from the group consisting of hydrogen, helium, argon and nitrogen.
5. The method claimed in claim 3 wherein said temperature is about 450° C.
6. The method claimed in claim 1 wherein said gas mixture is passed parallel to the surface of said substrate.
7. The method claimed in claim 6 wherein said temperature is maintained at about 450° C.
8. The method claimed in claim 7 wherein said diluent gas is nitrogen.
9. A method of chemical vapor deposition of titanium nitride onto a semiconductor substrate having a first surface and an opposite second surface, said method comprising the steps of
forcing a gaseous reactant mixture of titanium tetrachloride, ammonia and a diluent downwardly against said first surface,
heating said second surface to a temperature of 350° C. to 500° C., and
rotating said substrate at a rate of 100 to 1500 rpm, maintaining a viscosity and flow rate of said gaseous reactant mixture to establish a boundary layer thickness of less than about 4 cm
thereby forming a layer of titanium nitride on said first surface.
US08/131,900 1993-10-05 1993-10-05 Method for chemical vapor deposition of titanium nitride films at low temperatures Expired - Fee Related US5378501A (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US08/131,900 US5378501A (en) 1993-10-05 1993-10-05 Method for chemical vapor deposition of titanium nitride films at low temperatures
PCT/US1994/003307 WO1995009933A1 (en) 1993-10-05 1994-03-25 A method for chemical vapor deposition of titanium nitride films at low temperatures
JP7510790A JPH09503623A (en) 1993-10-05 1994-03-25 Method for chemical vapor deposition of titanium nitride film at low temperature
EP94919971A EP0723601A4 (en) 1993-10-05 1994-03-25 A method for chemical vapor deposition of titanium nitride films at low temperatures
AU70910/94A AU7091094A (en) 1993-10-05 1994-03-25 A method for chemical vapor deposition of titanium nitride films at low temperatures
KR1019960701705A KR960705074A (en) 1993-10-05 1994-03-25 A method for chemical vapor deposition of titanium nitride films at low temperatures
CA002173480A CA2173480A1 (en) 1993-10-05 1994-03-25 A method for chemical vapor deposition of titanium nitride films at low temperatures
TW083102880A TW247963B (en) 1993-10-05 1994-04-01

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/131,900 US5378501A (en) 1993-10-05 1993-10-05 Method for chemical vapor deposition of titanium nitride films at low temperatures

Publications (1)

Publication Number Publication Date
US5378501A true US5378501A (en) 1995-01-03

Family

ID=22451511

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/131,900 Expired - Fee Related US5378501A (en) 1993-10-05 1993-10-05 Method for chemical vapor deposition of titanium nitride films at low temperatures

Country Status (8)

Country Link
US (1) US5378501A (en)
EP (1) EP0723601A4 (en)
JP (1) JPH09503623A (en)
KR (1) KR960705074A (en)
AU (1) AU7091094A (en)
CA (1) CA2173480A1 (en)
TW (1) TW247963B (en)
WO (1) WO1995009933A1 (en)

Cited By (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5567243A (en) 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5593511A (en) 1994-06-03 1997-01-14 Sony Corporation Method of nitridization of titanium thin films
US5607722A (en) * 1996-02-09 1997-03-04 Micron Technology, Inc. Process for titanium nitride deposition using five-and six-coordinate titanium complexes
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5659057A (en) * 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5667645A (en) * 1996-06-28 1997-09-16 Micron Technology, Inc. Method of sputter deposition
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
US5741547A (en) * 1996-01-23 1998-04-21 Micron Technology, Inc. Method for depositing a film of titanium nitride
US5908947A (en) * 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US5916634A (en) * 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
US5935648A (en) * 1997-03-28 1999-08-10 The United States Of America As Represented By The Secretary Of The Air Force High surface area molybdenum nitride electrodes
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
DE19855637A1 (en) * 1998-12-02 2000-06-15 Aixtron Ag Process and system for semiconductor crystal production with temperature management
US6221174B1 (en) 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6302136B1 (en) 1997-06-27 2001-10-16 3M Innovative Properties Company Steam valve
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
US20020188376A1 (en) * 2000-08-18 2002-12-12 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
KR100458769B1 (en) * 1997-12-30 2005-02-03 주식회사 하이닉스반도체 Method for forming barrier metal layer of semiconductor device to guarantee step coverage and reduce contamination of carbon
US20070052103A1 (en) * 2005-09-06 2007-03-08 Samsung Electronics Co., Ltd. TiN layer structures for semiconductor devices, methods of forming the same, semiconductor devices having TiN layer structures and methods of fabricating the same
US8546276B2 (en) 2009-07-14 2013-10-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition of group IV metal-containing films at high temperature
US20150031204A1 (en) * 2013-07-24 2015-01-29 Tokyo Electron Limited Method of depositing film
US9790591B2 (en) 2015-11-30 2017-10-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1005677C2 (en) * 1997-03-27 1998-09-29 Campina Melkunie Bv Method for recovering growth factors, or a composition containing one or more growth factors, from milk or a derivative thereof.

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3672948A (en) * 1970-01-02 1972-06-27 Ibm Method for diffusion limited mass transport
US4535000A (en) * 1982-11-22 1985-08-13 Gordon Roy G Chemical vapor deposition of titanium nitride and like films
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4574093A (en) * 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US4986216A (en) * 1989-05-10 1991-01-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor manufacturing apparatus
US5104482A (en) * 1989-02-21 1992-04-14 Lam Research Corporation Simultaneous glass deposition and viscoelastic flow process
US5173336A (en) * 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0364473A (en) * 1989-04-25 1991-03-19 Varian Assoc Inc Vapor deposition of titanium nitride by cold wall cvd reactor
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3672948A (en) * 1970-01-02 1972-06-27 Ibm Method for diffusion limited mass transport
US4535000A (en) * 1982-11-22 1985-08-13 Gordon Roy G Chemical vapor deposition of titanium nitride and like films
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4574093A (en) * 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5104482A (en) * 1989-02-21 1992-04-14 Lam Research Corporation Simultaneous glass deposition and viscoelastic flow process
US4986216A (en) * 1989-05-10 1991-01-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor manufacturing apparatus
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5173336A (en) * 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide

Non-Patent Citations (14)

* Cited by examiner, † Cited by third party
Title
Coltrin, Michael E., et al., A Mathematical Model of the Fluid Mechanics and Gas Phase Chemistry in a Rotating Disk Chemical Deposition Reactor, The Electrochemical Society, Inc., vol. 136, No. 3, Mar., 1989, pp. 819 829. *
Coltrin, Michael E., et al., A Mathematical Model of the Fluid Mechanics and Gas-Phase Chemistry in a Rotating Disk Chemical Deposition Reactor, The Electrochemical Society, Inc., vol. 136, No. 3, Mar., 1989, pp. 819-829.
Hitchman Michael L. and Bernard J. Curtis, Heterogeneous Kinetics and Mass Transport in Chemical Vapour Deposition Processes: Part II. Application to Silicon Epitaxy, The Crystal Growth Charact., 1981, vol. 4, pp. 283 296. *
Hitchman Michael L. and Bernard J. Curtis, Heterogeneous Kinetics and Mass Transport in Chemical Vapour Deposition Processes: Part II. Application to Silicon Epitaxy, The Crystal Growth Charact., 1981, vol. 4, pp. 283-296.
Hitchman, M. L. and Bernard J. Curtis, Heterogeneous Kinetics and Mass Transfer in Chemical Vapour Deposition Processes: III. The rotating disc reactor, Journal of Crystal Growth 60, 1982, pp. 57 66. *
Hitchman, M. L. and Bernard J. Curtis, Heterogeneous Kinetics and Mass Transfer in Chemical Vapour Deposition Processes: III. The rotating disc reactor, Journal of Crystal Growth 60, 1982, pp. 57-66.
McKee, M. A., et al., Growth of Highly Uniform, Reproducible InGaAs Films in a Multiwafer Rotating Disk Reactor by MOCVD, Journal of Crystal Growth 197, 1991, pp. 445 451. *
McKee, M. A., et al., Growth of Highly Uniform, Reproducible InGaAs Films in a Multiwafer Rotating Disk Reactor by MOCVD, Journal of Crystal Growth 197, 1991, pp. 445-451.
Pierson, "Handbook of Chemical Vapor Deposition (CVD), Principles, Technology and Applications", Noyes Publications (1992) pp. 225 and 263.
Pierson, Handbook of Chemical Vapor Deposition (CVD), Principles, Technology and Applications , Noyes Publications (1992) pp. 225 and 263. *
Smith, Gregory C., CVD Titanium Nitride Nucleation Layer for CVD Tungsten, Conference Procedings, VLSI VI. 1991 Materials Research Society, pp. 267 273. *
Smith, Gregory C., CVD Titanium Nitride Nucleation Layer for CVD Tungsten, Conference Procedings, VLSI VI. 1991 Materials Research Society, pp. 267-273.
Tompa, G. S., et al., A Parametric Investigation of GaAs Epitaxial Growth Uniformity in a High Speen, Rotating Disk MOCVD Reactor, Journal of Crystal Growth 93, 1988, pp. 220 227. *
Tompa, G. S., et al., A Parametric Investigation of GaAs Epitaxial Growth Uniformity in a High Speen, Rotating-Disk MOCVD Reactor, Journal of Crystal Growth 93, 1988, pp. 220-227.

Cited By (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866213A (en) 1994-06-03 1999-02-02 Tokyo Electron Limited Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5593511A (en) 1994-06-03 1997-01-14 Sony Corporation Method of nitridization of titanium thin films
US5567243A (en) 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US6220202B1 (en) 1994-06-03 2001-04-24 Tokyo Electron Limited Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6140215A (en) 1994-06-03 2000-10-31 Tokyo Electron Limited Method and apparatus for low temperature deposition of CVD and PECVD films
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5716870A (en) 1994-06-03 1998-02-10 Sony Corporation Method for producing titanium thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5741547A (en) * 1996-01-23 1998-04-21 Micron Technology, Inc. Method for depositing a film of titanium nitride
US5908947A (en) * 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US5607722A (en) * 1996-02-09 1997-03-04 Micron Technology, Inc. Process for titanium nitride deposition using five-and six-coordinate titanium complexes
US5866205A (en) * 1996-02-09 1999-02-02 Micron Technology, Inc. Process for titanium nitride deposition using five- and six-coordinate titanium complexes
US5659057A (en) * 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5667645A (en) * 1996-06-28 1997-09-16 Micron Technology, Inc. Method of sputter deposition
US5928480A (en) * 1996-06-28 1999-07-27 Micron Technology, Inc. Methods of sputter depositing of metals onto substrates, and methods of forming plasma
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5916634A (en) * 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
US5935648A (en) * 1997-03-28 1999-08-10 The United States Of America As Represented By The Secretary Of The Air Force High surface area molybdenum nitride electrodes
US6302136B1 (en) 1997-06-27 2001-10-16 3M Innovative Properties Company Steam valve
KR100458769B1 (en) * 1997-12-30 2005-02-03 주식회사 하이닉스반도체 Method for forming barrier metal layer of semiconductor device to guarantee step coverage and reduce contamination of carbon
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
DE19855637A1 (en) * 1998-12-02 2000-06-15 Aixtron Ag Process and system for semiconductor crystal production with temperature management
US20020001953A1 (en) * 1998-12-02 2002-01-03 Michael Heuken Method and system for semiconductor crystal production with temperature management
US7135073B2 (en) 1998-12-02 2006-11-14 Aixtron Ag Method and system for semiconductor crystal production with temperature management
US6221174B1 (en) 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
US7204885B2 (en) 2000-08-18 2007-04-17 Micron Technology, Inc. Deposition system to provide preheating of chemical vapor deposition precursors
US20020195710A1 (en) * 2000-08-18 2002-12-26 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US20050158997A1 (en) * 2000-08-18 2005-07-21 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US7105441B2 (en) * 2000-08-18 2006-09-12 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US20020188376A1 (en) * 2000-08-18 2002-12-12 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US20030032283A1 (en) * 2000-08-18 2003-02-13 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US20070052103A1 (en) * 2005-09-06 2007-03-08 Samsung Electronics Co., Ltd. TiN layer structures for semiconductor devices, methods of forming the same, semiconductor devices having TiN layer structures and methods of fabricating the same
US8546276B2 (en) 2009-07-14 2013-10-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition of group IV metal-containing films at high temperature
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20150031204A1 (en) * 2013-07-24 2015-01-29 Tokyo Electron Limited Method of depositing film
US9748104B2 (en) * 2013-07-24 2017-08-29 Tokyo Electron Limited Method of depositing film
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9790591B2 (en) 2015-11-30 2017-10-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
JPH09503623A (en) 1997-04-08
TW247963B (en) 1995-05-21
WO1995009933A1 (en) 1995-04-13
EP0723601A4 (en) 1998-08-19
KR960705074A (en) 1996-10-09
EP0723601A1 (en) 1996-07-31
CA2173480A1 (en) 1995-04-13
AU7091094A (en) 1995-05-01

Similar Documents

Publication Publication Date Title
US5378501A (en) Method for chemical vapor deposition of titanium nitride films at low temperatures
US5558910A (en) Uniform tungsten silicide films produced by chemical vapor deposition
US5500249A (en) Uniform tungsten silicide films produced by chemical vapor deposition
US6193813B1 (en) Utilization of SiH4 soak and purge in deposition processes
EP0599991B1 (en) Process for forming low resistivity titanium nitride films
KR100356264B1 (en) Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5252518A (en) Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US6210813B1 (en) Forming metal silicide resistant to subsequent thermal processing
EP0763146B1 (en) LOW TEMPERATURE PLASMA-ENHANCED FORMATION OF TiN FILMS
US5594280A (en) Method of forming a thin film and apparatus of forming a metal thin film utilizing temperature controlling means
US5834068A (en) Wafer surface temperature control for deposition of thin films
EP0832311B1 (en) Process for plasma enhanced anneal of titanium nitride
US4766006A (en) Low pressure chemical vapor deposition of metal silicide
US6313035B1 (en) Chemical vapor deposition using organometallic precursors
US5695819A (en) Method of enhancing step coverage of polysilicon deposits
CN102265383A (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
EP0644952B1 (en) Methods of chemical vapor deposition (cvd) of films on patterned wafer substrates
US5279857A (en) Process for forming low resistivity titanium nitride films
US4981103A (en) Apparatus for forming a metal thin film utilizing temperature controlling means
US5997950A (en) Substrate having uniform tungsten silicide film and method of manufacture
US6339023B1 (en) Method of reducing stress in blanket tungsten film formed by chemical vapor deposition process
EP0591086A2 (en) Low temperature chemical vapor deposition and method for depositing a tungsten silicide film with improved uniformity and reduced fluorine concentration
US20020162500A1 (en) Deposition of tungsten silicide films
JPS63109172A (en) Low pressure chemical vapor deposition of metal silicide
EP0648859B1 (en) Processes for the deposition of adherent tungsten silicide films

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATERIALS RESEARCH CORPORTION, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FOSTER, ROBERT F.;HILLMAN, JOSEPH T.;REEL/FRAME:006777/0804;SIGNING DATES FROM 19931013 TO 19931020

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SONY CORPORATION;MATERIALS RESEARCH CORPORATION;REEL/FRAME:009306/0971

Effective date: 19980624

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20070103