US5811357A - Process of etching an oxide layer - Google Patents

Process of etching an oxide layer Download PDF

Info

Publication number
US5811357A
US5811357A US08/824,744 US82474497A US5811357A US 5811357 A US5811357 A US 5811357A US 82474497 A US82474497 A US 82474497A US 5811357 A US5811357 A US 5811357A
Authority
US
United States
Prior art keywords
oxide layer
layer
etching
gas
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US08/824,744
Inventor
Michael D. Armacost
Tina J. Wagner
Michael L. Passow
Dominic J. Schepis
Matthew J. Sendelbach
William C. Wille
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US08/824,744 priority Critical patent/US5811357A/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PASSOW, MICHAEL L., SENDELBACH, MATTHEW J., WILLE, WILLIAM C., ARMACOST, MICHAEL D., SCHEPIS, DOMINIC J., WAGNER, TINA J.
Application granted granted Critical
Publication of US5811357A publication Critical patent/US5811357A/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the invention relates to the manufacturing of semiconductor devices and more particularly to an improved process for etching openings in oxide layers.
  • a via or opening is etched through a dielectric layer so that an upper metal layer can be interconnected with a lower conductive layer.
  • a conventional scheme for accomplishing this metallization involves forming a nitride etch stop layer on the feature which is to acquire a metal contact, followed by depositing a dielectric oxide layer, and then a photoresist is formed on the oxide layer which is imagewise-exposed and developed to define the desired pattern of openings to be etched through the underlying oxide layer.
  • the oxide layer In order to form the contact openings, the oxide layer must be etched selectively to the underlying nitride etch stop layer. Then the exposed nitride layer is removed (e.g., by hot H 3 PO 4 ), and then a liner (e.g., Ti/TiN) and contact metal (e.g., tungsten) is deposited in the openings followed by surface planarizing of the device and removal of the resist.
  • a liner e.g., Ti/TiN
  • contact metal e.g., tungsten
  • the step of selectively etching the oxide to nitride is conventionally performed by creating a plasma in an etching chamber in a fluorocarbon etchant gas that has a high C/F ratio, often in combination with hydrogen or carbon monoxide gas, where the fluorocarbon etching gas often is introduced as mixed with an inert carrier gas.
  • the selectivity to nitride is generally achieved by mechanism of in-situ deposition of a polymer film on the patterned masking resist that defines the pattern of vias or openings in the oxide layer. The deposited polymer film ultimately causes a transition in the procedure from net etching to net deposition once the nitride layer becomes exposed.
  • the amount of deposition of this polymer film on the resist during etching of the vias or openings is dependent on the particular exposed material. Specifically, the deposition is thinnest on the oxide layer material being etched, thicker on the exposed nitride, and thickest on the photoresist material used to define the contact openings.
  • octafluorocyclobutane (C 4 F 8 ) gas is the only etchant gas that can provide adequate selectivity to nitride, which is thought attributable to the manner in which the cyclic C 4 F 8 molecule breaks down and recombines as a polymer in a plasma.
  • a dry etching process for etching an oxide layer on a substrate in which a plasma is created in a gaseous mixture containing C 4 F 8 and C 2 F 6 .
  • C 4 F 8 i.e., octafluorocyclobutane
  • C 2 F 6 i.e., perfluoroethane
  • Freon-116 also is known by its refrigerant name
  • the invention solves the resist blistering problem by generating a permeable polymer film on the photoresist during dry etching of an oxide layer, in which the permeable polymer film allows diffusion and outgassing of vapor molecules to relieve pressure build-up in the resist and thereby prevent resist blistering.
  • the dry etch process is used in the fabrication of integrated circuits using silicon nitride etch stop layers in the etching of vias or openings through a dielectric oxide using a patterned photoresist mask.
  • the process is conducted in a reactive-ion etch reactor and employs a gaseous etchant mixture comprised of C 4 F 8 , C 2 F 6 , and a carrier gas (e.g., Ar, He, Ne, Kr, or Xe).
  • the C 4 F 8 and C 2 F 6 are used in a C 4 F 8 /C 2 F 6 mixing ratio, by volume, ranging from about 1/2 to about 3/1, respectively.
  • the gaseous etchant mixture is comprised of 10 to 20 vol. % of the combined amount of C 4 F 8 and C 2 F 6 and 90 to 80 vol. % of inert gas.
  • the specific process parameters such as RF power, substrate temperature, chamber pressure, and gas flow rate, effect the formation of a permeable (i.e., porous) polymer on silicon nitride but not on the oxide, thereby resulting in a high etch rate selectivity of the oxide over the nitride of about 20:1 without blistering of the resist.
  • desired selectivity requirements as between the oxide layer and the silicon nitride used as an etch stop are met by the present invention without tradeoff in other requirements such as the via wall angle.
  • inventive process also produces a fluoropolymer film on the photoresist during the step of dry etching the oxide layer which has a low dielectric constant, for example, a K value of less than 2. Furthermore, the inventive etch process reduces the amount of polymer formed on the resist to help avoid resist delamination, while still providing the desired selectivity to nitride.
  • this invention also provides a technique for modulating the across wafer uniformity.
  • the oxide etch rate profile for a patterned wafer was observed to be center fast.
  • a pure C 2 F 6 chemistry demonstrates an edge fast uniformity rate.
  • This pattern cannot usually be controlled strictly by gas chemistry alone, but requires some type of hardware modification. For instance, the gas delivery can be changed from a single nozzle to a shower head configuration. Therefore, with a proper gas flow ratio C 4 F 8 and C 2 F 6 , the uniformity of the process can be enhanced.
  • silicon nitride or “nitride” layer is used generally to refer to a layer of Si x N y , where x and y are each greater in value than zero and the ratio x:y may or may not be stoichiometric, as well as to various silicon oxynitride films (Si x N y O z ,).
  • the silicon nitride can be Si 3 N 4 .
  • oxide layer is used generally to refer to a layer of silicon dioxide, and the silicon dioxide may undoped or doped, for example, with boron, phosphorus, or both, to form for example, borophosphosilicate glass (BPSG), and phosphosilicate glass (PSG).
  • BPSG borophosphosilicate glass
  • PSG phosphosilicate glass
  • the silicon dioxide layers may be grown or deposited by conventional techniques.
  • resist for purposes of this invention, the terminology “resist,” “photoresist,” or “photosensitive layer” are used interchangeably and generally refer to film-forming materials sensitive to radiation, which alters their chemical properties sufficiently so that a pattern can be delineated in them. Positive or negative photoresist materials can be used in the practice of this invention.
  • opening or “via” can refer to any type of opening through any type of oxide layer at any stage of processing.
  • FIGS. 1 through 3 illustrate enlarged, cross-sectional views of a semiconductor structure having contacts formed for logic applications in accordance with the teachings of the present invention at various stages of processing.
  • FIG. 4 is a schematic representation of a reactive ion etching system that may be employed in the practice of this invention.
  • FIG. 5 illustrates an enlarged, cross-sectional view of a DRAM device having a bit line formed in accordance with the teachings of the present invention.
  • FIG.'s 1-3 there is shown a representative portion of a semiconductor structure in enlarged views at several stages of fabrication of a logic device involving an oxide etch.
  • the drawings are not necessarily to scale, as the thicknesses of the various layers are shown for visual clarity and should not be interpreted in a limiting sense unless otherwise indicated herein.
  • a silicon substrate 100, a gate electrode 102, a source/drain diffusion region 103, and conductor 104 to be used for a strap contact are shown.
  • a gate insulating film 111 and sidewall spacers 112 are provided for the gate electrode 102 by conventional techniques.
  • Other common elements of these logic devices that are not involved in oxide layer etch procedure of this invention are omitted to simplify the illustration. Also, the depiction of all these devices in a common figure is merely provided as part of an overview of the invention, as the principles of this invention can be applied independently to any one of these scenarios.
  • a silicon nitride layer 105 of approximately 500 to 750 ⁇ thickness is deposited over the surface topography.
  • the silicon nitride layer 105 can be formed by conventional methods such as plasma-enhanced chemical vapor deposition (PECVD).
  • PECVD plasma-enhanced chemical vapor deposition
  • an oxide layer 106 of approximately 9,000 to 12,000 ⁇ total thickness is formed on silicon nitride layer 105.
  • the silicon oxide layer can be formed by conventional methods such as CVD TEOS.
  • the silicon oxide layer 106 also can contain impurities as BPSG, PSG, or ASSG.
  • the thick oxide layer 106 may comprise one or more different oxide sublayers.
  • the oxide layer 106 could comprise an upper CVD TEOS oxide sublayer overlying a relatively thicker BPSG sublayer.
  • a photoresist layer 107 is coated on the oxide layer 106.
  • the photoresist generally can have a thickness of about 5,000 to 7,000 ⁇ .
  • the photoresist layer 107 for example, can be a deep or mid U.V. photoresist material.
  • the photoresist layer 107 can optionally be used in conjunction with a bottom antireflective layer.
  • the photoresist layer 107 can be comprised of 6100 ⁇ deep U.V. photoresist, such as APEX E, which is disposed over a of 900 ⁇ thick bottom anti-reflective layer (not shown).
  • the photoresist layer 107 is photolitho-graphically exposed and developed to define a pattern of openings 108a-c which correspond to the openings A, B and C to be formed in the oxide layer 106 in the ensuing oxide etch step.
  • the unique dry etch process of this invention using a etching plasma derived from a combination of C 4 F 8 and C 2 F 6 gases is then conducted wherein the pattern of openings 108a-c formed in photoresist 107 is transferred to and completely through the oxide layer 106 stopping on silicon nitride layer 105 without the resist 107 experiencing blistering.
  • the plasma generation apparatus that can be used for the reactive ion etching (RIE) operation for forming the openings A, B and C in the oxide layer 106 is not particularly limited.
  • RIE reactive ion etching
  • an Applied Materials plasma reactor 400 can be used to practice the oxide etch operation of this invention.
  • the RIE apparatus 401 includes a vacuum container 402 having an inner quartz liner to define walls of the reaction chamber; a heated silicon top plate 403 at the roof of vacuum container 402 that includes gas inlets 404 for introducing etchant gas into the vacuum container 402 from a gas supply system (not shown); a 2.0 MHZ source coil 405; an E-chuck 406 installed within the vacuum container 402 having 1.8 MHZ RF bias provided with a temperature control mechanism including cooling piping 407 (e.g., helium cooling), and the E-chuck 406 also serves as a support for wafer workpiece 408 clamped thereon mechanically, such as with a quartz clamp 409, or by conventional electrostatic means (not shown), and so forth; and an exhaust system 410 connected to a pump (not shown) for creating a vacuum inside the vacuum container 402.
  • a gas supply system not shown
  • a 2.0 MHZ source coil 405 an E-chuck 406 installed within the vacuum container 402 having 1.8 MHZ RF bias provided with
  • the etching gas mixture is introduced inside the vacuum container 402 and a high RF power is applied to excite the gas and generate the plasma.
  • gas flow rate, pressure, and applying power are adjusted in the following manner for carrying out etching of an oxide layer 106 using this equipment.
  • the roof and wall temperatures of the reactor are 200° C. and 220° C., respectively.
  • the oxide etch is carried out at a pressure of 5 millitorr, inductive/bias power of 1200/1400 W, and in a flow derived from a feed gas mixture of C 4 F 8 , C 2 F 6 , and inert gas (e.g., Ar, He, Ne, Kr, or Xe).
  • the dry etching gas is a mixture of 5 sccm C 4 F 8 / 5 sccm C 2 F 6 /150 sccm Ar.
  • the openings A, B and C are etched through oxide layer 106 until the silicon nitride layer 105 is exposed.
  • CMOS SOI wafers tend to exhibit increased blistering during this dry etching of the oxide layer as compared to bulk wafers. It is thought that the oxide layer portion of the SOI affects the wafer/chuck coupling, which likely causes the wafer to get hotter, and thereby aggravates blistering. The present investigators determined that this heightened blistering problem associated with SOI wafers could be countered by increasing the amount of the C 2 F 6 component of the gas etchant mixture. Therefore, for SOI, a preferred flow is 5 sccm C 4 F 8 /10 sccm C 2 F 6 /150 sccm Ar.
  • openings A, B, and C are formed in the oxide layer 106
  • the polymer film (not shown) formed on the surface of the resist layer during the oxide etch is removed. This can be done in situ with an oxygen plasma.
  • the oxygen plasma employed for polymer film removal also can be used to remove the photoresist 107.
  • the remaining resist 107 could be stripped by wet chemical etch, such as by use of sulfuric acid and/or nitric acid.
  • the portions of nitride layer 105 exposed at the bottom of the openings A, B and C are removed (e.g., by hot H 3 PO 4 ). As shown in FIG.
  • a liner 109 e.g., Ti/TiN
  • contact metal 110 e.g., tungsten
  • planarizing the device e.g., by CMP, to remove the deposited metal from planar surfaces of the oxide layer 106 to form metal contacts in openings A, B, and C to the underlying devices.
  • the principles of this invention also can be applied in forming a bit line 502 for a DRAM device 500.
  • the DRAM 500 has gate electrode 504 coated on silicon substrate 501 via a gate insulating film 506, where sidewall spacers 507 are formed on the lateral sides of the gate electrodes in a conventional manner.
  • Silicon nitride 505 having a thickness of about 100 to 500 ⁇ is formed on the gate electrode 504.
  • the gate electrode is used as a mask to form source/drain diffusion 503.
  • a thick oxide film 506 having a thickness of about 5,000 to 10,000 ⁇ is formed as an interlayer to which dry etching with C 4 F 8 and C 2 F 6 is performed by a photoresist pattern (not shown) in the manner described above, where the silicon nitride layer 505 acts as the etch stop layer.
  • the oxide layer 506 can be a composite oxide of 3,000 ⁇ TEOS over 5,000 ⁇ BPSG. The resist does not incur blistering in the fabrication of the DRAM 500 because of the addition of the C 2 F 6 to the etchant gas containing C 4 F 8 .
  • the plasma for the oxide etch step can be generated either in the etch chamber itself or outside the etch chamber at some point in communication with the etch chamber, e.g., a plasma generated in a microwave cavity upstream of the etch chamber in the gas flow stream so that reactive species produced in such a plasma will flow into the etch chamber.
  • pattern factor also has been found to be important in the polymer formation-outgassing mechanism associated with RIE etching of an oxide layer with C/F chemistry. It has been found that blistering tends to occur more as the pattern factor decreased, blanket resist being the worst. This is thought attributable to the fact that less sidewalls are available from which outgassing can escape.
  • the amount of C 2 F 6 can be adjusted for any pattern factor. For example, the amount of C 2 F 6 can be increased with decreasing pattern factor.

Abstract

A dry etching process for etching an oxide layer on a substrate in which a plasma is created in a gaseous mixture containing C4 F8 and C2 F6. The dry etch process is useful for etching an oxide layer stopping on a silicon nitride layer on a semiconductor wafer of an integrated circuit structure as it eliminates resist blistering without sacrificing high selectivity to nitride, via wall angle, and/or etch uniformity.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates to the manufacturing of semiconductor devices and more particularly to an improved process for etching openings in oxide layers.
2. Description of the Related Art
Conventionally, in order to form metal contacts to semiconductor devices and active components thereof, such as gate contacts, source/drain diffusion region contacts, and/or strap contacts in logic applications, or bit line contacts in a DRAM, and so forth, a via or opening is etched through a dielectric layer so that an upper metal layer can be interconnected with a lower conductive layer. A conventional scheme for accomplishing this metallization involves forming a nitride etch stop layer on the feature which is to acquire a metal contact, followed by depositing a dielectric oxide layer, and then a photoresist is formed on the oxide layer which is imagewise-exposed and developed to define the desired pattern of openings to be etched through the underlying oxide layer. In order to form the contact openings, the oxide layer must be etched selectively to the underlying nitride etch stop layer. Then the exposed nitride layer is removed (e.g., by hot H3 PO4), and then a liner (e.g., Ti/TiN) and contact metal (e.g., tungsten) is deposited in the openings followed by surface planarizing of the device and removal of the resist.
The step of selectively etching the oxide to nitride is conventionally performed by creating a plasma in an etching chamber in a fluorocarbon etchant gas that has a high C/F ratio, often in combination with hydrogen or carbon monoxide gas, where the fluorocarbon etching gas often is introduced as mixed with an inert carrier gas. The selectivity to nitride is generally achieved by mechanism of in-situ deposition of a polymer film on the patterned masking resist that defines the pattern of vias or openings in the oxide layer. The deposited polymer film ultimately causes a transition in the procedure from net etching to net deposition once the nitride layer becomes exposed. The amount of deposition of this polymer film on the resist during etching of the vias or openings is dependent on the particular exposed material. Specifically, the deposition is thinnest on the oxide layer material being etched, thicker on the exposed nitride, and thickest on the photoresist material used to define the contact openings. For certain advanced semiconductor applications, such as self-aligned contacts, octafluorocyclobutane (C4 F8) gas is the only etchant gas that can provide adequate selectivity to nitride, which is thought attributable to the manner in which the cyclic C4 F8 molecule breaks down and recombines as a polymer in a plasma.
However, in the high polymerizing chemistries used to achieve selectivity to nitride, such as pure C4 F8 , a drawback encountered is that the polymer deposited on the photoresist can form an impervious barrier to gas diffusion. In particular, the plasma by-products of pure C4 F8 polymerize very efficiently, which, while responsible for the favorable high selectivity property of this gas, also is responsible for the creation of a very dense film of deposited polymer on the resist. This poses a problem because vapor is released either from the oxide or as a chemical by-product from the resist, or both, during the dry etch process. As a consequence, vapor pressure builds beneath the polymer film where it is trapped, and this gaseous build-up can only be released by explosive popping of the resist, resulting in blistering. Blistering refers to gross peeling of the resist from the wafer during a highly selective oxide etch. The resist blistering reduces process yield and final test yield in the semiconductor product, and contaminates the reactor. Also, while it was generally understood in the field that higher C/F ratios or inclusion of hydrogen-containing gases in the etchant mixture increase selectivity to nitride, those modifications would aggravate the resist blistering problem.
SUMMARY OF THE INVENTION
It is an object of the present invention to provide a dry etch process for etching an oxide layer on a substrate with high etch selectivity to the substrate.
It is another object of the present invention to provide a dry etch process for etching an oxide layer stopping on a silicon nitride layer on a semiconductor wafer of an integrated circuit structure which eliminates resist blistering without sacrificing high selectivity to nitride, via wall angle, and/or etch uniformity.
These and other objects, advantages, and benefits are achieved in the present invention by a dry etching process for etching an oxide layer on a substrate in which a plasma is created in a gaseous mixture containing C4 F8 and C2 F6. C4 F8, i.e., octafluorocyclobutane, also is known by its refrigerant name Freon-C318. C2 F6, i.e., perfluoroethane, also is known by its refrigerant name Freon-116.
The invention solves the resist blistering problem by generating a permeable polymer film on the photoresist during dry etching of an oxide layer, in which the permeable polymer film allows diffusion and outgassing of vapor molecules to relieve pressure build-up in the resist and thereby prevent resist blistering.
In one preferred embodiment of this invention, the dry etch process is used in the fabrication of integrated circuits using silicon nitride etch stop layers in the etching of vias or openings through a dielectric oxide using a patterned photoresist mask. The process is conducted in a reactive-ion etch reactor and employs a gaseous etchant mixture comprised of C4 F8, C2 F6, and a carrier gas (e.g., Ar, He, Ne, Kr, or Xe). In one preferred embodiment, the C4 F8 and C2 F6 are used in a C4 F8 /C2 F6 mixing ratio, by volume, ranging from about 1/2 to about 3/1, respectively. In a preferred embodiment, the gaseous etchant mixture is comprised of 10 to 20 vol. % of the combined amount of C4 F8 and C2 F6 and 90 to 80 vol. % of inert gas.
The specific process parameters, such as RF power, substrate temperature, chamber pressure, and gas flow rate, effect the formation of a permeable (i.e., porous) polymer on silicon nitride but not on the oxide, thereby resulting in a high etch rate selectivity of the oxide over the nitride of about 20:1 without blistering of the resist. Moreover, desired selectivity requirements as between the oxide layer and the silicon nitride used as an etch stop are met by the present invention without tradeoff in other requirements such as the via wall angle.
Other advantages of the invention include avoidance of contamination to the workpiece and reactor from resist blistering. Additionally, the inventive process also produces a fluoropolymer film on the photoresist during the step of dry etching the oxide layer which has a low dielectric constant, for example, a K value of less than 2. Furthermore, the inventive etch process reduces the amount of polymer formed on the resist to help avoid resist delamination, while still providing the desired selectivity to nitride.
In addition to eliminating blistering, this invention also provides a technique for modulating the across wafer uniformity. With pure C4 F8, the oxide etch rate profile for a patterned wafer was observed to be center fast. A pure C2 F6 chemistry, on the other hand, demonstrates an edge fast uniformity rate. A combination of both chemistries, as used in this invention, gives a hybrid profile. Therefore, the plasma cracking patterns and flow dynamics of the different gases C4 F8 and C2 F6 can be used to control the uniformity pattern on the wafer. This pattern cannot usually be controlled strictly by gas chemistry alone, but requires some type of hardware modification. For instance, the gas delivery can be changed from a single nozzle to a shower head configuration. Therefore, with a proper gas flow ratio C4 F8 and C2 F6, the uniformity of the process can be enhanced.
For purposes of this invention, the terminology "silicon nitride" or "nitride" layer is used generally to refer to a layer of Six Ny, where x and y are each greater in value than zero and the ratio x:y may or may not be stoichiometric, as well as to various silicon oxynitride films (Six Ny Oz,). For instance, the silicon nitride can be Si3 N4.
For purposes of this invention, the terminology "oxide"layer is used generally to refer to a layer of silicon dioxide, and the silicon dioxide may undoped or doped, for example, with boron, phosphorus, or both, to form for example, borophosphosilicate glass (BPSG), and phosphosilicate glass (PSG). The silicon dioxide layers may be grown or deposited by conventional techniques.
For purposes of this invention, the terminology "resist," "photoresist," or "photosensitive layer" are used interchangeably and generally refer to film-forming materials sensitive to radiation, which alters their chemical properties sufficiently so that a pattern can be delineated in them. Positive or negative photoresist materials can be used in the practice of this invention.
As used herein, the terminology "opening" or "via " can refer to any type of opening through any type of oxide layer at any stage of processing.
These and other objects and features of the invention will become more fully apparent from the several drawings and description of the preferred embodiments.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1 through 3 illustrate enlarged, cross-sectional views of a semiconductor structure having contacts formed for logic applications in accordance with the teachings of the present invention at various stages of processing.
FIG. 4 is a schematic representation of a reactive ion etching system that may be employed in the practice of this invention.
FIG. 5 illustrates an enlarged, cross-sectional view of a DRAM device having a bit line formed in accordance with the teachings of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS OF THE INVENTION
Referring now to the drawings, and particularly FIG.'s 1-3, there is shown a representative portion of a semiconductor structure in enlarged views at several stages of fabrication of a logic device involving an oxide etch. The drawings are not necessarily to scale, as the thicknesses of the various layers are shown for visual clarity and should not be interpreted in a limiting sense unless otherwise indicated herein.
Referring to FIG. 1, a silicon substrate 100, a gate electrode 102, a source/drain diffusion region 103, and conductor 104 to be used for a strap contact, are shown. A gate insulating film 111 and sidewall spacers 112 are provided for the gate electrode 102 by conventional techniques. Other common elements of these logic devices that are not involved in oxide layer etch procedure of this invention are omitted to simplify the illustration. Also, the depiction of all these devices in a common figure is merely provided as part of an overview of the invention, as the principles of this invention can be applied independently to any one of these scenarios.
A silicon nitride layer 105 of approximately 500 to 750 Å thickness is deposited over the surface topography. The silicon nitride layer 105 can be formed by conventional methods such as plasma-enhanced chemical vapor deposition (PECVD). Next, an oxide layer 106 of approximately 9,000 to 12,000 Å total thickness is formed on silicon nitride layer 105. The silicon oxide layer can be formed by conventional methods such as CVD TEOS. The silicon oxide layer 106 also can contain impurities as BPSG, PSG, or ASSG. The thick oxide layer 106 may comprise one or more different oxide sublayers. For example, the oxide layer 106 could comprise an upper CVD TEOS oxide sublayer overlying a relatively thicker BPSG sublayer.
A photoresist layer 107 is coated on the oxide layer 106. The photoresist generally can have a thickness of about 5,000 to 7,000 Å. The photoresist layer 107, for example, can be a deep or mid U.V. photoresist material. The photoresist layer 107 can optionally be used in conjunction with a bottom antireflective layer. For instance, the photoresist layer 107 can be comprised of 6100 Å deep U.V. photoresist, such as APEX E, which is disposed over a of 900 Å thick bottom anti-reflective layer (not shown). The photoresist layer 107 is photolitho-graphically exposed and developed to define a pattern of openings 108a-c which correspond to the openings A, B and C to be formed in the oxide layer 106 in the ensuing oxide etch step.
As can be seen in FIG. 1, the unique dry etch process of this invention using a etching plasma derived from a combination of C4 F8 and C2 F6 gases is then conducted wherein the pattern of openings 108a-c formed in photoresist 107 is transferred to and completely through the oxide layer 106 stopping on silicon nitride layer 105 without the resist 107 experiencing blistering.
The plasma generation apparatus that can be used for the reactive ion etching (RIE) operation for forming the openings A, B and C in the oxide layer 106 is not particularly limited. In a preferred embodiment schematically shown in FIG. 4, an Applied Materials plasma reactor 400 can be used to practice the oxide etch operation of this invention. The RIE apparatus 401 includes a vacuum container 402 having an inner quartz liner to define walls of the reaction chamber; a heated silicon top plate 403 at the roof of vacuum container 402 that includes gas inlets 404 for introducing etchant gas into the vacuum container 402 from a gas supply system (not shown); a 2.0 MHZ source coil 405; an E-chuck 406 installed within the vacuum container 402 having 1.8 MHZ RF bias provided with a temperature control mechanism including cooling piping 407 (e.g., helium cooling), and the E-chuck 406 also serves as a support for wafer workpiece 408 clamped thereon mechanically, such as with a quartz clamp 409, or by conventional electrostatic means (not shown), and so forth; and an exhaust system 410 connected to a pump (not shown) for creating a vacuum inside the vacuum container 402.
In operation, the etching gas mixture is introduced inside the vacuum container 402 and a high RF power is applied to excite the gas and generate the plasma. In one exemplary embodiment of this invention, gas flow rate, pressure, and applying power are adjusted in the following manner for carrying out etching of an oxide layer 106 using this equipment.
For the oxide etch procedure, the roof and wall temperatures of the reactor are 200° C. and 220° C., respectively. The oxide etch is carried out at a pressure of 5 millitorr, inductive/bias power of 1200/1400 W, and in a flow derived from a feed gas mixture of C4 F8, C2 F6, and inert gas (e.g., Ar, He, Ne, Kr, or Xe). In one preferred embodiment, the dry etching gas is a mixture of 5 sccm C4 F8 / 5 sccm C2 F6 /150 sccm Ar. The openings A, B and C are etched through oxide layer 106 until the silicon nitride layer 105 is exposed.
However, in the case of SOI, it has been observed that the CMOS SOI wafers tend to exhibit increased blistering during this dry etching of the oxide layer as compared to bulk wafers. It is thought that the oxide layer portion of the SOI affects the wafer/chuck coupling, which likely causes the wafer to get hotter, and thereby aggravates blistering. The present investigators determined that this heightened blistering problem associated with SOI wafers could be countered by increasing the amount of the C2 F6 component of the gas etchant mixture. Therefore, for SOI, a preferred flow is 5 sccm C4 F8 /10 sccm C2 F6 /150 sccm Ar.
Once openings A, B, and C are formed in the oxide layer 106, the polymer film (not shown) formed on the surface of the resist layer during the oxide etch is removed. This can be done in situ with an oxygen plasma. The oxygen plasma employed for polymer film removal also can be used to remove the photoresist 107. Alternatively, the remaining resist 107 could be stripped by wet chemical etch, such as by use of sulfuric acid and/or nitric acid. Then the portions of nitride layer 105 exposed at the bottom of the openings A, B and C are removed (e.g., by hot H3 PO4). As shown in FIG. 2, a liner 109 (e.g., Ti/TiN) and contact metal 110 (e.g., tungsten) are then sequentially deposited in the openings A, B, C. The formation of the first level of metallization is completed by planarizing the device, e.g., by CMP, to remove the deposited metal from planar surfaces of the oxide layer 106 to form metal contacts in openings A, B, and C to the underlying devices.
As shown in FIG. 5, the principles of this invention also can be applied in forming a bit line 502 for a DRAM device 500. The DRAM 500 has gate electrode 504 coated on silicon substrate 501 via a gate insulating film 506, where sidewall spacers 507 are formed on the lateral sides of the gate electrodes in a conventional manner. Silicon nitride 505 having a thickness of about 100 to 500 Å is formed on the gate electrode 504. In a conventional manner, the gate electrode is used as a mask to form source/drain diffusion 503. A thick oxide film 506 having a thickness of about 5,000 to 10,000 Å is formed as an interlayer to which dry etching with C4 F8 and C2 F6 is performed by a photoresist pattern (not shown) in the manner described above, where the silicon nitride layer 505 acts as the etch stop layer. The oxide layer 506 can be a composite oxide of 3,000 Å TEOS over 5,000 Å BPSG. The resist does not incur blistering in the fabrication of the DRAM 500 because of the addition of the C2 F6 to the etchant gas containing C4 F8.
It should understood that the plasma for the oxide etch step can be generated either in the etch chamber itself or outside the etch chamber at some point in communication with the etch chamber, e.g., a plasma generated in a microwave cavity upstream of the etch chamber in the gas flow stream so that reactive species produced in such a plasma will flow into the etch chamber.
While not desiring to be bound by any particular theory at this time, it nonetheless is believed that the addition of C2 F6 to the C4 F8 dry etch chemistry changes the polymer precursors in the plasma, and this accounts for the result that the polymer film is more permeable (i.e., porous). The elimination of blistering in the photoresist during the oxide etch has been verified in this invention by observation via scanning electron micrographs (SEM).
Furthermore, pattern factor also has been found to be important in the polymer formation-outgassing mechanism associated with RIE etching of an oxide layer with C/F chemistry. It has been found that blistering tends to occur more as the pattern factor decreased, blanket resist being the worst. This is thought attributable to the fact that less sidewalls are available from which outgassing can escape. To account for this, the amount of C2 F6 can be adjusted for any pattern factor. For example, the amount of C2 F6 can be increased with decreasing pattern factor.
While the invention has been described in terms of several preferred embodiments, those skilled in the art will recognize that many steps described above can be altered and that material substitutions can be freely made without departing from the spirit and scope of the invention.

Claims (13)

What is claimed is:
1. A dry etch process for etching an oxide layer on a silicon nitride substrate, comprising creating a plasma in a gas etchant mixture comprising C4 F8 and C2 F6.
2. The process of claim 1, wherein said gas etchant mixture further comprises an inert carrier gas.
3. A dry etch process for etching an oxide layer stopping on a silicon nitride layer on a semiconductor wafer of an integrated circuit structure, comprising creating a plasma in a gas etchant mixture comprising 10 to 20 vol. % combined amount of C4 F8 and C2 F6, and 90 to 80 vol. % of an inert gas, wherein said C4 F8 and C2 F6 are present in a C4 F8 /C2 F6 ratio, by volume, of about 1/2 to about 3/1.
4. A dry etch process for etching an oxide layer stopping on a substrate on a semiconductor wafer of an integrated circuit structure, comprising the steps of:
forming a photosensitive layer on said oxide layer which is on said substrate;
forming an opening in said photosensitive layer to expose a surface portion of said oxide layer;
forming a plasma in a gas etchant mixture containing C4 F8 and C2 F6 to etch a via opening in said exposed surface portion of said oxide layer sufficient to expose said substrate and form a polymer layer on said photosensitive layer.
5. The process of claim 4, wherein said substrate is silicon nitride.
6. The process of claim 5, wherein said silicon nitride layer is disposed on a corner of a structure, wherein said oxide layer opening exposes said nitride layer on said corner of said structure.
7. The process of claim 6, wherein said structure is a gate electrode.
8. The process of claim 4, wherein said gas etchant mixture comprises 10 to 20 vol. % combined amount of C4 F8 and C2 F6, and 90 to 80 vol. % of an inert gas, wherein said C4 F8 and C2 F6 are present in a C4 F8 /C2 F6 ratio, by volume, of about 1/2 to about 3/1.
9. The process of claim 4, wherein said C4 F8 and C2 F6 are present in a C4 F8 /C2 F6 ratio, by volume, of about 1/1.
10. The process of claim 4, wherein said oxide layer is selected from the group consisting of silicon dioxide, BPSG, and PSG.
11. The process of claim 4, wherein said photosensitive layer is a U.V. photoreactive material.
12. The process of claim 4, further comprising the step of depositing a metal in said opening.
13. The process of claim 4, further comprising the steps of:
removing said photosensitive layer to expose planar surfaces of said oxide layer;
depositing a metal in said opening and on said planar surfaces of said oxide layer; and
planarizing to remove said deposited metal from said planar surfaces of said oxide layer.
US08/824,744 1997-03-26 1997-03-26 Process of etching an oxide layer Expired - Fee Related US5811357A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/824,744 US5811357A (en) 1997-03-26 1997-03-26 Process of etching an oxide layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/824,744 US5811357A (en) 1997-03-26 1997-03-26 Process of etching an oxide layer

Publications (1)

Publication Number Publication Date
US5811357A true US5811357A (en) 1998-09-22

Family

ID=25242203

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/824,744 Expired - Fee Related US5811357A (en) 1997-03-26 1997-03-26 Process of etching an oxide layer

Country Status (1)

Country Link
US (1) US5811357A (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US6136686A (en) * 1997-07-18 2000-10-24 International Business Machines Corporation Fabrication of interconnects with two different thicknesses
US6180532B1 (en) * 1998-12-15 2001-01-30 United Microelectronics Corp. Method for forming a borderless contact hole
US6214694B1 (en) * 1998-11-17 2001-04-10 International Business Machines Corporation Process of making densely patterned silicon-on-insulator (SOI) region on a wafer
US6221777B1 (en) * 1999-06-09 2001-04-24 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor vias
US6251791B1 (en) * 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
WO2001051990A1 (en) * 2000-01-12 2001-07-19 Semiconductor Research Corp. Solventless, resistless direct dielectric patterning
US6274468B1 (en) * 1998-07-06 2001-08-14 United Microelectronics Corp. Method of manufacturing borderless contact
US6277544B1 (en) 1999-06-09 2001-08-21 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor spaces
US6297168B1 (en) * 2000-09-29 2001-10-02 Taiwan Semiconductor Manufacturing Company, Ltd Edge defect inhibited trench etch plasma etch method
US6346482B2 (en) * 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6399483B1 (en) 1999-08-12 2002-06-04 Taiwan Semiconductor Manufacturing Company Method for improving faceting effect in dual damascene process
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6420777B2 (en) * 1998-02-26 2002-07-16 International Business Machines Corporation Dual layer etch stop barrier
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6518636B2 (en) * 2000-01-20 2003-02-11 Matsushita Electric Industrial Co., Ltd. Semiconductor MISFET
US6544429B1 (en) 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US20030132099A1 (en) * 2001-01-15 2003-07-17 Minako Horiba Process for purifying octafluorocyclobutane, process for preparing the same, and use thereof
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6723252B1 (en) 2000-06-16 2004-04-20 International Business Machines Corporation Magnetic head P1 magnetic pole notching with reduced polymer deposition
US20040077181A1 (en) * 2002-10-22 2004-04-22 Chartered Semiconductor Manufacturing Ltd. Use of phoslon (PNO) for borderless contact fabrication, etch stop/barrier layer for dual damascene fabrication and method of forming phoslon
US20040154747A1 (en) * 2000-10-13 2004-08-12 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US20050090114A1 (en) * 2001-10-31 2005-04-28 Michael Rogalli Method for the production of a semiconductor device
US20050142830A1 (en) * 2003-12-24 2005-06-30 Hynix Semiconductor Inc. Method for forming a contact of a semiconductor device
US20050263487A1 (en) * 2000-05-12 2005-12-01 Tokyo Electron Limited Method of high selectivity SAC etching
US20050277258A1 (en) * 2004-06-01 2005-12-15 Tse-Yao Huang Method for forming self-aligned contact in semiconductor device
US20060213864A1 (en) * 2005-03-25 2006-09-28 Tokyo Electron Limited Etching method and apparatus
US20070093055A1 (en) * 2005-10-24 2007-04-26 Pei-Yu Chou High-aspect ratio contact hole and method of making the same
TWI399808B (en) * 2005-03-25 2013-06-21 Tokyo Electron Ltd Etching method and etching device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4324611A (en) * 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
JPS58214149A (en) * 1982-06-07 1983-12-13 Hitachi Ltd Formation of micropattern
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4324611A (en) * 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
JPS58214149A (en) * 1982-06-07 1983-12-13 Hitachi Ltd Formation of micropattern
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US6136686A (en) * 1997-07-18 2000-10-24 International Business Machines Corporation Fabrication of interconnects with two different thicknesses
US6420777B2 (en) * 1998-02-26 2002-07-16 International Business Machines Corporation Dual layer etch stop barrier
US6548418B2 (en) * 1998-02-26 2003-04-15 International Business Machines Corporation Dual layer etch stop barrier
US20030207586A1 (en) * 1998-02-26 2003-11-06 International Business Machines Corporation Dual layer etch stop barrier
US6680259B2 (en) * 1998-02-26 2004-01-20 International Business Machines Corporation Dual layer etch stop barrier
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6346482B2 (en) * 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US6274468B1 (en) * 1998-07-06 2001-08-14 United Microelectronics Corp. Method of manufacturing borderless contact
US6214694B1 (en) * 1998-11-17 2001-04-10 International Business Machines Corporation Process of making densely patterned silicon-on-insulator (SOI) region on a wafer
US6429488B2 (en) 1998-11-17 2002-08-06 International Business Machines Corporation Densely patterned silicon-on-insulator (SOI) region on a wafer
US6180532B1 (en) * 1998-12-15 2001-01-30 United Microelectronics Corp. Method for forming a borderless contact hole
US6544429B1 (en) 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6277544B1 (en) 1999-06-09 2001-08-21 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor spaces
US6221777B1 (en) * 1999-06-09 2001-04-24 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor vias
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6251791B1 (en) * 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6399483B1 (en) 1999-08-12 2002-06-04 Taiwan Semiconductor Manufacturing Company Method for improving faceting effect in dual damascene process
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6793835B2 (en) 1999-12-28 2004-09-21 Lee Luo System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20030057179A1 (en) * 1999-12-28 2003-03-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
WO2001051990A1 (en) * 2000-01-12 2001-07-19 Semiconductor Research Corp. Solventless, resistless direct dielectric patterning
US6509138B2 (en) 2000-01-12 2003-01-21 Semiconductor Research Corporation Solventless, resistless direct dielectric patterning
US6946736B2 (en) 2000-01-12 2005-09-20 Semiconductor Research Corporation Electrical device including dielectric layer formed by direct patterning process
US20030134517A1 (en) * 2000-01-20 2003-07-17 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6962853B2 (en) 2000-01-20 2005-11-08 Matsushita Electronic Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6518636B2 (en) * 2000-01-20 2003-02-11 Matsushita Electric Industrial Co., Ltd. Semiconductor MISFET
US7030029B2 (en) 2000-05-12 2006-04-18 Tokyo Electron Limited Method of high selectivity SAC etching
US7329610B2 (en) 2000-05-12 2008-02-12 Tokyo Electron Limited Method of high selectivity SAC etching
US20050263487A1 (en) * 2000-05-12 2005-12-01 Tokyo Electron Limited Method of high selectivity SAC etching
US6723252B1 (en) 2000-06-16 2004-04-20 International Business Machines Corporation Magnetic head P1 magnetic pole notching with reduced polymer deposition
US6297168B1 (en) * 2000-09-29 2001-10-02 Taiwan Semiconductor Manufacturing Company, Ltd Edge defect inhibited trench etch plasma etch method
US20040154747A1 (en) * 2000-10-13 2004-08-12 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US7105102B2 (en) * 2000-10-13 2006-09-12 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US8114246B2 (en) 2000-10-13 2012-02-14 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US20070044915A1 (en) * 2000-10-13 2007-03-01 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US20030132099A1 (en) * 2001-01-15 2003-07-17 Minako Horiba Process for purifying octafluorocyclobutane, process for preparing the same, and use thereof
US6815568B2 (en) 2001-01-15 2004-11-09 Showa Denko K.K. Process for purifying octafluorocyclobutane, process for preparing the same, and use thereof
US20050090114A1 (en) * 2001-10-31 2005-04-28 Michael Rogalli Method for the production of a semiconductor device
US20040077181A1 (en) * 2002-10-22 2004-04-22 Chartered Semiconductor Manufacturing Ltd. Use of phoslon (PNO) for borderless contact fabrication, etch stop/barrier layer for dual damascene fabrication and method of forming phoslon
US7148157B2 (en) * 2002-10-22 2006-12-12 Chartered Semiconductor Manufacturing Ltd. Use of phoslon (PNO) for borderless contact fabrication, etch stop/barrier layer for dual damascene fabrication and method of forming phoslon
US20050142830A1 (en) * 2003-12-24 2005-06-30 Hynix Semiconductor Inc. Method for forming a contact of a semiconductor device
US20050277258A1 (en) * 2004-06-01 2005-12-15 Tse-Yao Huang Method for forming self-aligned contact in semiconductor device
US7115491B2 (en) * 2004-06-01 2006-10-03 Nanya Technology Corporation Method for forming self-aligned contact in semiconductor device
US20100116786A1 (en) * 2005-03-25 2010-05-13 Tokyo Electron Limited Etching method and apparatus
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US20100116787A1 (en) * 2005-03-25 2010-05-13 Tokyo Electron Limited Etching method and apparatus
US20060213864A1 (en) * 2005-03-25 2006-09-28 Tokyo Electron Limited Etching method and apparatus
US8361275B2 (en) 2005-03-25 2013-01-29 Tokyo Electron Limited Etching apparatus
TWI399808B (en) * 2005-03-25 2013-06-21 Tokyo Electron Ltd Etching method and etching device
US8986493B2 (en) 2005-03-25 2015-03-24 Tokyo Electron Limited Etching apparatus
US20080207000A1 (en) * 2005-10-24 2008-08-28 Pei-Yu Chou Method of making high-aspect ratio contact hole
US20070093055A1 (en) * 2005-10-24 2007-04-26 Pei-Yu Chou High-aspect ratio contact hole and method of making the same

Similar Documents

Publication Publication Date Title
US5811357A (en) Process of etching an oxide layer
CN101064244B (en) Etch methods to form anisotropic features for high aspect ratio applications
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US20100330805A1 (en) Methods for forming high aspect ratio features on a substrate
US20020177322A1 (en) Method of plasma etching of silicon carbide
US7056830B2 (en) Method for plasma etching a dielectric layer
US20060186087A1 (en) Etchant and method of use
KR20050028781A (en) Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US4174251A (en) Method of selective gas etching on a silicon nitride layer
KR20050118267A (en) A method for plasma etching performance enhancement
JPH0982495A (en) Plasma producing device and method
US11527408B2 (en) Multiple spacer patterning schemes
KR101333352B1 (en) Etching method and device
EP0933802B1 (en) Process for the production of semiconductor device
KR20190132948A (en) Substrate processing method and substrate processing apparatus
WO2013047464A1 (en) Etching method and device
KR100555539B1 (en) Gap-fill method using high density plasma chemical vapor deposition process and manufacturing method for integrated circuits device comprising the gap-fill method
TW202236377A (en) Non-atomic layer deposition (ald) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
US20010044212A1 (en) Techniques for improving etching in a plasma processing chamber
JP7374308B2 (en) Method and apparatus for depositing dielectric materials
WO2022125268A1 (en) Underlayer film for semiconductor device formation
JP3080055B2 (en) Dry etching method
US7560385B2 (en) Etching systems and processing gas specie modulation
JPH1012734A (en) Manufacture of semiconductor device
US20220199410A1 (en) Conformal amorphous carbon layer etch with side-wall passivation

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARMACOST, MICHAEL D.;WAGNER, TINA J.;PASSOW, MICHAEL L.;AND OTHERS;REEL/FRAME:008644/0207;SIGNING DATES FROM 19970319 TO 19970324

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20060922