US5834806A - Raised-bitline, contactless, trenched, flash memory cell - Google Patents

Raised-bitline, contactless, trenched, flash memory cell Download PDF

Info

Publication number
US5834806A
US5834806A US08/873,833 US87383397A US5834806A US 5834806 A US5834806 A US 5834806A US 87383397 A US87383397 A US 87383397A US 5834806 A US5834806 A US 5834806A
Authority
US
United States
Prior art keywords
layer
well
source
drain regions
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/873,833
Inventor
Ruei-Ling Lin
Ching-Hsiang Hsu
Mong-Song Liang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25075347&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US5834806(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US08/873,833 priority Critical patent/US5834806A/en
Application granted granted Critical
Publication of US5834806A publication Critical patent/US5834806A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Definitions

  • This invention relates to semiconductor memory devices and more particularly to bit-line connection thereto.
  • This invention pertains to a method and process for manufacture of a raised-bitline, contactless, trench, flash, channel program device.
  • An advantage of this invention is a flash memory cell in which the size of cells is scaled down since there is no large voltage difference across the source and the drain during programming.
  • Another advantage of this invention is a cell which can perform channel program and channel erase in NOR-type flash memory devices.
  • Still another advantage of this invention is a cell which can be easily scaled down due to the use of channel program and erase, instead of a source erase and/or drain program.
  • a device comprising a raised-bitline, contactless flash memory device with trenches.
  • the device includes a semiconductor substrate doped with a first P- conductivity type, a first well of an opposite N- conductivity type and a second well of the first P- conductivity type formed over the first well.
  • Deep trenches formed with a depth of from about 8 ⁇ m to about 10 ⁇ m and a width from about 0.3 ⁇ m to about 0.5 ⁇ m extend through the second well into the first well.
  • the trenches are filled with a blanket, CVD, first dielectric layer comprising a BPSG planarized glass layer with a thickness of from about 10 ⁇ m to about 12 ⁇ m.
  • the BPSG layer is composed of boron (B) from about 1% to about 12% and phosphorous (P) from about 4% to about 6%.
  • a gate oxide layer is formed over the device having a thickness from about 80 ⁇ to about 90 ⁇ over which is formed a first doped polysilicon layer over the gate oxide layer having a thickness from about 1,500 ⁇ to about 1,800 ⁇ .
  • the first doped polysilicon layer is patterned.
  • An interpolysilicon dielectric (IPD) layer is formed over first doped polysilicon layer, the IPD layer comprising an oxide/nitride/oxide layer comprising a thermally formed silicon oxide layer having a thickness of from about 60 ⁇ to about 80 ⁇ , a silicon nitride layer having a thickness of from about 80 ⁇ to about 100 ⁇ , and another thermally formed silicon oxide having a thickness from about 60 ⁇ to about 80 ⁇ .
  • IPD interpolysilicon dielectric
  • the second doped polysilicon layer formed over the interpolysilicon dielectric layer having a thickness from about 1,500 ⁇ to about 2,000 ⁇ .
  • a polycide layer preferably composed of tungsten silicide, is formed over the second doped polysilicon layer having a thickness from about 100 ⁇ to about 180 ⁇ .
  • Dielectric cap layers are formed over the polycide layer.
  • the dielectric cap layers comprise a blanket thin pad oxide layer composed of silicon dioxide from about 200 ⁇ to about 400 ⁇ thick and a blanket silicon nitride layer from about 800 ⁇ to about 1,000 ⁇ thick.
  • the device has gate electrode stacks for a flash memory device formed with the cap layers on top.
  • N- lightly doped source/drain regions in the second well self-aligned with the gate electrode stacks with a dopant concentration from about 5E17 ions/cm 3 to about 5E18 ions/cm 3 .
  • Spacer dielectric structures are formed adjacent to the sidewalls of the stacks.
  • Source/drain regions which are self-aligned with the spacers are formed in the second well having a dopant concentration from about 5E19 atoms/cm 3 to about 5E20 atoms/cm 3 .
  • a third doped polysilicon layer is formed over the device formed into raised bitlines from the third doped polysilicon layer over the source/drain regions to form raised bitlines having a thickness between about 0.2 ⁇ m and about 0.3 ⁇ m.
  • FIG. 1 shows a device in accordance with this invention with a P- doped silicon substrate in which an N-well with N type dopant atoms has been formed over the substrate in an initial step of manufacture thereof.
  • FIG. 2 shows the device of FIG. 1 after a P-well with P type dopant atoms has been formed over the N-well providing a stack of a P-well layer on top of an N-well layer on top of the P- doped silicon substrate, referred to hereinafter as a "triple well" structure.
  • FIG. 3 shows the device of FIG. 2 after a mask has been formed with trench windows which have been used to etch conventional deep trench openings through the P-well and extending partially down into the N-well of the device splitting the P-well into a parallel array of P-wells filled with glass trench isolation strips.
  • FIG. 4 shows a blanket gate oxide layer grown covering the P-wells as well as covering the glass trench isolation strips followed by formation of a blanket first polysilicon layer is deposited.
  • FIG. 5 shows the device 10 of FIG. 4 after formation of a photoresist mask with openings therethrough formed over the first polysilicon layer located over the glass trench isolation strips are formed for patterning of the first polysilicon layer with openings seen in FIG. 6.
  • FIG. 6 shows the device of FIG. 5 after the openings in the mask were used to etch slot holes (openings) through the first polysilicon layer down to the surface of the gate oxide layer above the glass trench isolation strips.
  • FIG. 7 shows the device of FIG. 6 with the first polysilicon layer covered with a blanket conventional interpolysilicon dielectric layer and a blanket layer of a second polysilicon layer and a polycide layer.
  • FIG. 8 shows a sectional view of the device of FIG. 7 taken along line B-B' in FIG. 7, which is spaced away from the glass trench isolation strips.
  • FIG. 9 shows the device of FIG. 7 after formation of a mask over the dielectric cap with openings through the mask, aside from the desired location of gate electrode stacks, which have been formed, as shown in FIG. 9 by etching of the stacks including the cap dielectric layers the polycide layer, second polysilicon layer, ONO layer, first polysilicon layer, and gate oxide layer down to the top surface of P-well.
  • the etching which forms the gate electrode stacks creates, from the first polysilicon layer, a pair of floating gate electrodes.
  • the etching creates the second polysilicon layer to form first control gate electrode (first word line) and second control gate electrode (second word line).
  • FIG. 10 shows the device 10 of FIG. 9 during self-aligned implantation of ions to form N- lightly doped source/drain regions between the gate electrode stacks and on either side thereof.
  • FIG. 11 shows the device of FIG. 10 after a set of silicon dioxide spacers have been formed adjacent to the sidewalls of the gate electrode stacks.
  • the spacers are formed by a conventional process including first forming a blanket silicon dioxide layer and then etching back the blanket spacer layer leaving the spacers adjacent to the sidewalls of the stacks.
  • FIG. 12 shows the device of FIG. 11 during self-aligned implantation of ions to form N+ doped source/drain regions between gate electrode stacks and on either side thereof spaced therefrom by the spacers.
  • FIG. 13 shows the device of FIG. 12 after blanket deposition of a third doped polysilicon layer in direct contact with the source/drain regions and covering the spacers and the silicon nitride layer above the stacks.
  • FIG. 14 shows the device of FIG. 13 with raised bitlines for connections to the source/drain regions.
  • the raised bitlines are formed by etching back the polysilicon layer over the source and drain regions with each bitline separate from the other bitlines.
  • the cap layer has silicon nitride layers serving as self-aligned shielding masks during the etching of layer.
  • FIGS. 15A and 15B each show a perspective view of a fragment of a device manufactured in accordance with the process of FIGS. 1-14.
  • FIG. 15B shows the flash memory device associated with a stack emphasizing the functions of cell operation as indicated in TABLES I and II and in FIGS. 18A-18C.
  • FIG. 16A shows the conventional cell notation for a flash memory cell.
  • FIG. 16B shows the conventional cell notation for a flash memory NOR cell with the metal bit line connected to the drain, the diffusion SL source line connected adjacently forming a diffusion line, as well as, the polycide word line WL connected adjacently forming a control gate line.
  • the well is a common connection line.
  • FIG. 16C shows the conventional cell notation for a contactless, flash memory NOR cell with the source line connected adjacently to the diffusion source, the metal bit line connected to the drain and the polycide word line connected to the control gate.
  • the well is again a common connection line.
  • FIG. 17 shows cell notation for a flash memory cell.
  • a source line SL is connected to cell source, drain line connected to cell drain, the word line WL connected to cell control gate, and the well line XL connected to adjacent cell well in a direction shown in FIG. 17.
  • FIG. 18A shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the program mode, with the PROGRAM voltages for a device in accordance with Table I.
  • FIG. 18B shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the erase mode, with the ERASE voltages for a device in accordance with Table I.
  • FIG. 18C shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the read mode, with the READ voltages for a device in accordance with Table I.
  • FIG. I shows a device 10 comprising a P- doped silicon substrate 11 in which an N-well 12 with N type dopant atoms has been formed over the substrate 11 in an initial step of manufacture thereof.
  • FIG. 2 shows the device 10 of FIG. 1 after a P-well 14 with P type dopant atoms has been formed over the N-well 12 providing a stack of a P-well layer 14 on top of an N-well layer 12 on top of the P- doped silicon substrate 11, referred to hereinafter as a "triple well" structure.
  • FIG. 3 shows the device 10 of FIG. 2 after a mask 19 has been formed with trench windows 19W which have been used to etch conventional deep trench openings 14T through the P-well 14 and extending partially down into N-well 12 in device 10 splitting P-well 14 into a parallel array of P-wells 14a, 14b, 14c.
  • Trench openings 14T produced by the etching process have a depth of from about 8 ⁇ m to about 10 ⁇ m and a width from about 0.3 ⁇ m to about 0.5 ⁇ m.
  • the next step is to fill the openings 14T with glass by depositing a glass layer from which glass trench isolation strips 20 of FIG. 4 are formed.
  • a blanket BPSG glass layer 20 is formed with a thickness of from about 10 ⁇ m to about 12 ⁇ m.
  • the BPSG glass layer 20 is composed of boron (B) from about 1% to about 12%, phosphorous (P) from about 4% to about 6% and silicon dioxide formed by a CVD (Chemical Vapor Deposition) deposition process selected from APCVD (Atmospheric Pressure CVD), PECVD (Plasma Enhanced CVD), LPCVD (Low Pressure CVD) which deposits BPSG or the equivalent.
  • the device is next heated to reflow the BPSG layer 20 a temperature of from about 850° C. to about 950° C.
  • RIE Reactive Ion Etching
  • This etching step removes the BPSG glass down to the surface of P-wells 14a, 14b, 14c.
  • the effective thickness within the trench regions is about 20 ⁇ m or more. That is why there is 10 ⁇ m of BPSG left in the trenches after during a 10 ⁇ m to about 12 ⁇ m etch-back of the BPSG film 20.
  • a blanket gate oxide (silicon dioxide) layer 21 is grown covering the P-wells 14a, 14b, 14c as well as covering the glass trench isolation strips 20 followed by formation of a blanket first polysilicon layer 22 is deposited.
  • the gate oxide layer 21 is from about 80 ⁇ to about 90 ⁇ thick.
  • the first polysilicon layer 22 is from about 1,500 ⁇ to about 1,800 ⁇ thick.
  • FIG. 5 shows the device 10 of FIG. 4 after formation of a photoresist mask 24 with openings 24' therethrough formed over the first polysilicon layer 22. Openings 24', which are located over the glass trench isolation strips 20, are formed for patterning of the first polysilicon layer 22 with openings 24" seen in FIG. 6.
  • the gate oxide layer 21 is used as an etch stop material. The slight undercut of the gate oxide layer 21 caused by etching of first polysilicon layer 22 leaves the thinner regions 21' of gate oxide layer 21 above the glass trench isolation strips 20.
  • FIG. 6 shows the device 10 of FIG. 5 after the openings 24' in mask 24 had been used to etch slot holes (openings) 24" through the first polysilicon layer 22 down to the surface of the gate oxide layer 21 above the glass trench isolation strips 20.
  • the mask 24 has been stripped from device 10 leaving the first polysilicon layer 22 patterned by slot holes (openings) 24" etched through the holes 24' in the mask 24.
  • the direction of a word line perpendicular to the p-well strip is desired.
  • the second etch direction is perpendicular to the etch described here. That is why the pattern of the first polysilicon layer 22 is the same pattern as that of the P-well trenches.
  • FIG. 7 shows the device 10 of FIG. 6 after deposition of a blanket conventional interpolysilicon, dielectric (ONO) layer 26 on the first polysilicon layer 22 and on the exposed surface of the glass trench isolation strips 20.
  • the dielectric layer 26 is composed of ONO (silicon Oxide/silicon Nitride/silicon Oxide)
  • the layer 26 comprises a conventional interpolysilicon dielectric formed of an "O" layer of thermal silicon oxide having a thickness of from about 60 ⁇ to about 80 ⁇ , an "N" layer of a silicon nitride having a thickness of from about 80 ⁇ to about 100 ⁇ , and another "O" layer of thermal silicon oxide having a thickness of from about 60 to about 80 ⁇ .
  • layers of material forming a dielectric cap for the gate electrode stacks are formed over the polycide layer 29 which will provide a support for the raised-bitline to be formed following the formation of spacers, etc.
  • the layers of material for forming a dielectric cap for the gate electrode stacks ST1 and ST2 are formed over the polycide layer 29.
  • the dielectric cap layers comprise blanket thin pad oxide (silicon dioxide) layer 30 from about 200 ⁇ to about 400 ⁇ thick and a blanket silicon nitride layer 31 from about 800 ⁇ to about 1,000 ⁇ thick, which are deposited covering the second polysilicon layer 28 and polycide layer 29.
  • the pad oxide (silicon dioxide) layer 30 and silicon nitride layer 31 are used to provide a support for the raised-bitline to be formed following the formation of spacers, etc.
  • FIG. 8 shows a sectional view of the device of FIG. 7 taken along line 8-8' in FIG. 7, which is spaced away from the glass trench isolation strips 20.
  • FIG. 9 shows the device 10 of FIG. 9 after formation of a photoresist mask 27 over the silicon nitride layer 31 with openings 27' through mask 27, aside from the desired location of gate electrode stacks ST1 and ST2, which have been formed, as shown in FIG. 9 by etching of silicon nitride layer 31, pad oxide layer 30, polycide layer 29, second polysilicon layer 28, ONO layer 26, first polysilicon layer 22, and gate oxide layer 21 down to the top surface of P-well 14a.
  • the etching which forms the gate electrode stacks ST1 and ST2 creates, from the first polysilicon layer 22, a pair of floating gate electrodes 22A (FG1) and 22B (FG2).
  • the etching creates the second polysilicon layer 28 to form first control gate electrode 28A (word line WL1) and second control gate electrode 28B (word line WL2).
  • FIG. 10 shows the device 10 of FIG. 9 during self-aligned implantation of ions 32 to form N- lightly doped source/drain regions 32a, 32b and 32c between the gate electrode stacks ST1 and ST2 and on either side thereof.
  • ions 32 are implanted at an energy from about 30 keV to about 40 keV with a dose of from about 5E13 ions/cm 2 to about 5E14 ions/cm 2 .
  • the concentration of the dopant is from about 5E17 atoms/cm 3 to about 5E18 atoms/cm 3 .
  • FIG. 11 shows the device 10 of FIG. 10 after a set of silicon dioxide spacers 33 have been formed adjacent to the sidewalls of the gate electrode stacks ST1 and ST2.
  • the spacers 33 are formed by a conventional process including first forming a blanket silicon dioxide layer and then etching back the blanket spacer layer leaving the spacers 33 adjacent to the sidewalls of the stacks ST1 and ST2.
  • FIG. 12 shows the device 10 of FIG. 11 during self-aligned implantation of ions 36 to form N+ doped source/drain regions 36a, 36b and 36c between gate electrode stacks ST1 and ST2 and on either side thereof spaced therefrom by the spacers 33.
  • the ions 36 are preferably implanted at an energy from about 40 keV to about 50 keV with a dose of from about 1E15 ions/cm 2 to about 5E15 ions/cm 2 .
  • the concentration of the dopant is from about 5E19 atoms/cm 3 to about 5E20 atoms/cm 3 .
  • FIG. 13 shows the device 10 of FIG. 12 after blanket deposition of a third doped polysilicon layer 40 with a thickness from about 2 ⁇ m to about 3 ⁇ m in direct contact with the source/drain regions 36a, 36b and 36c and covering the spacers 33 and the silicon nitride layer 31 above the stacks ST1 and ST2.
  • FIG. 14 shows the device 10 of FIG. 13 with raised bitlines 40a, 40b, 40c for connections to the source/drain regions 36a, 36b and 36c.
  • the raised bitlines are formed by etching back the polysilicon layer 40 down to a thickness from about 0.2 ⁇ m to about 0.3 ⁇ m source/drain regions 36a, 36b and 36c forming bitlines 40a, 40b, 40c over those source and drain regions with each bitline 40a, 40b, 40c separate from the other bitlines.
  • Silicon nitride layers 31 serve as self-aligned shielding masks during the etching of layer 40.
  • Steps include depositing silicon dioxide, forming metal interconnections, passivation, and forming bonding pad openings.
  • deep trench strips 20 of FIG. 4 are formed.
  • FIGS. 15A and 15B each show a perspective view of a fragment of a device manufactured in accordance with the process of FIGS. 1-14.
  • FIG. 15B shows the flash memory device associated with stack ST1 emphasizing the functions of cell operation as indicated in TABLES I and II and in FIGS. 18A-18C, where it is shown that due to the triple well technology of this invention, cell selection can be achieved by gate and body lines, instead of gate and drain lines.
  • the bitlines 40a (BL1), 40b (BL2), 40c (BL3) are formed as flat bars on the top surfaces of the source/drain regions 36a, 36a', 36a" and the glass trench isolation strips 20.
  • FIGS. 15A and 15B have been given additional designations which are described in TABLE I below to designate the function of the different structures in the device.
  • FIG. 18A shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the program mode, with the PROGRAM voltages for a device in accordance with Table I.
  • the selected source line SSL (BL1, 40a) and drain line SDL (BL2, 40b) provide a voltage of 0 Volts at the source 40a and the drain 40b.
  • the word line WL1 is at a voltage of 8 to about 9 Volts.
  • the selected body line SBODL (14a, channel C in FIG. 15B) is from about -5 to about -6 Volts, and the deep N-well DNW (12) is from about -5 to about -6 Volts.
  • FIG. 18B shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the erase mode, with the ERASE voltages for a device in accordance with Table I.
  • the source and drain lines SSL and SDL provide a voltage of Volts at the source S (40a) and the drain D (40b).
  • the word line WL1 is at a voltage of -5 Volts.
  • the selected body line SBODL (14a, channel C) is at 10 Volts, and the deep N well DNW (12) is at 10 Volts.
  • FIG. 18C shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the read mode, with the READ voltages for a device in accordance with Table I.
  • the source and drain lines provide a 1 V voltage at the source 40a and 0 V at the drain 40b.
  • the word line WL1 is at a 5 V voltage.
  • the body line 14a (channel) voltage is 0 V, and the deep N well 12 voltage is 0 V.
  • An advantage of the cell of FIGS. 14, 15A and 15B is that the device can perform channel program and channel erase in a NOR-type flash circuit.
  • the cell can be easily scaled down due to the use of channel program and erase instead of source erase and/or drain program. A reason the cell size can be scaled down is that there is no large voltage difference across the source region and the drain region during programming.
  • FIG. 16A shows the conventional cell notation for a flash memory cell.
  • FIG. 16B shows the conventional cell notation for a flash memory NOR cell with the metal bit line connected to the drain, the diffusion SL source line connected adjacently forming a diffusion line, as well as, the polycide word line WL connected adjacently forming a control gate line.
  • the well is a common connection line.
  • FIG. 16C shows the conventional cell notation for a contactless, flash memory NOR cell with the source line connected adjacently to the diffusion source, the metal bit line connected to the drain and the polycide word line connected to the control gate.
  • the well is again a common connection line.
  • FIG. 17 shows cell notation for a flash memory cell.
  • a source line SL is connected to cell source, drain line connected to cell drain, the word line WL connected to cell control gate, and the well line XL connected to adjacent cell well in a direction shown in FIG. 17. (Please refer to FIG. 15A.

Abstract

A raised-bitline, contactless flash memory device with trenches on a semiconductor substrate doped with a first conductivity type includes a first well of an opposite conductivity type comprising a deep conductor line to a device, and a second well of the first conductivity type above the first well comprising a body line to the device. Deep trenches extend through the second well into the first well. The trenches are filled with a first dielectric. There are gate electrode stacks for a flash memory device including a gate oxide layer over the device. First doped polysilicon floating gates are formed over the gate oxide layer. An interpolysilicon dielectric layer is formed over floating gate electrodes, and control gate electrodes formed of doped polysilicon layer overlie the interpolysilicon dielectric layer. A dielectric cap overlies the control gate electrodes. Source/drain regions are formed in the second well self-aligned with the stacks as well as spacer dielectric structures formed adjacent to the sidewalls of the stacks. A third doped polysilicon layer patterned into raised bitlines overlies source/drain regions.

Description

This application is a division of Ser. No. 08/766,079 filed Dec. 16, 1996 now U.S. Pat. No. 5,679,591.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to semiconductor memory devices and more particularly to bit-line connection thereto.
2. Description of Related Art
Y. Hisamune, et al. "A 3.6 μm2 Memory Cell Structure for 16MB EPROMs", IEDM (1989), pp. 583-586 describes a floating gate memory EPROM (Erasable Programmable Read Only Memory) cell using features including an isolation trench filled with BPSG (Boro-Phospho Silicate Glass), ONO (silicon Oxide/silicon Nitride/silicon Oxide) interpolysilicon dielectric, and bit-line contact to a silicide pad by means of a tungsten plug formed above the silicide pad.
U.S. Pat. No. 5,399,891 Yiu et al. for "Floating Gate or Flash EPROM Transistor Array Having Contactless Source and Drain Diffusions" describes a contactless flash EPROM cell where a single source diffusion is shared by two columns of transistors. Drain diffusions are coupled through a top block select transistor to a global bitline.
U.S. Pat. No. 5,470,779 of Yoo for "Method of Manufacture of SRAM with SIPOS Resistor" describes a method of manufacturing an SRAM cell with a resistor comprised of first polysilicon layer.
It is difficult to scale down "source erase" in NOR type flash memory devices and "drain program" in available NAND type flash memory devices as well as AND flash memory devices.
SUMMARY OF THE INVENTION
This invention pertains to a method and process for manufacture of a raised-bitline, contactless, trench, flash, channel program device.
Yiu et al. does not teach a raised bitline and transistor configuration and Yoo does not discuss a raised contact bitline.
An advantage of this invention is a flash memory cell in which the size of cells is scaled down since there is no large voltage difference across the source and the drain during programming.
Another advantage of this invention is a cell which can perform channel program and channel erase in NOR-type flash memory devices.
Still another advantage of this invention is a cell which can be easily scaled down due to the use of channel program and erase, instead of a source erase and/or drain program.
In accordance with another aspect of this invention, 26. A device comprising a raised-bitline, contactless flash memory device with trenches. The device includes a semiconductor substrate doped with a first P- conductivity type, a first well of an opposite N- conductivity type and a second well of the first P- conductivity type formed over the first well.
Deep trenches formed with a depth of from about 8 μm to about 10 μm and a width from about 0.3 μm to about 0.5 μm extend through the second well into the first well. The trenches are filled with a blanket, CVD, first dielectric layer comprising a BPSG planarized glass layer with a thickness of from about 10 μm to about 12 μm. The BPSG layer is composed of boron (B) from about 1% to about 12% and phosphorous (P) from about 4% to about 6%. A gate oxide layer is formed over the device having a thickness from about 80 Å to about 90 Å over which is formed a first doped polysilicon layer over the gate oxide layer having a thickness from about 1,500 Å to about 1,800 Å.
The first doped polysilicon layer is patterned. An interpolysilicon dielectric (IPD) layer is formed over first doped polysilicon layer, the IPD layer comprising an oxide/nitride/oxide layer comprising a thermally formed silicon oxide layer having a thickness of from about 60 Å to about 80 Å, a silicon nitride layer having a thickness of from about 80 Å to about 100 Å, and another thermally formed silicon oxide having a thickness from about 60 Å to about 80 Å.
There is a second doped polysilicon layer formed over the interpolysilicon dielectric layer having a thickness from about 1,500 Å to about 2,000 Å. A polycide layer, preferably composed of tungsten silicide, is formed over the second doped polysilicon layer having a thickness from about 100 Å to about 180 Å. Dielectric cap layers are formed over the polycide layer. The dielectric cap layers comprise a blanket thin pad oxide layer composed of silicon dioxide from about 200 Å to about 400 Å thick and a blanket silicon nitride layer from about 800 Å to about 1,000 Å thick. The device has gate electrode stacks for a flash memory device formed with the cap layers on top.
There are N- lightly doped source/drain regions in the second well self-aligned with the gate electrode stacks with a dopant concentration from about 5E17 ions/cm3 to about 5E18 ions/cm3. Spacer dielectric structures are formed adjacent to the sidewalls of the stacks. Source/drain regions which are self-aligned with the spacers are formed in the second well having a dopant concentration from about 5E19 atoms/cm3 to about 5E20 atoms/cm3.
A third doped polysilicon layer is formed over the device formed into raised bitlines from the third doped polysilicon layer over the source/drain regions to form raised bitlines having a thickness between about 0.2 μm and about 0.3 μm.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing and other aspects and advantages of this invention are explained and described below with reference to the accompanying drawings, in which:
FIG. 1 shows a device in accordance with this invention with a P- doped silicon substrate in which an N-well with N type dopant atoms has been formed over the substrate in an initial step of manufacture thereof.
FIG. 2 shows the device of FIG. 1 after a P-well with P type dopant atoms has been formed over the N-well providing a stack of a P-well layer on top of an N-well layer on top of the P- doped silicon substrate, referred to hereinafter as a "triple well" structure.
FIG. 3 shows the device of FIG. 2 after a mask has been formed with trench windows which have been used to etch conventional deep trench openings through the P-well and extending partially down into the N-well of the device splitting the P-well into a parallel array of P-wells filled with glass trench isolation strips.
FIG. 4 shows a blanket gate oxide layer grown covering the P-wells as well as covering the glass trench isolation strips followed by formation of a blanket first polysilicon layer is deposited.
FIG. 5 shows the device 10 of FIG. 4 after formation of a photoresist mask with openings therethrough formed over the first polysilicon layer located over the glass trench isolation strips are formed for patterning of the first polysilicon layer with openings seen in FIG. 6.
FIG. 6 shows the device of FIG. 5 after the openings in the mask were used to etch slot holes (openings) through the first polysilicon layer down to the surface of the gate oxide layer above the glass trench isolation strips.
FIG. 7 shows the device of FIG. 6 with the first polysilicon layer covered with a blanket conventional interpolysilicon dielectric layer and a blanket layer of a second polysilicon layer and a polycide layer. There are layers of material for forming a dielectric cap for the gate electrode stacks of FIGS. 9 et seq. formed over the polycide layer which will provide a support for the raised-bitline to be formed following the formation of spacers, etc.
FIG. 8 shows a sectional view of the device of FIG. 7 taken along line B-B' in FIG. 7, which is spaced away from the glass trench isolation strips.
FIG. 9 shows the device of FIG. 7 after formation of a mask over the dielectric cap with openings through the mask, aside from the desired location of gate electrode stacks, which have been formed, as shown in FIG. 9 by etching of the stacks including the cap dielectric layers the polycide layer, second polysilicon layer, ONO layer, first polysilicon layer, and gate oxide layer down to the top surface of P-well. The etching which forms the gate electrode stacks creates, from the first polysilicon layer, a pair of floating gate electrodes. In addition, the etching creates the second polysilicon layer to form first control gate electrode (first word line) and second control gate electrode (second word line).
FIG. 10 shows the device 10 of FIG. 9 during self-aligned implantation of ions to form N- lightly doped source/drain regions between the gate electrode stacks and on either side thereof.
FIG. 11 shows the device of FIG. 10 after a set of silicon dioxide spacers have been formed adjacent to the sidewalls of the gate electrode stacks. The spacers are formed by a conventional process including first forming a blanket silicon dioxide layer and then etching back the blanket spacer layer leaving the spacers adjacent to the sidewalls of the stacks.
FIG. 12 shows the device of FIG. 11 during self-aligned implantation of ions to form N+ doped source/drain regions between gate electrode stacks and on either side thereof spaced therefrom by the spacers.
FIG. 13 shows the device of FIG. 12 after blanket deposition of a third doped polysilicon layer in direct contact with the source/drain regions and covering the spacers and the silicon nitride layer above the stacks.
FIG. 14 shows the device of FIG. 13 with raised bitlines for connections to the source/drain regions. The raised bitlines are formed by etching back the polysilicon layer over the source and drain regions with each bitline separate from the other bitlines. The cap layer has silicon nitride layers serving as self-aligned shielding masks during the etching of layer.
FIGS. 15A and 15B each show a perspective view of a fragment of a device manufactured in accordance with the process of FIGS. 1-14. FIG. 15B shows the flash memory device associated with a stack emphasizing the functions of cell operation as indicated in TABLES I and II and in FIGS. 18A-18C.
FIG. 16A shows the conventional cell notation for a flash memory cell.
FIG. 16B shows the conventional cell notation for a flash memory NOR cell with the metal bit line connected to the drain, the diffusion SL source line connected adjacently forming a diffusion line, as well as, the polycide word line WL connected adjacently forming a control gate line. The well is a common connection line.
FIG. 16C shows the conventional cell notation for a contactless, flash memory NOR cell with the source line connected adjacently to the diffusion source, the metal bit line connected to the drain and the polycide word line connected to the control gate. The well is again a common connection line.
FIG. 17 shows cell notation for a flash memory cell. A source line SL is connected to cell source, drain line connected to cell drain, the word line WL connected to cell control gate, and the well line XL connected to adjacent cell well in a direction shown in FIG. 17.
FIG. 18A shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the program mode, with the PROGRAM voltages for a device in accordance with Table I.
FIG. 18B shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the erase mode, with the ERASE voltages for a device in accordance with Table I.
FIG. 18C shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the read mode, with the READ voltages for a device in accordance with Table I.
DESCRIPTION OF THE PREFERRED EMBODIMENT Cell Process
FIG. I shows a device 10 comprising a P- doped silicon substrate 11 in which an N-well 12 with N type dopant atoms has been formed over the substrate 11 in an initial step of manufacture thereof.
FIG. 2 shows the device 10 of FIG. 1 after a P-well 14 with P type dopant atoms has been formed over the N-well 12 providing a stack of a P-well layer 14 on top of an N-well layer 12 on top of the P- doped silicon substrate 11, referred to hereinafter as a "triple well" structure.
FIG. 3 shows the device 10 of FIG. 2 after a mask 19 has been formed with trench windows 19W which have been used to etch conventional deep trench openings 14T through the P-well 14 and extending partially down into N-well 12 in device 10 splitting P-well 14 into a parallel array of P- wells 14a, 14b, 14c. Trench openings 14T produced by the etching process have a depth of from about 8 μm to about 10 μm and a width from about 0.3 μm to about 0.5 μm.
After the deep trench openings 14T have been formed the next step is to fill the openings 14T with glass by depositing a glass layer from which glass trench isolation strips 20 of FIG. 4 are formed. To form glass trench isolation strips 20, initially, a blanket BPSG glass layer 20 is formed with a thickness of from about 10 μm to about 12 μm. The BPSG glass layer 20 is composed of boron (B) from about 1% to about 12%, phosphorous (P) from about 4% to about 6% and silicon dioxide formed by a CVD (Chemical Vapor Deposition) deposition process selected from APCVD (Atmospheric Pressure CVD), PECVD (Plasma Enhanced CVD), LPCVD (Low Pressure CVD) which deposits BPSG or the equivalent. The device is next heated to reflow the BPSG layer 20 a temperature of from about 850° C. to about 950° C. to planarize the glass layer, after which an RIE (Reactive Ion Etching) etchback process is performed to remove from about 10 μm to about 12 μm of the surface of the BPSG layer 20 leaving the BPSG trench strips 20, yielding the device as seen in FIG. 4. This etching step removes the BPSG glass down to the surface of P- wells 14a, 14b, 14c. When the original BPSG film 20 having a thickness from about 10 μm to about 12 μm (measured from the P-well surface) the effective thickness within the trench regions is about 20 μm or more. That is why there is 10 μm of BPSG left in the trenches after during a 10 μm to about 12 μm etch-back of the BPSG film 20.
Next, referring again to FIG. 4, a blanket gate oxide (silicon dioxide) layer 21 is grown covering the P- wells 14a, 14b, 14c as well as covering the glass trench isolation strips 20 followed by formation of a blanket first polysilicon layer 22 is deposited.
The gate oxide layer 21 is from about 80 Å to about 90 Å thick. The first polysilicon layer 22 is from about 1,500 Å to about 1,800 Å thick.
FIG. 5 shows the device 10 of FIG. 4 after formation of a photoresist mask 24 with openings 24' therethrough formed over the first polysilicon layer 22. Openings 24', which are located over the glass trench isolation strips 20, are formed for patterning of the first polysilicon layer 22 with openings 24" seen in FIG. 6. During the process of etching the first polysilicon layer 22, the gate oxide layer 21 is used as an etch stop material. The slight undercut of the gate oxide layer 21 caused by etching of first polysilicon layer 22 leaves the thinner regions 21' of gate oxide layer 21 above the glass trench isolation strips 20.
FIG. 6 shows the device 10 of FIG. 5 after the openings 24' in mask 24 had been used to etch slot holes (openings) 24" through the first polysilicon layer 22 down to the surface of the gate oxide layer 21 above the glass trench isolation strips 20. The mask 24 has been stripped from device 10 leaving the first polysilicon layer 22 patterned by slot holes (openings) 24" etched through the holes 24' in the mask 24.
In addition, the direction of a word line perpendicular to the p-well strip is desired. In accordance with the reasons stated above, the second etch direction is perpendicular to the etch described here. That is why the pattern of the first polysilicon layer 22 is the same pattern as that of the P-well trenches.
FIG. 7 shows the device 10 of FIG. 6 after deposition of a blanket conventional interpolysilicon, dielectric (ONO) layer 26 on the first polysilicon layer 22 and on the exposed surface of the glass trench isolation strips 20. When the dielectric layer 26 is composed of ONO (silicon Oxide/silicon Nitride/silicon Oxide), then the layer 26 comprises a conventional interpolysilicon dielectric formed of an "O" layer of thermal silicon oxide having a thickness of from about 60 Å to about 80 Å, an "N" layer of a silicon nitride having a thickness of from about 80 Å to about 100 Å, and another "O" layer of thermal silicon oxide having a thickness of from about 60 to about 80 Å.
Then a blanket layer of an in-situ doped second polysilicon layer 28 from about 1,500 Å to about 2,000 Å thick and a blanket polycide layer 29 from about 100 Å to about 180 Å thick, preferably composed of tungsten silicide, are formed.
Next, layers of material forming a dielectric cap for the gate electrode stacks are formed over the polycide layer 29 which will provide a support for the raised-bitline to be formed following the formation of spacers, etc. The layers of material for forming a dielectric cap for the gate electrode stacks ST1 and ST2 (see FIGS. 9 et seq.) are formed over the polycide layer 29. The dielectric cap layers comprise blanket thin pad oxide (silicon dioxide) layer 30 from about 200 Å to about 400 Å thick and a blanket silicon nitride layer 31 from about 800 Å to about 1,000 Å thick, which are deposited covering the second polysilicon layer 28 and polycide layer 29. The pad oxide (silicon dioxide) layer 30 and silicon nitride layer 31 are used to provide a support for the raised-bitline to be formed following the formation of spacers, etc.
FIG. 8 shows a sectional view of the device of FIG. 7 taken along line 8-8' in FIG. 7, which is spaced away from the glass trench isolation strips 20.
FIG. 9 shows the device 10 of FIG. 9 after formation of a photoresist mask 27 over the silicon nitride layer 31 with openings 27' through mask 27, aside from the desired location of gate electrode stacks ST1 and ST2, which have been formed, as shown in FIG. 9 by etching of silicon nitride layer 31, pad oxide layer 30, polycide layer 29, second polysilicon layer 28, ONO layer 26, first polysilicon layer 22, and gate oxide layer 21 down to the top surface of P-well 14a. The etching which forms the gate electrode stacks ST1 and ST2 creates, from the first polysilicon layer 22, a pair of floating gate electrodes 22A (FG1) and 22B (FG2). In addition, the etching creates the second polysilicon layer 28 to form first control gate electrode 28A (word line WL1) and second control gate electrode 28B (word line WL2).
FIG. 10 shows the device 10 of FIG. 9 during self-aligned implantation of ions 32 to form N- lightly doped source/ drain regions 32a, 32b and 32c between the gate electrode stacks ST1 and ST2 and on either side thereof. Preferably, ions 32 are implanted at an energy from about 30 keV to about 40 keV with a dose of from about 5E13 ions/cm2 to about 5E14 ions/cm2. After the usual annealing, the concentration of the dopant is from about 5E17 atoms/cm3 to about 5E18 atoms/cm3.
FIG. 11 shows the device 10 of FIG. 10 after a set of silicon dioxide spacers 33 have been formed adjacent to the sidewalls of the gate electrode stacks ST1 and ST2. The spacers 33 are formed by a conventional process including first forming a blanket silicon dioxide layer and then etching back the blanket spacer layer leaving the spacers 33 adjacent to the sidewalls of the stacks ST1 and ST2.
FIG. 12 shows the device 10 of FIG. 11 during self-aligned implantation of ions 36 to form N+ doped source/ drain regions 36a, 36b and 36c between gate electrode stacks ST1 and ST2 and on either side thereof spaced therefrom by the spacers 33. The ions 36 are preferably implanted at an energy from about 40 keV to about 50 keV with a dose of from about 1E15 ions/cm2 to about 5E15 ions/cm2. After the usual annealing, the concentration of the dopant is from about 5E19 atoms/cm3 to about 5E20 atoms/cm3.
FIG. 13 shows the device 10 of FIG. 12 after blanket deposition of a third doped polysilicon layer 40 with a thickness from about 2 μm to about 3 μm in direct contact with the source/ drain regions 36a, 36b and 36c and covering the spacers 33 and the silicon nitride layer 31 above the stacks ST1 and ST2.
FIG. 14 shows the device 10 of FIG. 13 with raised bitlines 40a, 40b, 40c for connections to the source/ drain regions 36a, 36b and 36c. The raised bitlines are formed by etching back the polysilicon layer 40 down to a thickness from about 0.2 μm to about 0.3 μm source/ drain regions 36a, 36b and 36c forming bitlines 40a, 40b, 40c over those source and drain regions with each bitline 40a, 40b, 40c separate from the other bitlines. Silicon nitride layers 31 serve as self-aligned shielding masks during the etching of layer 40.
Finally, back-end processes are employed. Steps include depositing silicon dioxide, forming metal interconnections, passivation, and forming bonding pad openings.
SUMMARY
After forming the triple well structure of FIG. 2, deep trench strips 20 of FIG. 4 are formed.
FIGS. 15A and 15B each show a perspective view of a fragment of a device manufactured in accordance with the process of FIGS. 1-14. FIG. 15B shows the flash memory device associated with stack ST1 emphasizing the functions of cell operation as indicated in TABLES I and II and in FIGS. 18A-18C, where it is shown that due to the triple well technology of this invention, cell selection can be achieved by gate and body lines, instead of gate and drain lines. The bitlines 40a (BL1), 40b (BL2), 40c (BL3) are formed as flat bars on the top surfaces of the source/ drain regions 36a, 36a', 36a" and the glass trench isolation strips 20.
The parts of the devices seen in FIGS. 15A and 15B have been given additional designations which are described in TABLE I below to designate the function of the different structures in the device.
              TABLE I                                                     
______________________________________                                    
Selected WL (SWL, 28A, WL1)                                               
Unselected WL (UWL, 28B, WL2)                                             
Selected Body Line (SBODL, 14a, P-well)                                   
Unselected Body Line (UBODL, 14b & 14c P-wells)                           
Selected Drain Line (SDL, 40b, BL2)                                       
Selected Source Line (SSL, 40a, BL1)                                      
Deep N Well (DNW, 12, N-well)                                             
Cell Operation                                                            
                   Program   Erase    Read                                
______________________________________                                    
Selected Word Line (SWL)                                                  
                   8˜9 V                                            
                             -5 V     5 V                                 
Unselected Word Line (UWL)                                                
                   0 V       0 V      0 V                                 
Selected Body Line (SBODL)                                                
                   -5˜-6 V                                          
                             10 V     0 V                                 
Unselected Body Line (UBODL)                                              
                   0 V       5˜6 V                                  
                                      -5 V                                
Selected Drain Line (SDL)                                                 
                   0 V       10 V     1 V                                 
Selected Source Line (SSL)                                                
                   2-3 V     10 V     0 V                                 
Deep N Well (DNW)  -5˜-6 V                                          
                             10 V     0 V                                 
______________________________________                                    
FIG. 18A shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the program mode, with the PROGRAM voltages for a device in accordance with Table I. The selected source line SSL (BL1, 40a) and drain line SDL (BL2, 40b) provide a voltage of 0 Volts at the source 40a and the drain 40b. The word line WL1 is at a voltage of 8 to about 9 Volts. The selected body line SBODL (14a, channel C in FIG. 15B) is from about -5 to about -6 Volts, and the deep N-well DNW (12) is from about -5 to about -6 Volts.
FIG. 18B shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the erase mode, with the ERASE voltages for a device in accordance with Table I. The source and drain lines SSL and SDL provide a voltage of Volts at the source S (40a) and the drain D (40b). The word line WL1 is at a voltage of -5 Volts. The selected body line SBODL (14a, channel C) is at 10 Volts, and the deep N well DNW (12) is at 10 Volts.
FIG. 18C shows a schematic diagram of a flash memory device in accordance with FIGS. 15A and 15B in the read mode, with the READ voltages for a device in accordance with Table I. The source and drain lines provide a 1 V voltage at the source 40a and 0 V at the drain 40b. The word line WL1 is at a 5 V voltage. The body line 14a (channel) voltage is 0 V, and the deep N well 12 voltage is 0 V.
An advantage of the cell of FIGS. 14, 15A and 15B is that the device can perform channel program and channel erase in a NOR-type flash circuit. The cell can be easily scaled down due to the use of channel program and erase instead of source erase and/or drain program. A reason the cell size can be scaled down is that there is no large voltage difference across the source region and the drain region during programming.
FIG. 16A shows the conventional cell notation for a flash memory cell.
FIG. 16B shows the conventional cell notation for a flash memory NOR cell with the metal bit line connected to the drain, the diffusion SL source line connected adjacently forming a diffusion line, as well as, the polycide word line WL connected adjacently forming a control gate line. The well is a common connection line.
FIG. 16C shows the conventional cell notation for a contactless, flash memory NOR cell with the source line connected adjacently to the diffusion source, the metal bit line connected to the drain and the polycide word line connected to the control gate. The well is again a common connection line.
FIG. 17 shows cell notation for a flash memory cell. A source line SL is connected to cell source, drain line connected to cell drain, the word line WL connected to cell control gate, and the well line XL connected to adjacent cell well in a direction shown in FIG. 17. (Please refer to FIG. 15A.
While this invention has been described in terms of the above specific embodiment(s), those skilled in the art will recognize that the invention can be practiced with modifications within the spirit and scope of the appended claims, i.e. that changes can be made in form and detail, without departing from the spirit and scope of the invention. Accordingly all such changes come within the purview of the present invention and the invention encompasses the subject matter of the claims which follow.

Claims (9)

We claim:
1. A raised-bitline, flash memory device with deep trenches comprising:
a semiconductor substrate doped with a first conductivity type, a first well of an opposite conductivity type, said first well comprising a deep conductor line to said device,
a second well of said first conductivity type above said first well comprising a body conductor line to said device,
said deep trenches extending through said second well into said first well,
said deep trenches being filled with a first dielectric layer having a top surface,
gate electrode stacks for a flash memory device including a gate oxide layer over said device, doped polysilicon floating gates formed over said gate oxide layer, an interpolysilicon dielectric layer formed over said floating gate electrodes, and control gate electrodes formed of doped polysilicon layer over said interpolysilicon dielectric layer,
said gate electrode stacks having sidewalls,
a dielectric cap over said control gate electrodes,
source/drain regions in said second well self-aligned with said gate electrode stacks as well as spacer dielectric structures formed adjacent to said sidewalls of said stacks, said source/drain regions having top surfaces, and
a third doped polysilicon layer patterned into raised, flat planar bitline bars located in a raised location on the surface of said source/drain regions and on said top surface of said first dielectric layer, said bitlines being in direct mechanical contact with said source/drain regions providing electrical interconnection to said source/drain regions.
2. A device in accordance with claim 1 wherein: a gate line connected to said control gate electrode and said body conductor line provides cell operation selection.
3. A device in accordance with claim 1 wherein cell operation voltages are as follows:
______________________________________                                    
                 Program Erase   Read                                     
______________________________________                                    
Selected Word Line (SWL)                                                  
                   8˜9 V                                            
                             -5 V    5 V                                  
Unselected Word Line (UWL)                                                
                   0 V       0 V     0 V                                  
Selected Body Line (SBODL)                                                
                   -5˜-6 V                                          
                             10 V    0 V                                  
Unselected Body Line (UBODL)                                              
                   0 V       5˜6 V                                  
                                     -5 V                                 
Selected Drain Line (SDL)                                                 
                   0 V       10 V    1 V                                  
Selected Source Line (SSL)                                                
                   2-3 V     10 V    0 V                                  
Deep N Well (DNW)  -5˜-6 V                                          
                             10 V    0 V.                                 
______________________________________                                    
4. A device in accordance with claim 1 wherein: said source/drain regions which are self-aligned with said spacers and have a dopant concentration from about 5E19 atoms/cm3 to about 5E20 atoms/cm3.
5. A device in accordance with claim 1 wherein: adjacent to said source/drain regions are N- lightly doped source/drain regions self-aligned with said gate electrode stacks having a concentration of said dopant from about 5E17 atoms/cm3 to about 5E18 atoms/cm3.
6. A device in accordance with claim 1 wherein said source/drain regions being self-aligned with said spacers having a dopant concentration from about 5E19 atoms/cm3 to about 5E20 atoms/cm3 with N- lightly doped source/drain regions self-aligned with said gate electrode stacks and being located adjacent to said source/drain regions, with said N- lightly doped source/drain regions having a dopant concentration from about 5E17 atoms/cm3 to about 5E18 atoms/cm3.
7. A device in accordance with claim 1 wherein: said interpolysilicon dielectric comprises an oxide/nitride/oxide layer comprising a silicon oxide layer having a thickness of from about 60 Å to about 80 Å, a silicon nitride layer having a thickness of from about 80 Å to about 100 Å, and another silicon oxide having a thickness from about 60 Å to about 80 Å.
8. A device in accordance with claim 1 wherein:
said interpolysilicon dielectric comprises an oxide/nitride/oxide layer comprising a silicon oxide layer having a thickness of from about 60 Å to about 80 Å, a silicon nitride layer having a thickness of from about 80 Å to about 100 Å, and another silicon oxide having a thickness from about 60 Å to about 80 Å,
source/drain regions which are self-aligned with said spacers have a dopant concentration from about 5E19 atoms/cm3 to about 5E20 atoms/cm3 with N- lightly doped source/drain regions located adjacent to said source/drain regions with a dopant concentration from about 5E17 atoms/cm3 to about 5E18 atoms/cm3.
9. A device comprising a raised-bitline, flash memory device with deep trenches comprises:
a semiconductor substrate doped with a first P- conductivity type, a first well of an opposite N- conductivity type,
a second well of said first P- conductivity type formed over said first well,
said deep trenches formed with a depth of from about 8 μm to about 10 μm and a width from about 0.3 μm to about 0.5 μm extending through said second well into said first well,
said deep trenches being filled with a blanket, first dielectric layer comprising a BPSG planarized glass layer having a top surface with a thickness of from about 10 μm to about 12 μm, said BPSG layer being composed of boron (B) from about 1% to about 12% and phosphorous (P) from about 4% to about 6%,
a gate oxide layer formed over said device having a thickness from about 80 Å and about 90 Å,
a first doped polysilicon formed layer over said gate oxide layer having a thickness from about 1,500 Å and about 1,800 Å,
said first doped polysilicon layer being patterned,
an interpolysilicon dielectric (IPD) layer formed over first doped polysilicon layer, said IPD layer comprising an oxide/nitride/oxide layer comprising a silicon oxide layer having a thickness of from about 60 Å to about 80 Å, a silicon nitride layer having a thickness of from about 80 Å to about 100 Å, and another silicon oxide having a thickness from about 60 Å to about 80 Å,
a second doped polysilicon layer formed over said interpolysilicon dielectric layer having a thickness from about 1,500 Å and about 2,000 Å,
a polycide layer composed of tungsten silicide formed over said second doped polysilicon layer having a thickness from about 100 Å and about 180 Å,
dielectric cap layers formed over said polycide layer, said dielectric cap layers comprising a blanket thin pad oxide layer composed of silicon dioxide from about 200 Å to about 400 Å thick and a blanket silicon nitride layer from about 800 Å to about 1,000 Å thick,
said device having gate electrode stacks for a flash memory device formed with said cap layers on top,
said gate electrode stacks having sidewalls,
N- lightly doped source/drain regions formed in said second well self-aligned with said gate electrode stacks with a dopant concentration from about 5E17 ions/cm3 to about 5E18 ions/cm3,
spacer dielectric structures formed adjacent to said sidewalls of said stacks,
source/drain regions formed in said second well which are self-aligned with said spacers having a dopant concentration from about 5E19 atoms/cm3 to about 5E20 atoms/cm3, said source/drain regions having top surfaces, and
a third doped polysilicon layer formed over said device, said third doped polysilicon layer being patterned into flat, raised bitline bars on said top surfaces of said source/drain regions and said top surface of said first dielectric layer, to form raised bitlines having a thickness between about 0.2 μm and about 0.3 μm, said bitlines being in direct mechanical contact with said source/drain regions and providing electrical interconnection to said source/drain regions.
US08/873,833 1996-12-16 1997-06-12 Raised-bitline, contactless, trenched, flash memory cell Expired - Lifetime US5834806A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/873,833 US5834806A (en) 1996-12-16 1997-06-12 Raised-bitline, contactless, trenched, flash memory cell

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/766,079 US5679591A (en) 1996-12-16 1996-12-16 Method of making raised-bitline contactless trenched flash memory cell
US08/873,833 US5834806A (en) 1996-12-16 1997-06-12 Raised-bitline, contactless, trenched, flash memory cell

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/766,079 Division US5679591A (en) 1996-12-16 1996-12-16 Method of making raised-bitline contactless trenched flash memory cell

Publications (1)

Publication Number Publication Date
US5834806A true US5834806A (en) 1998-11-10

Family

ID=25075347

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/766,079 Expired - Lifetime US5679591A (en) 1996-12-16 1996-12-16 Method of making raised-bitline contactless trenched flash memory cell
US08/873,833 Expired - Lifetime US5834806A (en) 1996-12-16 1997-06-12 Raised-bitline, contactless, trenched, flash memory cell

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/766,079 Expired - Lifetime US5679591A (en) 1996-12-16 1996-12-16 Method of making raised-bitline contactless trenched flash memory cell

Country Status (1)

Country Link
US (2) US5679591A (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5966601A (en) * 1997-01-21 1999-10-12 Holtek Microelectronics Inc. Method of making non-volatile semiconductor memory arrays
US6104057A (en) * 1997-08-25 2000-08-15 Ricoh Company, Ltd. Electrically alterable non-volatile semiconductor memory device
US6262467B1 (en) * 1996-12-31 2001-07-17 Hyundai Electronics Industries Co., Ltd. Etch barrier structure of a semiconductor device and method for fabricating the same
WO2001057876A2 (en) * 2000-01-31 2001-08-09 Infineon Technologies Ag Flash memory cell and method to achieve multiple bits per cell
US6627551B2 (en) * 2001-06-06 2003-09-30 United Microelectronics Corp. Method for avoiding microscratch in interlevel dielectric layer chemical mechanical polishing process
US20040041200A1 (en) * 2002-03-05 2004-03-04 Hyundai Electronics America, Inc., A California Corporation High density flash memory architecture with columnar substrate coding
DE10241170A1 (en) * 2002-09-05 2004-03-18 Infineon Technologies Ag High density NROM FINFET
US20040076050A1 (en) * 2002-08-02 2004-04-22 Taiwan Semiconductor Manufacturing Company Flash memory cell with high programming efficiency by coupling from floating gate to sidewall
US20050045944A1 (en) * 2003-02-14 2005-03-03 Achim Gratz Semiconductor circuit arrangement with trench isolation and fabrication method
US20090108292A1 (en) * 2007-10-26 2009-04-30 Jun Liu Floating Body Field-Effect Transistors, and Methods of Forming Floating Body Field-Effect Transistors
US20130168019A1 (en) * 2005-09-28 2013-07-04 Infineon Technologies Austria Ag System for splitting of brittle materials with trenching technology

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW382147B (en) * 1996-05-31 2000-02-11 United Microeletronics Corp Trench-type condensed junction-less flash memory and manufacturing method thereof
US5783493A (en) * 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
EP0856877A1 (en) * 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
US6013551A (en) * 1997-09-26 2000-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of self-aligned floating gate, flash memory cell and device manufactured thereby
US6027998A (en) * 1997-12-17 2000-02-22 Advanced Micro Devices, Inc. Method for fully planarized conductive line for a stack gate
KR100277888B1 (en) * 1997-12-31 2001-02-01 김영환 Flash memory and method for fabricating the same
US6040241A (en) * 1998-02-11 2000-03-21 United Microelectronics Corp. Method of avoiding sidewall residue in forming connections
US6066530A (en) * 1998-04-09 2000-05-23 Advanced Micro Devices, Inc. Oxygen implant self-aligned, floating gate and isolation structure
DE19823733A1 (en) * 1998-05-27 1999-12-02 Siemens Ag Semiconductor memory cell arrangement and corresponding manufacturing method
US6107171A (en) * 1998-07-09 2000-08-22 Vanguard International Semiconductor Corporation Method to manufacture metal gate of integrated circuits
US6486525B1 (en) 1998-07-14 2002-11-26 Texas Instruments Incorporated Deep trench isolation for reducing soft errors in integrated circuits
US6372651B1 (en) 1998-07-17 2002-04-16 Advanced Micro Devices, Inc. Method for trimming a photoresist pattern line for memory gate etching
US6100559A (en) 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
US6121125A (en) * 1998-11-04 2000-09-19 United Microelectronics Corp. Method of forming polycide gate
US6355522B1 (en) 1998-12-04 2002-03-12 Advanced Micro Devices, Inc. Effect of doped amorphous Si thickness on better poly 1 contact resistance performance for nand type flash memory devices
US6380029B1 (en) 1998-12-04 2002-04-30 Advanced Micro Devices, Inc. Method of forming ono stacked films and DCS tungsten silicide gate to improve polycide gate performance for flash memory devices
US6713346B2 (en) * 1999-03-01 2004-03-30 Micron Technology, Inc. Methods of forming a line of flash memory cells
JP2001044391A (en) 1999-07-29 2001-02-16 Fujitsu Ltd Semiconductor storage device and manufacture thereof
US6624022B1 (en) 2000-08-29 2003-09-23 Micron Technology, Inc. Method of forming FLASH memory
US6512263B1 (en) * 2000-09-22 2003-01-28 Sandisk Corporation Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming
DE10110150A1 (en) * 2001-03-02 2002-09-19 Infineon Technologies Ag Method for producing metallic bit lines for memory cell arrays, method for producing memory cell arrays and memory cell array
US6844588B2 (en) * 2001-12-19 2005-01-18 Freescale Semiconductor, Inc. Non-volatile memory
JP2003224213A (en) * 2002-01-30 2003-08-08 Mitsubishi Electric Corp Nonvolatile semiconductor memory
US6858494B2 (en) * 2002-08-20 2005-02-22 Taiwan Semiconductor Manufacturing Company Structure and fabricating method with self-aligned bit line contact to word line in split gate flash
DE10258194B4 (en) * 2002-12-12 2005-11-03 Infineon Technologies Ag Semiconductor memory with charge-trapping memory cells and manufacturing process
DE10324052B4 (en) * 2003-05-27 2007-06-28 Infineon Technologies Ag Method for producing a semiconductor memory with charge trapping memory cells
JP4278140B2 (en) * 2003-09-03 2009-06-10 シャープ株式会社 Semiconductor memory device
JP3998622B2 (en) * 2003-09-30 2007-10-31 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US6972226B2 (en) * 2004-03-31 2005-12-06 Infineon Technologies Ag Charge-trapping memory cell array and method for production
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
KR100640973B1 (en) * 2004-08-02 2006-11-02 동부일렉트로닉스 주식회사 Method for Programming/Erasing in Flash Memory Device
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
KR100640640B1 (en) * 2005-04-19 2006-10-31 삼성전자주식회사 Method of forming fine pattern of semiconductor device using fine pitch hardmask
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
KR100806778B1 (en) * 2006-11-30 2008-02-27 동부일렉트로닉스 주식회사 Method for manufacturing of cmos image sensor
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8120088B1 (en) * 2007-12-07 2012-02-21 Marvell International Ltd. Non-volatile memory cell and array
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
CN101969049B (en) * 2009-07-27 2012-09-26 中芯国际集成电路制造(上海)有限公司 method for manufacturing top region of poly-crystal word line of flash memory device
CN101866927A (en) * 2010-05-12 2010-10-20 上海宏力半导体制造有限公司 Word line-sharing contactless nanocrystalline split gate type flash memory

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282160A (en) * 1990-07-18 1994-01-25 Nec Corporation Non-volatile semiconductor memory having double gate structure
US5338956A (en) * 1991-04-05 1994-08-16 Sony Corporation Electrically erasable and programmable read only memory having a thin film transferring transistor over a floating gate memory transistor
US5341342A (en) * 1992-12-18 1994-08-23 National Semiconductor Corporation Flash memory cell structure
US5399891A (en) * 1992-01-22 1995-03-21 Macronix International Co., Ltd. Floating gate or flash EPROM transistor array having contactless source and drain diffusions
US5432740A (en) * 1993-10-12 1995-07-11 Texas Instruments Incorporated Low voltage flash EEPROM memory cell with merge select transistor and non-stacked gate structure
US5470779A (en) * 1994-07-25 1995-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacture of SRAM with SIPOS resistor
US5589413A (en) * 1995-11-27 1996-12-31 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned bit-line during EPROM fabrication
US5675176A (en) * 1994-09-16 1997-10-07 Kabushiki Kaisha Toshiba Semiconductor device and a method for manufacturing the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173436A (en) * 1989-11-21 1992-12-22 Texas Instruments Incorporated Method of manufacturing an EEPROM with trench-isolated bitlines
US5385856A (en) * 1993-12-02 1995-01-31 United Microelectronics Corporation Manufacture of the fieldless split-gate EPROM/Flash EPROM
US5604141A (en) * 1994-03-15 1997-02-18 National Semiconductor Corporation Method for forming virtual-ground flash EPROM array with reduced cell pitch in the X direction

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282160A (en) * 1990-07-18 1994-01-25 Nec Corporation Non-volatile semiconductor memory having double gate structure
US5338956A (en) * 1991-04-05 1994-08-16 Sony Corporation Electrically erasable and programmable read only memory having a thin film transferring transistor over a floating gate memory transistor
US5399891A (en) * 1992-01-22 1995-03-21 Macronix International Co., Ltd. Floating gate or flash EPROM transistor array having contactless source and drain diffusions
US5341342A (en) * 1992-12-18 1994-08-23 National Semiconductor Corporation Flash memory cell structure
US5432740A (en) * 1993-10-12 1995-07-11 Texas Instruments Incorporated Low voltage flash EEPROM memory cell with merge select transistor and non-stacked gate structure
US5470779A (en) * 1994-07-25 1995-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacture of SRAM with SIPOS resistor
US5675176A (en) * 1994-09-16 1997-10-07 Kabushiki Kaisha Toshiba Semiconductor device and a method for manufacturing the same
US5589413A (en) * 1995-11-27 1996-12-31 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned bit-line during EPROM fabrication

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Y. Hisamune et al, "A 3.6 μm2 Memory Cell Structure for 16MB EPROMs" IEDM (1989) pp. 583-586.
Y. Hisamune et al, A 3.6 m 2 Memory Cell Structure for 16MB EPROMs IEDM (1989) pp. 583 586. *

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6262467B1 (en) * 1996-12-31 2001-07-17 Hyundai Electronics Industries Co., Ltd. Etch barrier structure of a semiconductor device and method for fabricating the same
US5966601A (en) * 1997-01-21 1999-10-12 Holtek Microelectronics Inc. Method of making non-volatile semiconductor memory arrays
US6104057A (en) * 1997-08-25 2000-08-15 Ricoh Company, Ltd. Electrically alterable non-volatile semiconductor memory device
WO2001057876A2 (en) * 2000-01-31 2001-08-09 Infineon Technologies Ag Flash memory cell and method to achieve multiple bits per cell
WO2001057876A3 (en) * 2000-01-31 2002-03-07 Infineon Technologies Ag Flash memory cell and method to achieve multiple bits per cell
US6627551B2 (en) * 2001-06-06 2003-09-30 United Microelectronics Corp. Method for avoiding microscratch in interlevel dielectric layer chemical mechanical polishing process
US6864530B2 (en) * 2002-03-05 2005-03-08 Hynix Semiconductor America, Inc. High density flash memory architecture with columnar substrate coding
US20040041200A1 (en) * 2002-03-05 2004-03-04 Hyundai Electronics America, Inc., A California Corporation High density flash memory architecture with columnar substrate coding
US20040076050A1 (en) * 2002-08-02 2004-04-22 Taiwan Semiconductor Manufacturing Company Flash memory cell with high programming efficiency by coupling from floating gate to sidewall
US6903408B2 (en) * 2002-08-02 2005-06-07 Taiwan Semiconductor Manufacturing Company Flash memory cell with high programming efficiency by coupling from floating gate to sidewall
US7208794B2 (en) 2002-09-05 2007-04-24 Infineon Technologies Ag High-density NROM-FINFET
DE10241170A1 (en) * 2002-09-05 2004-03-18 Infineon Technologies Ag High density NROM FINFET
US20050186738A1 (en) * 2002-09-05 2005-08-25 Infineon Technologies Ag High-density NROM-FINFET
US7368341B2 (en) 2003-02-14 2008-05-06 Infineon Technologies Ag Semiconductor circuit arrangement with trench isolation and fabrication method
US7129540B2 (en) * 2003-02-14 2006-10-31 Infineon Technologies Ag Semiconductor circuit arrangement with trench isolation and fabrication method
US20050045944A1 (en) * 2003-02-14 2005-03-03 Achim Gratz Semiconductor circuit arrangement with trench isolation and fabrication method
US20130168019A1 (en) * 2005-09-28 2013-07-04 Infineon Technologies Austria Ag System for splitting of brittle materials with trenching technology
US20090108292A1 (en) * 2007-10-26 2009-04-30 Jun Liu Floating Body Field-Effect Transistors, and Methods of Forming Floating Body Field-Effect Transistors
WO2009055173A3 (en) * 2007-10-26 2009-06-11 Micron Technology Inc Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US20110193165A1 (en) * 2007-10-26 2011-08-11 Micron Technology, Inc. Floating Body Field-Effect Transistors, And Methods Of Forming Floating Body Field-Effect Transistors
US8395214B2 (en) 2007-10-26 2013-03-12 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US8716075B2 (en) 2007-10-26 2014-05-06 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors

Also Published As

Publication number Publication date
US5679591A (en) 1997-10-21

Similar Documents

Publication Publication Date Title
US5834806A (en) Raised-bitline, contactless, trenched, flash memory cell
US5796139A (en) Semiconductor device
US6197639B1 (en) Method for manufacturing NOR-type flash memory device
US6643186B2 (en) Nonvolatile memory structures and fabrication methods
US6222227B1 (en) Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6180458B1 (en) Method of producing a memory cell configuration
US5150179A (en) Diffusionless source/drain conductor electrically-erasable, electrically-programmable read-only memory and method for making and using the same
US5656527A (en) Method for fabricating a non-volatile semiconductor memory device having storage cell array and peripheral circuit, and a structure therefore
JP4065310B2 (en) Method for manufacturing nonvolatile memory device using self-align source process
JP4463954B2 (en) Nonvolatile memory device having bulk bias contact structure in cell array region
US5635415A (en) Method of manufacturing buried bit line flash EEPROM memory cell
JPH05259475A (en) Manufacture of electrically programmable read only memory including memory cell array on silicon substrate
JPH11297948A (en) Non-volatile memory device and its operation method
KR20220049571A (en) Integrated Assemblies and Methods of Forming Integrated Assemblies
KR100724153B1 (en) New method of forming select gate to improve reliability and performance for nand type flash memory devices
US6066874A (en) Flash memory cell with vertical channels, and source/drain bus lines
US6127226A (en) Method for forming vertical channel flash memory cell using P/N junction isolation
US6781186B1 (en) Stack-gate flash cell structure having a high coupling ratio and its contactless flash memory arrays
KR100297728B1 (en) Method for fabricating flash memory device and flash memory device fabricated thereby
US6184554B1 (en) Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US5414286A (en) Nonvolatile memory, method of fabricating the same, and method of reading information from the same
US5570314A (en) EEPROM devices with smaller cell size
US7799635B2 (en) Methods of forming nonvolatile memory devices
US5409854A (en) Method for forming a virtual-ground flash EPROM array with floating gates that are self aligned to the field oxide regions of the array
JP4733810B2 (en) Semiconductor memory device and manufacturing method thereof

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12