US5891784A - Transistor fabrication method - Google Patents

Transistor fabrication method Download PDF

Info

Publication number
US5891784A
US5891784A US08/430,084 US43008495A US5891784A US 5891784 A US5891784 A US 5891784A US 43008495 A US43008495 A US 43008495A US 5891784 A US5891784 A US 5891784A
Authority
US
United States
Prior art keywords
layer
gate
source
forming
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/430,084
Inventor
Wan Yee Cheung
Sailesh Chittipeddi
Chong-Cheng Fu
Taeho Kook
Avinoam Kornblit
Steven Alan Lytle
Kurt George Steiner
Tungsheng Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bell Semiconductor LLC
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US08/430,084 priority Critical patent/US5891784A/en
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Assigned to AT&T IPM CORPORATION reassignment AT&T IPM CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KORNBLIT, AVINOAM, FU, CHONG-CHENG, KOOK, TAEHO, LYTLE, STEVEN ALAN, STEINER, KURT GEORGE, CHITTIPEDDI, SAILESH, CHEUNG, WAN YEE, YANG, TUNGSHENG
Application granted granted Critical
Publication of US5891784A publication Critical patent/US5891784A/en
Assigned to AGERE SYSTEMS INC. reassignment AGERE SYSTEMS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LUCENT TECHNOLOGIES INC., AT&T CORP.
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AGERE SYSTEMS LLC, LSI CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AGERE SYSTEMS LLC
Assigned to AGERE SYSTEMS LLC, LSI CORPORATION reassignment AGERE SYSTEMS LLC TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031) Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Anticipated expiration legal-status Critical
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to BELL SEMICONDUCTOR, LLC reassignment BELL SEMICONDUCTOR, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., BROADCOM CORPORATION
Assigned to BELL SEMICONDUCTOR, LLC reassignment BELL SEMICONDUCTOR, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., BROADCOM CORPORATION
Assigned to CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT reassignment CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC, HILCO PATENT ACQUISITION 56, LLC
Assigned to HILCO PATENT ACQUISITION 56, LLC, BELL SEMICONDUCTOR, LLC, BELL NORTHERN RESEARCH, LLC reassignment HILCO PATENT ACQUISITION 56, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: CORTLAND CAPITAL MARKET SERVICES LLC
Assigned to BELL SEMICONDUCTOR, LLC, HILCO PATENT ACQUISITION 56, LLC, BELL NORTHERN RESEARCH, LLC reassignment BELL SEMICONDUCTOR, LLC SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CORTLAND CAPITAL MARKET SERVICES LLC
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Definitions

  • This invention relates to semiconductor integrated circuits and to methods for their fabrication.
  • Semiconductor integrated circuits are often fabricated by creating raised topographic features upon a substrate. Then a dopant species is introduced into the substrate with the raised topographic features serving to mask a portion of the substrate.
  • a gate stack typically including a gate oxide with an overlying body of polysilicon
  • a dopant species is introduced into a silicon substrate by diffusion or ion implantation to create the source and drain regions on both sides of the gate stack.
  • the gate stack serves as a self-aligned mask shielding the channel under the gate from the dopant species.
  • the gate stack is subjected to the same environment as the to-be-formed source and drain regions are subjected.
  • the gate stack is exposed to ion implantation of the same dopant species as the to-be-formed source and drain regions.
  • the gate stack In the past, exposure of the gate stack to ion implantation species has not generally created a problem because the implanted species have been completely absorbed by the gate polysilicon. However, as integrated circuit geometries have continued to shrink, the thickness of gate stacks has also shrunk. If the thickness of the gate is too low relative to the implant dose energy, the implanted species may penetrate through the gate.
  • channeling Penetration of the implanted species through the gate is often termed "channeling." If the energy of the implanted species is great enough and the polysilicon grains are oriented with the direction of the implant species, then the range of implanted species becomes greater than the thickness of the gate stack, and the implanted species may arrive at the gate oxide-silicon interface with enough energy to penetrate into or perhaps through the gate oxide. Thus, channeling depends upon the size and orientation of the polysilicon, as well as the energy of the implant species. A single large grain, if oriented parallel to the implant direction, can permit channeling.
  • channeling When channeling occurs, the silicon surface beneath the gate may be inverted, leading to transistor leakage and/or shifts in the threshold voltage. Another adverse affect of channeling is gate oxide degradation. In addition, channeling may cause flat band voltage shifts in polysilicon capacitors in the same integrated circuit. Heretofore, the channeling problem has not posed a serious obstacle to integrated circuit designers because gate stacks in previous generation integrated circuits have been thick enough to prevent channeling.
  • FIGS. 1-26 are cross-sectional views presenting illustrative embodiments of the present invention.
  • reference numeral 11 denotes a substrate which may, typically, be silicon, epitaxial silicon, polysilicon, amorphous silicon, or doped silicon.
  • substrate refers to a body having a surface upon which other materials may be formed.
  • Reference numeral 13 denotes an oxide layer which may typically have a thickness between 30 ⁇ and 300 ⁇ .
  • Reference numeral 15 denotes a polysilicon layer which may or may not be doped.
  • the thickness of polysilicon layer 15 is typically desirably between 200 ⁇ and 5000 ⁇ .
  • Reference numeral 17 denotes a doped silicon dioxide layer.
  • the thickness of layer 17 is typically desirably between 100 ⁇ and 4000 ⁇ .
  • layer 17 may be formed as a single layer or sometimes as a bilayer.
  • layer 17 may be formed from BPSG having approximately 4% boron and 4% phosphorus by weight.
  • layer 17 may be formed from BPSG, having approximately 1% boron and 5% phosphorus.
  • layer 17 may be formed from PSG having a doping of approximately 2% or greater pphosphorus.
  • suitable materials for layer 17 are BPSG, plasma enhanced doped or undoped oxide, spin-on glass, silicon nitride (LPCVD or plasma enhanced CVD), or silicon oxynitride.
  • layer 17 may be a doped silicon dioxide formed from a variety of precursors such as TEOS, silane, DADBS, etc.
  • Layer 17 may be formed as a bilayer, as mentioned above.
  • layer 17 may be one of the forms of doped silicon oxide mentioned above formed over an undoped silicon oxide.
  • layer 17 may be a single silicon oxide layer whose doping gradually increases from bottom to top.
  • Layer 17 may also be a layer of silicon nitride with an underlying layer of silicon oxide which serves as an etch stop during subsequent etching steps.
  • Layer 21 is a patterned photoresist layer.
  • a gate stack is defined, preferably by utilizing patterned photoresist 21 to either partially or completely etch through layer 17. If layer 17 is a bilayer, only the upper layer may only be etched while photoresist 21 is in place. If layer 17 is a single layer, it may be etched completely.
  • resist 21 may be removed and the portion 117 of layer 17 beneath resist 21 may be used as a mask for subsequent etching which ultimately defines gate 23 shown in FIG. 3.
  • resist 21 may be permitted to remain in place during the entire etching process. (Removal of resist 21 often provides superior linewidth control.)
  • implantation species 25 is directed at gate 23 and substrate 11, forming shallow junctions 27 and 29.
  • Deposition of gate 23 is usually accomplished by dry etching of layers 15 and 17 followed by wet etching of layer 13.
  • Layer 17 helps to prevent channeling through gate stack 23.
  • spacers 31 and 33 are formed, preferably, from undoped silicon dioxide by depositing and then anisotropically etching a layer of silicon dioxide. Spacers 31 and 33 abut gate stack 23. Implantation species 35 is directed at gate stack 23 and substrate 11, forming deep junctions 31 and 33.
  • annealing steps are performed to drive in the combined junctions which, for convenience, are now designated by reference numerals 37 and 39.
  • layer 17 is removed by etching processes with high selectivity to silicon dioxide.
  • Layer 17 may also be removed utilizing NH 4 OH/H 2 O 2 .
  • NH 4 OH/H 2 O 2 is termed an ammonium peroxide (AP) clean.
  • AP ammonium peroxide
  • the preferred formula is eight parts H 2 O, two parts H 2 O 2 (30% concentrated), and one part concentrated NH 4 OH at approximately 80° C. Dry etch recipes may also be employed to remove layer 17.
  • silicon nitride is used as layer 17, it can be removed in hot phosphoric acid or in plasma using chemistries selective to oxide. In such an event a protective oxide layer may be previously formed on top of layer 15 to protect it from an attack by the plasma. Alternately, plasmaless dry etching using gas phase fluorides such as chlorine trifluoride, bromine trifluoride, iodide pentafluoride and xenon difluoride can be used.
  • gas phase fluorides such as chlorine trifluoride, bromine trifluoride, iodide pentafluoride and xenon difluoride can be used.
  • a dielectric may be blanket deposited, windows opened to expose junctions 37 and 39, and first level metallization formed.
  • Layer 17 has prevented channeling through the gate which consists of layers 13 and 15. Furthermore, layer 17 has been removed without risk of damage to the gate, the substrate, or the field oxide.
  • silicide is desired, either upon gate stack 23 or over junctions 37 and 39, a variety of processing options are available. The next few paragraphs will explain how silicide may be formed upon the gate 23 and junctions 37 and 39.
  • layer 41 of refractory metal is blanket deposited.
  • FIG. 7 illustrates that silicide regions 43, 45 and 47 have been formed after heat treatments known to those skilled in the art. No silicide forms upon oxide spacers 31 and 33. Unreacted refractory metal remaining upon spacers 33 and 31 may be removed by methods known to those skilled in the art.
  • a slightly different process may be employed. Starting from FIG. 3, a drive in step is performed to create regions 37 and 39 shown in FIG. 8. However, layer 17 is not removed. After regions 37 and 39 are formed, layer 49 of refractory metal, for example, titanium or cobalt, is deposited.
  • refractory metal for example, titanium or cobalt
  • silicide regions 51 and 55 in FIG. 9 are formed over junctions 37 and 39. No silicide is formed upon gate stack 23, because refractory metal 49 does not react to form a silicide with layer 17. Unreacted refractory metal is removed by methods known to those skilled in the art. Subsequently, layer 17 can be removed to lower the stack height.
  • FIG. 10 which is similar to FIG. 3 is created by the processes described above in the creation of FIGS. 1, 2 and 3.
  • oxide layer 17 is positioned above conductor 15 and gate oxide 13.
  • Source and drain regions are denoted by reference numerals 27 and 29, respectively. Spacers 200 are formed.
  • FIG. 11 the structure of FIG. 10 is subjected to an oxidizing ambient and thermal oxide 57 is grown upon substrate 11, covering source and drain regions 27 and 29.
  • oxide 17 is removed by techniques described above. Because oxide 17 is doped, it may be singly removed without a risk of damaging thermal oxide 57.
  • refractory metal layer 59 is deposited on top of conductor 15 and thermal oxide 57.
  • the structure has been exposed to a furnace treatment or a rapid thermal anneal process, thereby causing silicidation of polysilicon 15 by refractory metal 59. Silicidation cannot occur over source and drain region 27, 29 because of the presence of oxide 57.
  • the unsilicided refractory metal is removed, leaving only silicide 60 on top of conductor 15.
  • silicided gate having, for example, titanium silicide and source/drain regions having a different type of silicide, e.g., cobalt silicide.
  • reference numeral 11 denotes a silicon substrate covered by an oxide layer 13 having a typical thickness of 150 ⁇ , a polysilicon layer 15 having a typical thickness of 2000 ⁇ , and a silicide layer 73 having a typical thickness of 1000 ⁇ .
  • Silicide layer 73 is formed by chemical vapor deposition or sputtering. Alternatively, a refractory metal may be deposited and reacted to form a silicide.
  • Doped silicon dioxide layer 17 is deposited upon silicide layer 73. The oxide helps to prevent blistering or lifting of silicide 73 in subsequent processing.
  • gate stack 77 is formed utilizing the technique described above.
  • layer 17 upon gate stack 77 serves to protect the silicide 73 from ion implantation. If a spacer 200 is formed, it will protect the silicide in further processing, e.g., HF cleans where the silicide is titanium-silicide.
  • blanket layer 100 of refractory metal which may be a different refractory metal than that utilized in silicide 73, is deposited.
  • Refractory metal 100 is reacted by either rapid thermal annealing or furnace heating to form silicide 101 depicted in FIG. 18.
  • oxide 17 is removed.
  • Subsequent processing may include the blanket deposition of a dielectric in the creation of contact openings to the silicided source and drains.
  • the present invention may also be employed to form a transistor without a silicided source or drain region.
  • a gate having oxide 13, polysilicon conductor 15, and silicon oxide masking layer 17 is formed by the processes described previously.
  • Spacers 200 are formed by the blanket deposition of a dielectric and subsequent anisotropic etching of the dielectric. Ion implantation with dopant species 79 is performed to form source and drain 81 and 83.
  • the presence of layer 17 serves to protect the gate comprised of polysilicon 15 and 13 and the underlying portion of substrate 11 from channeling.
  • layer 17 is removed by the processes described above, leaving a gate comprised of polysilicon 15 which may, for example, have a thickness of 2000 ⁇ , and oxide 13 which may, for example, have a thickness of 90 ⁇ .
  • An anti-reflective coating typically of polysilicon, may be formed over the doped silicon dioxide layer, such as layer 17, and beneath the photoresist 21 (FIG. 1). Reflectivity of the underlying polysilicon 15, silicon dioxide 17 (or other materials as previously discussed) and ARC may be reduced by optimizing ARC and silicon dioxide thickness, thereby causing destructive interference of the light used to expose the photoresist.
  • the ARC is removed during the gate etching process.
  • the thickness of the silicon dioxide (sometimes termed a "hardmask”) is chosen to both: i) minimize or reduce reflection of the light used to expose the photoresist and ii) minimize or reduce implant channeling during source/drain (or lightly doped drain) implantation.
  • reference numeral 11 denotes a substrate which, as before, may be silicon, epitaxial silicon, polysilicon, amorphous silicon, or doped silicon.
  • Reference numeral 13 denotes an oxide layer which may typically have a thickness between 30 ⁇ and 300 ⁇ .
  • Reference numeral 15 denotes a polysilicon layer which may or may not be doped. Typically, polysilicon layer 15 is heavily doped. The thickness of polysilicon layer 15 is desirably between 200 ⁇ and 5000 ⁇ .
  • Reference numeral 16 denotes layer of undoped silicon dioxide. The thickness of layer 16 may be between 300 ⁇ and 5000 ⁇ .
  • Reference numeral 17 denotes a doped silicon dioxide layer. Typically, the thickness of layer 17 may be between 2600 ⁇ and 3200 ⁇ .
  • Layer 17 may be made from plasma-enhanced TEOS, doped boron and doped phosphorus.
  • Reference numeral 18 denotes an anti-reflective coating (ARC). Layer 18 may desirably be made from polysilicon, having a thickness of approximately 45 ⁇ .
  • layers 18, 17, and 16 have been etched to define a hardmask. Photoresist 21 has been subsequently removed.
  • polysilicon layer 15 has been etched. The etch process stops on gate oxide 13. ARC 18 is typically eluded in the etching of polysilicon layer 15.
  • source and drain regions 501 and 502 may be formed by ion implantation.
  • a layer of undoped silicon dioxide 500 typically formed from TEOS and having a thickness of approximately 180 ⁇ is deposited to prevent subsequent out-diffusion of the implanted dopants.
  • the implanted dopants are annealed. (In a twin-tub process, is subsequent implantation may be made in the opposite tub.)
  • an additional layer of undoped silicon dioxide is deposited and subsequently etched to form spacers 503 and 504. The etching process which defines the spacers 503 and 504 may also expose the upper surface 506 of BPTEOS 17 and the upper surface 507 of substrate 11.
  • FIG. 26 a second implant has been performed to define lightly doped drain structures 508 and 509. The previously-described etching process is utilized to remove doped layer 17.
  • the anti-reflective coating may be utilized in conjunction with the previously-mentioned processes for forming various silicides on the source and drain and/or gate. (Of course, silicides formed upon the gate, would be formed beneath layer 16.)
  • the BPTEOS hardmask is removed using the previously-described selective wet etch of ammonium peroxide/hydrogen peroxide at approximately 83° C. which does not etch the undoped TEOS spacers or the field oxide regions.
  • the gate stack height is reduced, thereby improving first level metal coverage.
  • the thin (180 ⁇ ) undoped TEOS layer helps to prevent flow and out-diffusion of the dopants from the hardmask. The process provides superior linewidth control thereby maximizing drive current of transistors and improving circuit performance.
  • a further advantage of the hardmask describes herein is that significant loading effects are not observed in single wafer etchers, possibly, because etchant species are not consumed by photoresist.

Abstract

A method of forming low stack height transistors having controllable linewidth in an integrated circuit without channeling is disclosed. A disposable hardmask of doped glass is utilized to define the gate and subsequently protect the gate (and the underlying substrate) during ion implantation which forms the source and drains. An anti-reflective coating helps protect against reflective gate notching. A variety of silicided and non-silicided) structures may be formed.

Description

This is a continuation in part of U.S. application Ser. No. 08/148,751 filed Nov. 5, 1993 and now abandoned.
TECHNICAL FIELD
This invention relates to semiconductor integrated circuits and to methods for their fabrication.
BACKGROUND OF THE INVENTION
Semiconductor integrated circuits are often fabricated by creating raised topographic features upon a substrate. Then a dopant species is introduced into the substrate with the raised topographic features serving to mask a portion of the substrate. For example, in the fabrication of semiconductor integrated circuits using field effect transistors (FETS), a gate stack (typically including a gate oxide with an overlying body of polysilicon) is formed upon a silicon substrate. Then a dopant species is introduced into a silicon substrate by diffusion or ion implantation to create the source and drain regions on both sides of the gate stack. As the dopant species is introduced, the gate stack serves as a self-aligned mask shielding the channel under the gate from the dopant species.
Of course, during the above-described dopant introduction, the gate stack is subjected to the same environment as the to-be-formed source and drain regions are subjected. For example, if ion implantation techniques are employed to create the source and drain, the gate stack is exposed to ion implantation of the same dopant species as the to-be-formed source and drain regions.
In the past, exposure of the gate stack to ion implantation species has not generally created a problem because the implanted species have been completely absorbed by the gate polysilicon. However, as integrated circuit geometries have continued to shrink, the thickness of gate stacks has also shrunk. If the thickness of the gate is too low relative to the implant dose energy, the implanted species may penetrate through the gate.
Penetration of the implanted species through the gate is often termed "channeling." If the energy of the implanted species is great enough and the polysilicon grains are oriented with the direction of the implant species, then the range of implanted species becomes greater than the thickness of the gate stack, and the implanted species may arrive at the gate oxide-silicon interface with enough energy to penetrate into or perhaps through the gate oxide. Thus, channeling depends upon the size and orientation of the polysilicon, as well as the energy of the implant species. A single large grain, if oriented parallel to the implant direction, can permit channeling.
When channeling occurs, the silicon surface beneath the gate may be inverted, leading to transistor leakage and/or shifts in the threshold voltage. Another adverse affect of channeling is gate oxide degradation. In addition, channeling may cause flat band voltage shifts in polysilicon capacitors in the same integrated circuit. Heretofore, the channeling problem has not posed a serious obstacle to integrated circuit designers because gate stacks in previous generation integrated circuits have been thick enough to prevent channeling.
SUMMARY OF THE INVENTION
These problems are alleviated by the present invention which illustratively includes:
forming a dielectric layer upon a substrate;
forming a conductive layer upon the dielectric layer;
forming a material layer overlying the conductive layer;
forming an anti-reflective coating upon said material layer;
forming a patterned resist upon said anti-reflective coating etching said anti-reflective coating;
at least partially etching the material layer to thereby form a raised feature;
removing the resist;
using the raised feature as a mask, anisotropically etching said conductive layer, thereby forming a gate;
forming a source and drain region; and
removing the mask.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1-26 are cross-sectional views presenting illustrative embodiments of the present invention.
DETAILED DESCRIPTION
In FIG. 1, reference numeral 11 denotes a substrate which may, typically, be silicon, epitaxial silicon, polysilicon, amorphous silicon, or doped silicon. In general, the term substrate refers to a body having a surface upon which other materials may be formed.
Reference numeral 13 denotes an oxide layer which may typically have a thickness between 30 Å and 300 Å.
Reference numeral 15 denotes a polysilicon layer which may or may not be doped. The thickness of polysilicon layer 15 is typically desirably between 200 Å and 5000 Å.
Reference numeral 17 denotes a doped silicon dioxide layer. The thickness of layer 17 is typically desirably between 100 Å and 4000 Å.
Desirably, layer 17 may be formed as a single layer or sometimes as a bilayer. For example, layer 17 may be formed from BPSG having approximately 4% boron and 4% phosphorus by weight. Alternatively, layer 17 may be formed from BPSG, having approximately 1% boron and 5% phosphorus. Furthermore, layer 17 may be formed from PSG having a doping of approximately 2% or greater pphosphorus. Other suitable materials for layer 17 are BPSG, plasma enhanced doped or undoped oxide, spin-on glass, silicon nitride (LPCVD or plasma enhanced CVD), or silicon oxynitride. Generally, layer 17 may be a doped silicon dioxide formed from a variety of precursors such as TEOS, silane, DADBS, etc.
Layer 17 may be formed as a bilayer, as mentioned above. For example, layer 17 may be one of the forms of doped silicon oxide mentioned above formed over an undoped silicon oxide. Alternatively, layer 17 may be a single silicon oxide layer whose doping gradually increases from bottom to top. Layer 17 may also be a layer of silicon nitride with an underlying layer of silicon oxide which serves as an etch stop during subsequent etching steps.
Layer 21 is a patterned photoresist layer.
Turning to FIG. 2, a gate stack is defined, preferably by utilizing patterned photoresist 21 to either partially or completely etch through layer 17. If layer 17 is a bilayer, only the upper layer may only be etched while photoresist 21 is in place. If layer 17 is a single layer, it may be etched completely.
In any case, after layer 17 has been subjected to the etch process for an appropriate period of time, resist 21 may be removed and the portion 117 of layer 17 beneath resist 21 may be used as a mask for subsequent etching which ultimately defines gate 23 shown in FIG. 3. Alternatively, resist 21 may be permitted to remain in place during the entire etching process. (Removal of resist 21 often provides superior linewidth control.)
In FIG. 3, after gate 23 is defined, implantation species 25 is directed at gate 23 and substrate 11, forming shallow junctions 27 and 29. (Definition of gate 23 is usually accomplished by dry etching of layers 15 and 17 followed by wet etching of layer 13.) Layer 17 helps to prevent channeling through gate stack 23.
Turning to FIG. 4, spacers 31 and 33 are formed, preferably, from undoped silicon dioxide by depositing and then anisotropically etching a layer of silicon dioxide. Spacers 31 and 33 abut gate stack 23. Implantation species 35 is directed at gate stack 23 and substrate 11, forming deep junctions 31 and 33.
Turning to FIG. 5, annealing steps, understood by those skilled in the art, are performed to drive in the combined junctions which, for convenience, are now designated by reference numerals 37 and 39. Next, layer 17 is removed by etching processes with high selectivity to silicon dioxide.
Wet etching formulas based upon HF tend to attack doped glass more quickly than undoped glass. However, such processes nevertheless do etch undoped glass and may cause undesirable reduction of the bird's beak, leading to transistor leakage.
Layer 17 may also be removed utilizing NH4 OH/H2 O2. The use of NH4 OH/H2 O2 is termed an ammonium peroxide (AP) clean. The preferred formula is eight parts H2 O, two parts H2 O2 (30% concentrated), and one part concentrated NH4 OH at approximately 80° C. Dry etch recipes may also be employed to remove layer 17.
If silicon nitride is used as layer 17, it can be removed in hot phosphoric acid or in plasma using chemistries selective to oxide. In such an event a protective oxide layer may be previously formed on top of layer 15 to protect it from an attack by the plasma. Alternately, plasmaless dry etching using gas phase fluorides such as chlorine trifluoride, bromine trifluoride, iodide pentafluoride and xenon difluoride can be used.
If silicide is not desired upon gate stack 23 or over junctions 37 and 39, conventional processing may begin at this point. For example, a dielectric may be blanket deposited, windows opened to expose junctions 37 and 39, and first level metallization formed.
Layer 17 has prevented channeling through the gate which consists of layers 13 and 15. Furthermore, layer 17 has been removed without risk of damage to the gate, the substrate, or the field oxide.
If silicide is desired, either upon gate stack 23 or over junctions 37 and 39, a variety of processing options are available. The next few paragraphs will explain how silicide may be formed upon the gate 23 and junctions 37 and 39.
Turning to FIG. 6, layer 41 of refractory metal is blanket deposited.
FIG. 7 illustrates that silicide regions 43, 45 and 47 have been formed after heat treatments known to those skilled in the art. No silicide forms upon oxide spacers 31 and 33. Unreacted refractory metal remaining upon spacers 33 and 31 may be removed by methods known to those skilled in the art.
Alternatively, if it is desired to form a silicide over junctions 37 and 39 without forming a silicide over gate stack 23, a slightly different process may be employed. Starting from FIG. 3, a drive in step is performed to create regions 37 and 39 shown in FIG. 8. However, layer 17 is not removed. After regions 37 and 39 are formed, layer 49 of refractory metal, for example, titanium or cobalt, is deposited.
After appropriate heat treatment, silicide regions 51 and 55 in FIG. 9 are formed over junctions 37 and 39. No silicide is formed upon gate stack 23, because refractory metal 49 does not react to form a silicide with layer 17. Unreacted refractory metal is removed by methods known to those skilled in the art. Subsequently, layer 17 can be removed to lower the stack height.
Should it be desired to form a silicided gate without silicided source or drain, the structure of FIG. 10 (which is similar to FIG. 3) is created by the processes described above in the creation of FIGS. 1, 2 and 3. In FIG. 10, oxide layer 17 is positioned above conductor 15 and gate oxide 13. Source and drain regions are denoted by reference numerals 27 and 29, respectively. Spacers 200 are formed.
Next, turning to FIG. 11, the structure of FIG. 10 is subjected to an oxidizing ambient and thermal oxide 57 is grown upon substrate 11, covering source and drain regions 27 and 29.
In FIG. 12, oxide 17 is removed by techniques described above. Because oxide 17 is doped, it may be singly removed without a risk of damaging thermal oxide 57.
Turning to FIG. 13, refractory metal layer 59 is deposited on top of conductor 15 and thermal oxide 57. In FIG. 14, the structure has been exposed to a furnace treatment or a rapid thermal anneal process, thereby causing silicidation of polysilicon 15 by refractory metal 59. Silicidation cannot occur over source and drain region 27, 29 because of the presence of oxide 57. Next, the unsilicided refractory metal is removed, leaving only silicide 60 on top of conductor 15.
Should a silicided gate be desired with silicided source or drains, the procedure initially depicted in FIG. 15 may also be followed. This procedure permits the formation of a silicided gate having, for example, titanium silicide and source/drain regions having a different type of silicide, e.g., cobalt silicide. In FIG. 15, reference numeral 11 denotes a silicon substrate covered by an oxide layer 13 having a typical thickness of 150 Å, a polysilicon layer 15 having a typical thickness of 2000 Å, and a silicide layer 73 having a typical thickness of 1000 Å. Silicide layer 73 is formed by chemical vapor deposition or sputtering. Alternatively, a refractory metal may be deposited and reacted to form a silicide. Doped silicon dioxide layer 17 is deposited upon silicide layer 73. The oxide helps to prevent blistering or lifting of silicide 73 in subsequent processing.
Turning to FIG. 16, gate stack 77 is formed utilizing the technique described above.
The presence of layer 17 upon gate stack 77 serves to protect the silicide 73 from ion implantation. If a spacer 200 is formed, it will protect the silicide in further processing, e.g., HF cleans where the silicide is titanium-silicide.
In FIG. 17, blanket layer 100 of refractory metal, which may be a different refractory metal than that utilized in silicide 73, is deposited. Refractory metal 100 is reacted by either rapid thermal annealing or furnace heating to form silicide 101 depicted in FIG. 18. Next, oxide 17 is removed. Subsequent processing may include the blanket deposition of a dielectric in the creation of contact openings to the silicided source and drains.
The present invention may also be employed to form a transistor without a silicided source or drain region. In FIG. 19, a gate having oxide 13, polysilicon conductor 15, and silicon oxide masking layer 17 is formed by the processes described previously. Spacers 200 are formed by the blanket deposition of a dielectric and subsequent anisotropic etching of the dielectric. Ion implantation with dopant species 79 is performed to form source and drain 81 and 83. The presence of layer 17 serves to protect the gate comprised of polysilicon 15 and 13 and the underlying portion of substrate 11 from channeling. Subsequently, layer 17 is removed by the processes described above, leaving a gate comprised of polysilicon 15 which may, for example, have a thickness of 2000 Å, and oxide 13 which may, for example, have a thickness of 90 Å.
An anti-reflective coating (ARC), typically of polysilicon, may be formed over the doped silicon dioxide layer, such as layer 17, and beneath the photoresist 21 (FIG. 1). Reflectivity of the underlying polysilicon 15, silicon dioxide 17 (or other materials as previously discussed) and ARC may be reduced by optimizing ARC and silicon dioxide thickness, thereby causing destructive interference of the light used to expose the photoresist. The ARC is removed during the gate etching process. The thickness of the silicon dioxide (sometimes termed a "hardmask") is chosen to both: i) minimize or reduce reflection of the light used to expose the photoresist and ii) minimize or reduce implant channeling during source/drain (or lightly doped drain) implantation.
Illustratively, in FIG. 21, reference numeral 11 denotes a substrate which, as before, may be silicon, epitaxial silicon, polysilicon, amorphous silicon, or doped silicon. Reference numeral 13 denotes an oxide layer which may typically have a thickness between 30 Å and 300 Å.
Reference numeral 15 denotes a polysilicon layer which may or may not be doped. Typically, polysilicon layer 15 is heavily doped. The thickness of polysilicon layer 15 is desirably between 200 Å and 5000 Å. Reference numeral 16 denotes layer of undoped silicon dioxide. The thickness of layer 16 may be between 300 Å and 5000 Å. Reference numeral 17 denotes a doped silicon dioxide layer. Typically, the thickness of layer 17 may be between 2600 Å and 3200 Å. Layer 17 may be made from plasma-enhanced TEOS, doped boron and doped phosphorus. Reference numeral 18 denotes an anti-reflective coating (ARC). Layer 18 may desirably be made from polysilicon, having a thickness of approximately 45 Å.
Turning to FIG. 2, layers 18, 17, and 16 have been etched to define a hardmask. Photoresist 21 has been subsequently removed.
In FIG. 22, polysilicon layer 15 has been etched. The etch process stops on gate oxide 13. ARC 18 is typically eluded in the etching of polysilicon layer 15.
In FIG. 24, source and drain regions 501 and 502 may be formed by ion implantation. A layer of undoped silicon dioxide 500, typically formed from TEOS and having a thickness of approximately 180 Å is deposited to prevent subsequent out-diffusion of the implanted dopants.
In FIG. 25, the implanted dopants are annealed. (In a twin-tub process, is subsequent implantation may be made in the opposite tub.) Next, an additional layer of undoped silicon dioxide is deposited and subsequently etched to form spacers 503 and 504. The etching process which defines the spacers 503 and 504 may also expose the upper surface 506 of BPTEOS 17 and the upper surface 507 of substrate 11.
In FIG. 26, a second implant has been performed to define lightly doped drain structures 508 and 509. The previously-described etching process is utilized to remove doped layer 17.
If desired, the anti-reflective coating (ARC) may be utilized in conjunction with the previously-mentioned processes for forming various silicides on the source and drain and/or gate. (Of course, silicides formed upon the gate, would be formed beneath layer 16.)
The BPTEOS hardmask is removed using the previously-described selective wet etch of ammonium peroxide/hydrogen peroxide at approximately 83° C. which does not etch the undoped TEOS spacers or the field oxide regions. By removing the hardmask prior to dielectric 1 deposition, the gate stack height is reduced, thereby improving first level metal coverage. During the anneal of the lightly doped drain portion, the thin (180 Å) undoped TEOS layer helps to prevent flow and out-diffusion of the dopants from the hardmask. The process provides superior linewidth control thereby maximizing drive current of transistors and improving circuit performance.
A further advantage of the hardmask describes herein is that significant loading effects are not observed in single wafer etchers, possibly, because etchant species are not consumed by photoresist.

Claims (6)

We claim:
1. A method of semiconductor integrated circuit fabrication comprising:
forming a dielectric layer upon a substrate;
forming a conductive layer upon said dielectric layer;
forming a material layer overlying said conductive layer;
forming an anti-reflective coating upon said material layer;
forming a patterned resist upon said antireflective coating by exposing said resist to light;
said anti-reflective coating having a thickness chosen in conjunction with a thickness of said material layer so as to reduce the total reflectivity of said light from said anti-reflective coating, said material and conductive layers;
etching said anti-reflective coating;
at least partially etching said material layer to thereby form a raised feature;
removing said resist; and then
using said raised feature as a mask, anistropically etching said conductive layer and said dielectric layer, thereby forming a gate;
forming source and drain regions; and then
removing said mask;
wherein the material layer is a silicon oxide layer whose doping increases from bottom to top.
2. The method of claim 1 in which said material layer is formed from the group consisting of BPSG and PSG.
3. The method of claim 1 further including the steps of:
prior to removal of said material layer, blanket depositing a refractory metal upon said material layer and upon said source and drain;
heating said refractory metal to form a silicide upon said source and drain and not upon said gate.
4. The method of claim 1 further including the steps of:
forming a protective layer over said source and drain;
exposing said conductive layer of said gate by removing said material layer;
depositing a refractory metal upon said conductive layer and upon said protective layer;
heating said refractory metal to form silicide upon said gate and not upon said source and drain.
5. The method of claim 1 further including the steps, prior to removal of said material layer, of:
blanket depositing a refractory metal upon said gate and source and drain region;
reacting said refractory metal to form a silicide upon said source and drain region and not upon said gate.
6. The method of claim 1, further including the steps of:
blanket depositing a refractory metal upon said gate and said source and drain region.
US08/430,084 1993-11-05 1995-04-27 Transistor fabrication method Expired - Lifetime US5891784A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/430,084 US5891784A (en) 1993-11-05 1995-04-27 Transistor fabrication method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14875193A 1993-11-05 1993-11-05
US08/430,084 US5891784A (en) 1993-11-05 1995-04-27 Transistor fabrication method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14875193A Continuation-In-Part 1993-11-05 1993-11-05

Publications (1)

Publication Number Publication Date
US5891784A true US5891784A (en) 1999-04-06

Family

ID=46252853

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/430,084 Expired - Lifetime US5891784A (en) 1993-11-05 1995-04-27 Transistor fabrication method

Country Status (1)

Country Link
US (1) US5891784A (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030541A (en) * 1998-06-19 2000-02-29 International Business Machines Corporation Process for defining a pattern using an anti-reflective coating and structure therefor
US6096642A (en) * 1998-06-08 2000-08-01 United Microelectronics Corp. Method of forming self-aligned silicide in integrated circuit without causing bridging effects
US6121096A (en) * 1999-03-17 2000-09-19 National Semiconductor Corporation Implant process utilizing as an implant mask, spacers projecting vertically beyond a patterned polysilicon gate layer
US6187644B1 (en) * 1999-09-08 2001-02-13 United Microelectronics Corp. Method of removing oxynitride by forming an offset spacer
US6191016B1 (en) * 1999-01-05 2001-02-20 Intel Corporation Method of patterning a layer for a gate electrode of a MOS transistor
DE19945425A1 (en) * 1999-09-22 2001-04-19 Infineon Technologies Ag Structuring a metal layer during semiconductor finishing comprises applying a lacquer layer to a semiconductor substrate, structuring and producing an etching mask and structuring the metal layer using the mask
US6291329B1 (en) * 1999-08-11 2001-09-18 Advanced Micro Devices, Inc. Protective oxide buffer layer for ARC removal
US6303443B1 (en) * 2000-08-21 2001-10-16 United Microelectronics Corp. Method of fabricating salicide in electrostatic discharge protection device
US6355546B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Thermally grown protective oxide buffer layer for ARC removal
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US6524939B2 (en) * 2001-02-23 2003-02-25 Vanguard International Semiconductor Corporation Dual salicidation process
US6528402B2 (en) * 2001-02-23 2003-03-04 Vanguard International Semiconductor Corporation Dual salicidation process
US6620717B1 (en) * 2002-03-14 2003-09-16 Advanced Micro Devices, Inc. Memory with disposable ARC for wordline formation
US20030190787A1 (en) * 2001-12-14 2003-10-09 Stmicroelectronics S.R.L. Process for realizing a channel scaled and small body gradient VDMOS for high current densities and low driving voltages
US20030199154A1 (en) * 2001-10-04 2003-10-23 Agarwal Vishnu K. Etch stop layer in poly-metal structures
US20030222287A1 (en) * 2002-05-30 2003-12-04 Koji Tamura Hard mask etch for gate polyetch
US6670277B2 (en) * 2001-04-26 2003-12-30 Renesas Technology Corp. Method of manufacturing semiconductor device
JP2004503927A (en) * 2000-06-16 2004-02-05 コミツサリア タ レネルジー アトミーク Method for forming integrated circuit stage in which fine patterns and wide patterns are mixed
US20040023500A1 (en) * 2002-08-05 2004-02-05 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
US20040043573A1 (en) * 2002-08-29 2004-03-04 Werner Juengling Reverse metal process for creating a metal silicide transistor gate structure
US6727166B1 (en) * 1999-11-17 2004-04-27 Koninklijke Philips Electronics N.V. Removal of silicon oxynitride material using a wet chemical process after gate etch processing
US6806154B1 (en) * 1998-10-08 2004-10-19 Integrated Device Technology, Inc. Method for forming a salicided MOSFET structure with tunable oxynitride spacer
US20040209432A1 (en) * 2003-04-16 2004-10-21 Ku Ja-Hum Nickel salicide process with reduced dopant deactivation
US20050181578A1 (en) * 2003-08-01 2005-08-18 Agarwal Kishnu K. Self-aligned poly-metal structures
US20060115972A1 (en) * 2004-11-29 2006-06-01 Texas Instruments, Inc. Multi-step process for patterning a metal gate electrode
US20060134916A1 (en) * 2004-12-17 2006-06-22 Prince Matthew J Poly open polish process
US20060228904A1 (en) * 2005-04-07 2006-10-12 Texas Instruments Incorporated Protection of silicon from phosphoric acid using thick chemical oxide
US20060228844A1 (en) * 2005-03-30 2006-10-12 Marcus Culmsee Integration scheme for fully silicided gate
US20070099362A1 (en) * 2005-10-31 2007-05-03 Dureseti Chidambarrao Low resistance contact semiconductor device structure
US20070298615A1 (en) * 2006-02-17 2007-12-27 Nobuyuki Matsuzawa Pattern forming method and method of manufacturing semiconductor devices
US20090108336A1 (en) * 2007-10-31 2009-04-30 Kai Frohberg Method for adjusting the height of a gate electrode in a semiconductor device
US20120068234A1 (en) * 2009-09-17 2012-03-22 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
CN103681264A (en) * 2012-09-26 2014-03-26 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor device and formation method of MOS transistor

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5139974A (en) * 1991-01-25 1992-08-18 Micron Technology, Inc. Semiconductor manufacturing process for decreasing the optical refelctivity of a metal layer
US5185279A (en) * 1987-03-31 1993-02-09 Kabushiki Kaisha Toshiba Method of manufacturing insulated-gate type field effect transistor
US5302538A (en) * 1992-08-04 1994-04-12 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing field effect transistor
US5346867A (en) * 1993-12-17 1994-09-13 Ford Motor Company Neutral gray absorbing glass comprising manganese oxide for selenium retention during processing
US5352631A (en) * 1992-12-16 1994-10-04 Motorola, Inc. Method for forming a transistor having silicided regions
US5354387A (en) * 1989-09-28 1994-10-11 Applied Materials, Inc. Boron phosphorus silicate glass composite layer on semiconductor wafer
US5395780A (en) * 1993-10-12 1995-03-07 Goldstar Electron Co., Ltd. Process for fabricating MOS transistor
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5445987A (en) * 1992-08-05 1995-08-29 Hitachi, Ltd. Method of manufacturing a nonvolatile memory including a memory cell having a MISFET
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5604157A (en) * 1995-05-25 1997-02-18 Industrial Technology Research Institute Reduced notching of polycide gates using silicon anti reflection layer
US5747388A (en) * 1992-09-18 1998-05-05 Siemens Aktiengesellschaft Antireflection layer and process for lithographically structuring a layer

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5185279A (en) * 1987-03-31 1993-02-09 Kabushiki Kaisha Toshiba Method of manufacturing insulated-gate type field effect transistor
US5354387A (en) * 1989-09-28 1994-10-11 Applied Materials, Inc. Boron phosphorus silicate glass composite layer on semiconductor wafer
US5139974A (en) * 1991-01-25 1992-08-18 Micron Technology, Inc. Semiconductor manufacturing process for decreasing the optical refelctivity of a metal layer
US5302538A (en) * 1992-08-04 1994-04-12 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing field effect transistor
US5445987A (en) * 1992-08-05 1995-08-29 Hitachi, Ltd. Method of manufacturing a nonvolatile memory including a memory cell having a MISFET
US5747388A (en) * 1992-09-18 1998-05-05 Siemens Aktiengesellschaft Antireflection layer and process for lithographically structuring a layer
US5352631A (en) * 1992-12-16 1994-10-04 Motorola, Inc. Method for forming a transistor having silicided regions
US5395780A (en) * 1993-10-12 1995-03-07 Goldstar Electron Co., Ltd. Process for fabricating MOS transistor
US5346867A (en) * 1993-12-17 1994-09-13 Ford Motor Company Neutral gray absorbing glass comprising manganese oxide for selenium retention during processing
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5604157A (en) * 1995-05-25 1997-02-18 Industrial Technology Research Institute Reduced notching of polycide gates using silicon anti reflection layer

Cited By (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096642A (en) * 1998-06-08 2000-08-01 United Microelectronics Corp. Method of forming self-aligned silicide in integrated circuit without causing bridging effects
US6030541A (en) * 1998-06-19 2000-02-29 International Business Machines Corporation Process for defining a pattern using an anti-reflective coating and structure therefor
US6806154B1 (en) * 1998-10-08 2004-10-19 Integrated Device Technology, Inc. Method for forming a salicided MOSFET structure with tunable oxynitride spacer
US6191016B1 (en) * 1999-01-05 2001-02-20 Intel Corporation Method of patterning a layer for a gate electrode of a MOS transistor
US6121096A (en) * 1999-03-17 2000-09-19 National Semiconductor Corporation Implant process utilizing as an implant mask, spacers projecting vertically beyond a patterned polysilicon gate layer
US6291329B1 (en) * 1999-08-11 2001-09-18 Advanced Micro Devices, Inc. Protective oxide buffer layer for ARC removal
US6355546B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Thermally grown protective oxide buffer layer for ARC removal
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US20030032291A1 (en) * 1999-09-03 2003-02-13 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching and by enhanced gas etching
US6905890B2 (en) 1999-09-03 2005-06-14 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching and by enhanced gas etching
US6187644B1 (en) * 1999-09-08 2001-02-13 United Microelectronics Corp. Method of removing oxynitride by forming an offset spacer
DE19945425A1 (en) * 1999-09-22 2001-04-19 Infineon Technologies Ag Structuring a metal layer during semiconductor finishing comprises applying a lacquer layer to a semiconductor substrate, structuring and producing an etching mask and structuring the metal layer using the mask
US6727166B1 (en) * 1999-11-17 2004-04-27 Koninklijke Philips Electronics N.V. Removal of silicon oxynitride material using a wet chemical process after gate etch processing
JP2004503927A (en) * 2000-06-16 2004-02-05 コミツサリア タ レネルジー アトミーク Method for forming integrated circuit stage in which fine patterns and wide patterns are mixed
JP4680477B2 (en) * 2000-06-16 2011-05-11 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ Method for forming an integrated circuit stage in which a fine pattern and a wide pattern are mixed
US6303443B1 (en) * 2000-08-21 2001-10-16 United Microelectronics Corp. Method of fabricating salicide in electrostatic discharge protection device
US6528402B2 (en) * 2001-02-23 2003-03-04 Vanguard International Semiconductor Corporation Dual salicidation process
US6524939B2 (en) * 2001-02-23 2003-02-25 Vanguard International Semiconductor Corporation Dual salicidation process
US6670277B2 (en) * 2001-04-26 2003-12-30 Renesas Technology Corp. Method of manufacturing semiconductor device
US7166527B2 (en) 2001-10-04 2007-01-23 Micron Technology, Inc. Etch stop layer in poly-metal structures
US6699777B2 (en) * 2001-10-04 2004-03-02 Micron Technology, Inc. Etch stop layer in poly-metal structures
US7508074B2 (en) 2001-10-04 2009-03-24 Micron Technology, Inc. Etch stop layer in poly-metal structures
US20030199154A1 (en) * 2001-10-04 2003-10-23 Agarwal Vishnu K. Etch stop layer in poly-metal structures
US20050153510A1 (en) * 2001-10-04 2005-07-14 Agarwal Vishnu K. Etch stop layer in poly-metal structures
US20070093025A1 (en) * 2001-10-04 2007-04-26 Agarwal Vishnu K Etch stop layer in poly-metal structures
US7297623B2 (en) 2001-10-04 2007-11-20 Micron Technology, Inc. Etch stop layer in poly-metal structures
US7094673B2 (en) 2001-10-04 2006-08-22 Micron Technology, Inc. Etch stop layer in poly-metal structures
US20040259338A1 (en) * 2001-10-04 2004-12-23 Agarwal Vishnu K. Etch stop layer in poly-metal structures
US6875679B2 (en) 2001-10-04 2005-04-05 Micron Technology, Inc. Etch stop layer in poly-metal structures
US7078327B2 (en) 2001-10-04 2006-07-18 Micron Technology, Inc. Self-aligned poly-metal structures
US20030190787A1 (en) * 2001-12-14 2003-10-09 Stmicroelectronics S.R.L. Process for realizing a channel scaled and small body gradient VDMOS for high current densities and low driving voltages
US6620717B1 (en) * 2002-03-14 2003-09-16 Advanced Micro Devices, Inc. Memory with disposable ARC for wordline formation
US20070090446A1 (en) * 2002-05-30 2007-04-26 Koji Tamura Hardmask etch for gate polyetch
US7163879B2 (en) * 2002-05-30 2007-01-16 Sharp Kabushiki Kaisha Hard mask etch for gate polyetch
US20030222287A1 (en) * 2002-05-30 2003-12-04 Koji Tamura Hard mask etch for gate polyetch
US20040023500A1 (en) * 2002-08-05 2004-02-05 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
US6803315B2 (en) 2002-08-05 2004-10-12 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
US20080038893A1 (en) * 2002-08-29 2008-02-14 Warner Juengling Reverse metal process for creating a metal silicide transistor gate structure
US7601598B2 (en) 2002-08-29 2009-10-13 Micron Technology, Inc. Reverse metal process for creating a metal silicide transistor gate structure
US6821855B2 (en) * 2002-08-29 2004-11-23 Micron Technology, Inc. Reverse metal process for creating a metal silicide transistor gate structure
US20040043573A1 (en) * 2002-08-29 2004-03-04 Werner Juengling Reverse metal process for creating a metal silicide transistor gate structure
US20050124106A1 (en) * 2002-08-29 2005-06-09 Werner Juengling Reverse metal process for creating a metal silicide transistor gate structure
US7288817B2 (en) 2002-08-29 2007-10-30 Micron Technology, Inc. Reverse metal process for creating a metal silicide transistor gate structure
US20040209432A1 (en) * 2003-04-16 2004-10-21 Ku Ja-Hum Nickel salicide process with reduced dopant deactivation
US7232756B2 (en) 2003-04-16 2007-06-19 Samsung Electronics Co., Ltd. Nickel salicide process with reduced dopant deactivation
DE102004019199B4 (en) * 2003-04-16 2012-02-16 Samsung Electronics Co., Ltd. Method for producing a semiconductor component
US20050181578A1 (en) * 2003-08-01 2005-08-18 Agarwal Kishnu K. Self-aligned poly-metal structures
US7508075B2 (en) 2003-08-01 2009-03-24 Micron Technology, Inc. Self-aligned poly-metal structures
US20060115972A1 (en) * 2004-11-29 2006-06-01 Texas Instruments, Inc. Multi-step process for patterning a metal gate electrode
US7323403B2 (en) * 2004-11-29 2008-01-29 Texas Instruments Incroporated Multi-step process for patterning a metal gate electrode
US7422969B2 (en) 2004-11-29 2008-09-09 Texas Instruments Incorporated Multi-step process for patterning a metal gate electrode
US20080020558A1 (en) * 2004-11-29 2008-01-24 Texas Instruments Incorporated Multi-Step Process for Patterning a Metal Gate Electrode
US7166506B2 (en) * 2004-12-17 2007-01-23 Intel Corporation Poly open polish process
US20060134916A1 (en) * 2004-12-17 2006-06-22 Prince Matthew J Poly open polish process
US20060228844A1 (en) * 2005-03-30 2006-10-12 Marcus Culmsee Integration scheme for fully silicided gate
US7544553B2 (en) * 2005-03-30 2009-06-09 Infineon Technologies Ag Integration scheme for fully silicided gate
US7384869B2 (en) * 2005-04-07 2008-06-10 Texas Instruments Incorporated Protection of silicon from phosphoric acid using thick chemical oxide
US20060228904A1 (en) * 2005-04-07 2006-10-12 Texas Instruments Incorporated Protection of silicon from phosphoric acid using thick chemical oxide
US7439123B2 (en) * 2005-10-31 2008-10-21 International Business Machines Corporation Low resistance contact semiconductor device structure
US20070099362A1 (en) * 2005-10-31 2007-05-03 Dureseti Chidambarrao Low resistance contact semiconductor device structure
US20070298615A1 (en) * 2006-02-17 2007-12-27 Nobuyuki Matsuzawa Pattern forming method and method of manufacturing semiconductor devices
DE102007052167A1 (en) * 2007-10-31 2009-05-07 Advanced Micro Devices, Inc., Sunnyvale Method for adjusting the height of a gate electrode in a semiconductor device
DE102007052167B4 (en) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale A semiconductor device and method for adjusting the height of a gate electrode in the semiconductor device
GB2466759A (en) * 2007-10-31 2010-07-07 Globalfoundries Inc Method for adjusting the height of a gate electrode in a semiconductor device
US20100190309A1 (en) * 2007-10-31 2010-07-29 Globalfoundries Inc. Method for adjusting the height of a gate electrode in a semiconductor device
WO2009058242A1 (en) * 2007-10-31 2009-05-07 Advanced Micro Devices, Inc. Method for adjusting the height of a gate electrode in a semiconductor device
US20090108336A1 (en) * 2007-10-31 2009-04-30 Kai Frohberg Method for adjusting the height of a gate electrode in a semiconductor device
US8361844B2 (en) 2007-10-31 2013-01-29 Globalfoundries Inc. Method for adjusting the height of a gate electrode in a semiconductor device
CN101990701B (en) * 2007-10-31 2015-08-19 格罗方德半导体公司 For adjusting the method for the height of gate electrode in semiconductor device
US20120068234A1 (en) * 2009-09-17 2012-03-22 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
CN103681264A (en) * 2012-09-26 2014-03-26 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor device and formation method of MOS transistor

Similar Documents

Publication Publication Date Title
US5891784A (en) Transistor fabrication method
US5208472A (en) Double spacer salicide MOS device and method
US6162741A (en) Semiconductor device and manufacturing method therefor
US5930627A (en) Process improvements in self-aligned polysilicon MOSFET technology using silicon oxynitride
US5902127A (en) Methods for forming isolation trenches including doped silicon oxide
US6049114A (en) Semiconductor device having a metal containing layer overlying a gate dielectric
US5744395A (en) Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
US5610099A (en) Process for fabricating transistors using composite nitride structure
KR100484372B1 (en) Methods of forming semiconductor structures
US5585295A (en) Method for forming inverse-T gate lightly-doped drain (ITLDD) device
US6630721B1 (en) Polysilicon sidewall with silicide formation to produce high performance MOSFETS
US6448167B1 (en) Process flow to reduce spacer undercut phenomena
US6642112B1 (en) Non-oxidizing spacer densification method for manufacturing semiconductor devices
JPH0797571B2 (en) Method for forming a contact window in a semiconductor structure
JPH0620079B2 (en) Method for forming refractory metal silicide layer
US6436752B1 (en) Disposable spacer and method of forming and using same
US8030199B2 (en) Transistor fabrication method
US5801077A (en) Method of making sidewall polymer on polycide gate for LDD structure
US5494848A (en) Buried contact trench process
US20020197837A1 (en) Method of forming a MOS transistor of a semiconductor device
US5858846A (en) Salicide integration method
US6746927B2 (en) Semiconductor device having a polysilicon line structure with increased metal silicide portions and method for forming the polysilicon line structure of a semiconductor device
US6498080B1 (en) Transistor fabrication method
US6274445B1 (en) Method of manufacturing shallow source/drain junctions in a salicide process
US7232751B2 (en) Semiconductor device and manufacturing method therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: AT&T IPM CORPORATION, FLORIDA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEUNG, WAN YEE;CHITTIPEDDI, SAILESH;FU, CHONG-CHENG;AND OTHERS;REEL/FRAME:007768/0982;SIGNING DATES FROM 19950428 TO 19950706

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: AGERE SYSTEMS INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AT&T CORP.;LUCENT TECHNOLOGIES INC.;REEL/FRAME:015980/0375;SIGNING DATES FROM 19960329 TO 20010130

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:LSI CORPORATION;AGERE SYSTEMS LLC;REEL/FRAME:032856/0031

Effective date: 20140506

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AGERE SYSTEMS LLC;REEL/FRAME:035365/0634

Effective date: 20140804

AS Assignment

Owner name: AGERE SYSTEMS LLC, PENNSYLVANIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

AS Assignment

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;BROADCOM CORPORATION;REEL/FRAME:044886/0001

Effective date: 20171208

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;BROADCOM CORPORATION;REEL/FRAME:044886/0608

Effective date: 20171208

AS Assignment

Owner name: CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERA

Free format text: SECURITY INTEREST;ASSIGNORS:HILCO PATENT ACQUISITION 56, LLC;BELL SEMICONDUCTOR, LLC;BELL NORTHERN RESEARCH, LLC;REEL/FRAME:045216/0020

Effective date: 20180124

AS Assignment

Owner name: BELL NORTHERN RESEARCH, LLC, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:060885/0001

Effective date: 20220401

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:060885/0001

Effective date: 20220401

Owner name: HILCO PATENT ACQUISITION 56, LLC, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:060885/0001

Effective date: 20220401

Owner name: BELL NORTHERN RESEARCH, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401

Owner name: HILCO PATENT ACQUISITION 56, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401