US6187620B1 - Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions - Google Patents

Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions Download PDF

Info

Publication number
US6187620B1
US6187620B1 US09/189,266 US18926698A US6187620B1 US 6187620 B1 US6187620 B1 US 6187620B1 US 18926698 A US18926698 A US 18926698A US 6187620 B1 US6187620 B1 US 6187620B1
Authority
US
United States
Prior art keywords
spacers
type impurity
gate conductor
impurity distribution
residual
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/189,266
Inventor
H. Jim Fulford, Jr.
Mark I. Gardner
Derick J. Wristers
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US09/189,266 priority Critical patent/US6187620B1/en
Application granted granted Critical
Publication of US6187620B1 publication Critical patent/US6187620B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • This invention relates to semiconductor processing and, more particularly, to a CMOS integrated circuit having dissimilarly placed graded junctions produced by successive removal of a gate conductor sidewall spacer.
  • MOS transistor Metal-oxide semiconductor (“MOS”) transistor is well known.
  • the manufacture of an MOS transistor begins by defining active areas where the transistor will be formed.
  • the active areas are isolated from other areas on the semiconductor substrate by various isolation structures formed upon and within the substrate.
  • Isolation structures come in many forms.
  • the isolation structures can be formed by etching trenches into the substrate and then filling the trenches with a dielectric fill material.
  • Isolation structures may also be formed by locally oxidizing the silicon substrate using the well recognized LOCOS technique.
  • a gate dielectric is formed.
  • the gate dielectric is formed by thermal oxidation of the silicon substrate. Thermal oxidation is achieved by subjecting the substrate to an oxygen-bearing, heated ambient in, for example, an oxidation furnace or a rapid thermal anneal (“RTA”) chamber.
  • the conductor material is then deposited across the entire dielectric-covered substrate.
  • the gate conductor material is preferably polycrystalline silicon, or polysilicon.
  • the polysilicon layer is then patterned using a photolithography mask. The mask allows selective removal of a light-sensitive material deposited entirely across polysilicon.
  • the material which is exposed can, according to one embodiment, be polymerized, and that which is not exposed removed. Selective polymerization is often referred to as the “develop” stage of lithography. The regions which are non-polymerized are removed using the etch stage of lithography.
  • NMOS transistor An n-channel transistor, or NMOS transistor, must in most instances be fabricated differently from a p-channel transistor, or PMOS transistor.
  • NMOS transistors employ n-type dopants on opposite sides of the NMOS gate conductor
  • PMOS transistors employ p-type dopants on opposite sides of the PMOS transistor gate conductor.
  • the regions of the substrate which receive dopants on opposite sides of the gate conductor are generally referred to as junction regions, and the distance between junction regions is typically referred to as the physical channel length. After implantation and subsequent diffusion of the junction regions, the distance between the junction regions become less than the physical channel length and is often referred to as the effective channel length (“Leff”). In high density designs, not only does the physical channel length become small so too must the Leff. As Leff decreases below approximately 1.0 ⁇ m, for example, a problem known as short channel effects (“SCE”) becomes predominant.
  • SCE short channel effects
  • HCE hot-carrier effects
  • the electric field gradient often referred to as the maximum electric field (“Em”), occurs near the drain during saturated operation. More specifically, the electric field is predominant at the lateral junction of the drain adjacent to the channel. The electric field at the drain causes primarily electrons in the channel to gain kinetic energy and become “hot”. These hot electrons traveling to the drain lose their energy by a process called impact ionization. Impact ionization serves to generate electron-hole pairs, wherein the pairs migrate to and become injected within the gate dielectric near the drain junction.
  • Em maximum electric field
  • Traps within the gate dielectric generally become electron traps, even if they are partially filled with holes. As a result, there is a net negative charge density in the gate dielectric.
  • the trapped charge accumulates with time, resulting in a positive threshold shift in the NMOS transistor, or a negative threshold shift in a PMOS transistor. It is known that since hot electrons are more mobile than hot holes, HCE causes a greater threshold skew in NMOS transistors than PMOS transistors. Nonetheless, a PMOS transistor will undergo negative threshold skew if its Leff is less than, e.g., 0.8 ⁇ m.
  • DDD double-diffised drain
  • LDD lightly doped drain
  • a conventional LDD structure is one whereby a light concentration of dopant is self-aligned to the edge of the gate conductor. The light-dopant concentration is then followed by a heavier-dopant concentration which is self-aligned to a spacer formed on the sidewalls of the gate conductor.
  • the purpose of the first implant dose is to produce a lightly doped section of both the source and drain junction areas at the gate edge near the channel.
  • the second implant dose is spaced from the channel a distance dictated by the thickness of the sidewall spacer. Resulting from the first and second implants, a dopant gradient occurs across the junction from the source/drain area of the junction to the LDD area adjacent the channel.
  • the dopant gradient across the junction is necessary for several reasons.
  • the lightly doped region (LDD area) is used to assume a substantial portion of the entire voltage drop associated with Em. It has been reported that the LDD area may in some instances reduce Em at the drain juncture by approximately 30-40%.
  • the heavier dosage within the source/drain area forms a low resistivity region suitable for enhanced contact conductivity. Further, the source/drain dose is implanted at a higher energy necessary to produce deeper source/drain junctions and thereby provide better protection against junction spiking.
  • an NMOS device requires an LDD area more so than a PMOS device.
  • an unduly large LDD area would hamper NMOS performance by increasing the source-drain resistance.
  • the dopants used to form a PMOS device e.g., boron
  • the p-type dopants regularly segregate and migrate from their original implant position toward and into the channel area. This lessens Leff and produces deleterious SCE problems.
  • CMOS fabrication process which can produce NMOS junctions dissimilar from PMOS junctions. If the NMOS junction is graded such that the LDD area is relatively small, in conjunction with other HCE-prevent dopant areas, then performance may be enhanced. Similarly, if the PMOS junction can be graded such that the highly mobile source/drain implants are drawn further from the channel then SCE can be more carefully controlled.
  • the problems outlined above are in large part solved by an improved fabrication process hereof.
  • the process can be used to form a PMOS transistor, an NMOS transistor, or CMOS device (having both PMOS and NMOS transistors), wherein the transistors have junctions of possibly dissimilar dopant gradients.
  • the NMOS transistor includes a junction having numerous implant areas of increasing concentration as they exist in successive areas spaced from the transistor channel.
  • the NMOS transistor may include an LDD area, at least one medium doped drain (“MDD”) area and a source/drain area.
  • the LDD serves to absorb and thereby lessen Em and the problems of HCE.
  • the MDD serves not only to lessen Em but also to enhance contact conductivity and source-drain responsiveness.
  • the PMOS transistor may be formed on a separate monolithic substrate or on the same substrate as the NMOS transistor in accordance with the present CMOS process.
  • the PMOS transistor includes a less graded junction then that of the counterpart NMOS transistor. More specifically, the PMOS transistor may merely employ an LDD area and a source/drain area.
  • the source/drain area is drawn away from the PMOS channel. This is due to the highly mobile nature of boron atoms which exist in the PMOS source/drain area. The mobile boron atoms readily migrate from the heavier concentration source/drain region toward the channel. By drawing the source/drain area further from the channel, a lessened opportunity exists to migrate into the channel and thereby change the designed PMOS operation.
  • the benefits of having dissimilar graded junctions, depending upon whether a PMOS transistor or an NMOS transistor is employed, are herein achieved using a spacer which can be gradually removed.
  • the removable spacer is configured upon the outer surface of a dielectric-covered gate conductor.
  • the removable spacer exists exclusively on sidewall surfaces of an oxide-covered conductor.
  • the gate conductor comprises polycrystalline silicon (“polysilicon”) having thermally grown oxide on its upper and sidewall surfaces.
  • the removable spacer preferably comprises a material which has a dissimilar etch characteristic from that of the oxide-covered polysilicon.
  • the spacer material must be chosen such that it can be removed partially in steps, and thereafter completely removed while not sufficiently attacking material underneath the oxide.
  • the removable spacer preferably comprises any material which has a dissimilar etch characteristic from polycrystalline oxide, a suitable material being nitride (i.e., silicon nitride) or polysilicon.
  • the removable spacer can be etched in steps to reduce its thickness. After each step, an implant may be undertaken. Successive etch steps interspersed with decreasing implant concentrations causes a graded junction to be formed in the semiconductor substrate. Removal of the spacer can vary depending upon whether the spacer exists on a sidewall surface of an NMOS transistor or a PMOS transistor. If the NMOS transistor is to have a junction with a more gradual grading, then the number of etch steps followed by implant steps will be larger for the NMOS transistor than the PMOS transistor. Both transistor types can undergo partial removal of their spacers; however, the number of implants following spacer etch may be different depending upon whether the NMOS junction is implanted versus the PMOS junction. For example, only two implants may be needed to form a PMOS junction, whereas more than two may be required for an NMOS junction.
  • the present sequence forms source/drain regions prior to the lesser concentration MDD and LDD regions. This is beneficial in that a higher temperature anneal takes place before a lower temperature anneal.
  • the higher temperature anneal is needed to activate the higher concentration source/drain dopants.
  • lower temperatures are all that is required to activate the lower concentration LDD and MDD implants.
  • the lower temperature anneals which occur subsequent to the higher temperature anneals help prevent substantial movement of highly mobile dopants, such as boron present near the channel region.
  • Higher temperature anneals on the source/drain dopants will cause migration but, since the dopants exist a spaced region away from the channel, migration will not generally occur into the channel. It is the LDD area that must incur minimal temperature anneals since those areas pre-exist near the channel.
  • the present invention contemplates a CMOS integrated circuit having possibly numerous transistors.
  • Each transistor comprises a gate conductor dielectrically spaced over the semiconductor substrate.
  • the gate conductor has opposed sidewall surfaces on which and into which an oxide is configured. Extending laterally from the oxide-covered sidewall surfaces is a removable spacer. The spacer is not only partially removable in successive steps, but can be entirely removed if desired.
  • the oxide which covers the gate conductor serves as an etch stop. The etch stop prevents the etchant species from disrupting and contaminating the underlying polysilicon gate material and/or single crystalline silicon substrate material.
  • the integrated circuit comprises both NMOS and PMOS transistors.
  • Each transistor comprises a spacer which is at least partially reducible in response to a first etch.
  • a first implant species is forwarded into the semiconductor substrate, wherein the thickness of the spacer serves to mask the first implant species.
  • a second etch may be applied to further reduce the spacer thickness after the first implant species has been forwarded into the semiconductor substrate.
  • a second implant species may then be forwarded into the semiconductor substrate after the second etch has been concluded.
  • Successive removal and implantation steps preferably forms a junction area defined as having at least three regions of increasing dopant concentration extending respective incremental distances from the gate conductor or, more specifically, the channel region underlying the gate conductor.
  • the present invention further contemplates a method for forming an integrated circuit.
  • the method includes the steps of providing a semiconductor topography and patterning first and second gate conductors upon the semiconductor topography. A spacer is then formed on sidewall surfaces of both the first and second gate conductors.
  • the second gate conductor is then covered and, using the first gate conductor and the spacer as a mask, a first n-type dopant is implanted into the semiconductor topography. Thereafter, the first gate conductor is covered and, using the second gate conductor and the spacer as a mask, a first p-type dopant is implanted into the semiconductor substrate. A portion of the spacer is then removed to form a first residual spacer.
  • the steps of covering the first and second gate conductors may be repeated or, alternatively, only the second gate conductor need be covered.
  • the first gate conductor and the first residual spacer is used as a mask, and a second n-type dopant is implanted into the semiconductor substrate of lesser concentration than the first n-type concentration.
  • another portion of the spacer may be removed to form a second residual spacer, if desired.
  • the second gate conductor may be covered and, using the first gate conductor and the second residual spacer as a mask, a third n-type dopant may be implanted into the semiconductor topography.
  • the third n-type dopant is preferably of lesser concentration than the second n-type dopant. Thereafter, the spacer may be entirely removed.
  • fourth n-type dopant species may be implanted into the semiconductor topography during times when the second gate conductor is covered and only the first gate conductor serves as a mask. Conversely, the first gate conductor may be covered and, using only the second gate conductor as a mask, a second p-type dopant may be implanted into the semiconductor topography.
  • the fourth n-type dopant is of lesser concentration than the third n-type dopant, and the second p-type dopant is of lesser concentration than the first p-type dopant.
  • FIG. 1 is a partial cross-sectional view of a semiconductor topography showing a gate dielectric formed upon active areas of the topography;
  • FIG. 2 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 1, wherein gate conductors are formed having sidewall oxides;
  • FIG. 3 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 2, wherein a removable spacer is formed upon the sidewall oxides;
  • FIG. 4 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 3, wherein an n-type source/drain implant is forwarded into the semiconductor substrate;
  • FIG. 5 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 4, wherein a p-type source/drain implant is forwarded into the semiconductor substrate;
  • FIG. 6 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 5, wherein the removable spacers are partially removed;
  • FIG. 7 is a detailed view along region 7 of FIG. 6 illustrating the contours of the spacer as it is being removed (etched);
  • FIG. 8 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 6, wherein an n-type MDD implant is forwarded into the semiconductor substrate;
  • FIG. 9 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 8, wherein additional spacer material is removed;
  • FIG. 10 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 9, wherein another n-type MDD implant is forwarded into the semiconductor substrate;
  • FIG. 11 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 10, wherein the removable spacer is entirely removed;
  • FIG. 12 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 11, wherein an n-type LDD implant is forwarded into the semiconductor substrate;
  • FIG. 13 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 12, wherein a p-type LDD implant is forwarded into the semiconductor substrate.
  • FIG. 1 illustrates a partial cross-sectional view of a semiconductor topography 10 .
  • Topography 10 includes a semiconductor substrate 12 into which and upon which various isolation structures 14 are formed.
  • Isolation structure can be formed using either the shallow trench process, or the LOCOS process.
  • a shallow trench process is illustrated as an exemplary isolation structure.
  • Isolation structure 14 comprises a dielectric which does not readily allow gate dielectric formation. Instead, a gate dielectric 16 is formed in regions absent structure 14 .
  • Gate dielectric 16 is preferably formed according to a thermal oxidation process upon and within the silicon-based substrate 12 . The thickness of the grown oxide depends upon the processing parameters desired, and the needed performance of the ensuing transistor.
  • gate conductors 18 are patterned from a layer of material 18 a .
  • material 18 a comprises a layer of polycrystalline silicon.
  • the polycrystalline silicon (“polysilicon”) is selectively removed using well-known lithography procedures. The remaining portions of the polysilicon layer form gate conductors 18 .
  • Each gate conductor may thereby comprise polysilicon patterned upon gate dielectric 16 , and the combination of gate conductor 18 and gate dielectric 16 exist in select regions, defined as active regions of semiconductor topography 10 . Active regions are those regions which exist between isolation structures 14 .
  • gate conductor 18 includes a polysilicon oxide 19 . Polysilicon oxide 19 exists on the upper and sidewall surfaces of gate conductor 18 , and is grown according to well-known thermal oxidation procedures.
  • FIG. 3 depicts a removable material 20 a deposited across the entire topography 10 .
  • Material 20 a can be deposited using various techniques, a suitable technique being chemical vapor deposition (“CVD”). Alternatively, material 20 a may be spin-on deposited. In either instance, material 20 a conforms to the upper topography over and between gate conductors 18 . Thereafter, an anisotropic etch is applied to material 20 a .
  • the anisotropic etch is chosen as a dry etch or plasma etch, and serves to remove material from horizontal surfaces at a faster rate than material from vertical surfaces. Plasma etch techniques are often referred to as “ion-assisted etch”.
  • the wafer generally resides on a chuck, and ions from an ion source are directed at a perpendicular angle upon the wafer.
  • the ions dislodge material from the exposed topography, and the chemical (gaseous) etchant interacts with the bond sites to aid in the removal process.
  • the etch duration is terminated, however, before the entirety of material 20 a is removed. Accordingly, anisotropic etch duration is chosen to remove material from horizontal surfaces while retaining some material on vertical surfaces. Retainage occurs primarily on the sidewall surfaces of 18 c and 18 d of the oxide-covered gate conductor 18 . The retained portion is henceforth referred to as a removable spacer 20 .
  • the deposition thickness of material 20 a is varied to account for a desired thickness which would preferably equal if not exceed the thickness of gate conductor 18 .
  • FIG. 4 illustrates the masking of an n-type source/drain implant 24 .
  • Implant 24 is preferably arsenic which is implanted at a substantially heavy concentration and at significant energies to form NMOS source/drain regions 26 .
  • Spacers 20 , gate conductor 18 and implant masking material 28 serve to mask implant species 24 from the channel area of NMOS transistor 30 as well as from the entire active region of PMOS transistor 32 .
  • Spacer 20 and, more specifically, the horizontal thickness of spacer 20 serves to mask implant species 24 away from the channel underneath NMOS transistor 30 .
  • the process used in forming source/drain regions 26 is repeated to form PMOS source/drain regions 36 . This repeated process is shown in FIG. 5 .
  • the implant species used in forming regions 36 are shown in FIG. 5 as p-type species which, according to one embodiment, comprise boron such as boron found in, for example, BF 2 or B 11 .
  • Boron implant 38 is of relatively high concentrations, and is implanted at relatively high energies that form the corresponding source/drain regions.
  • a masking material 40 is used to cover the active areas of NMOS transistor 30 while leaving only spacer 20 and gate conductor 18 to mask active areas of the PMOS transistor 32 .
  • Masking material 28 is formed as shown in FIG. 4 by depositing a light-sensitive material, such as photoresist across the entire topography. Thereafter, select regions of that material are exposed and then removed using conventional lithography techniques. As such, material 28 is patterned to cover select areas prior to n-type implant. Thereafter, material 28 is readily removed in readiness for repeating the deposition, select exposure and etch process to form material 40 in FIG. 5 .
  • a light-sensitive material such as photoresist across the entire topography.
  • select regions of that material are exposed and then removed using conventional lithography techniques.
  • material 28 is patterned to cover select areas prior to n-type implant. Thereafter, material 28 is readily removed in readiness for repeating the deposition, select exposure and etch process to form material 40 in FIG. 5 .
  • the mechanism for patterning a photoresist is generally known in the art.
  • the selective formation of a masking material upon specific active areas is also known.
  • FIG. 6 illustrates partial removal of spacer 20 on sidewall surfaces of gate conductors attributed to both PMOS and NMOS devices.
  • spacer 20 comprises nitride or polysilicon which can be etched according to various wet etch techniques. Accordingly, the etch process is preferably isotropic. If spacer 20 comprises nitride (i.e., silicon nitride—Si 3 N 4 ), then a suitable etch comprises reflux boiling phosphoric acid. Not shown but present is an oxide layer which forms across the semiconductor substrate at the same time in which oxide 19 is formed on the polysilicon sidewall surfaces. The oxide serves as a protectant against the spacer etchant and also serves to protect the substrate during the previous source/drain implantation.
  • the etchant is preferably a mixture of nitric acid and hydrofluoric acid. Regardless of the composition of spacer 20 , the aforesaid etchant substantially attacks spacer 20 yet does not attack the oxide etch stop/barrier 19 existing on the upper surface of the polysilicon gate conductor, the sidewall surfaces of the polysilicon gate conductor and the upper surfaces of the semiconductor substrate active regions.
  • the mixtures of polysilicon etchant or nitride etchant can be varied to not only achieve the desired selectivity, but also to control the rate at which spacer 20 is removed. For example, a low HF and high HNO 3 ratio will remove polysilicon spacer 20 at a slower etch rate.
  • FIG. 7 depicts etchant profiles 40 a , 40 b , 40 c , etc.
  • Profiles 40 indicate a steady decrease in both the horizontal and vertical directions 42 a and 42 b , respectively, of residual spacer 20 b .
  • Contours 40 change in accordance with the duration of the etch step. The duration is terminated after a pre-defined amount of time to produce a residual spacer 20 b having a horizontal distance which is drawn inward toward the respective gate conductors of both the NMOS and PMOS transistors.
  • the change in the spacer thickness proves beneficial in allowing a subsequent implant to occur as shown in FIG. 8 .
  • FIG. 8 depicts a masking material 46 formed in accordance with the formation of masking material 28 shown if FIG. 4 .
  • Masking material 46 in conjunction with gate conductor 18 and residual spacer 20 b allows masking of n-type implant species 48 .
  • Implant species 48 is denoted as a MDD implant which is placed into junction regions of NMOS transistor 30 to produce MDD areas 50 .
  • the MDD (medium doped drain) regions receive a dopant concentration and dopant energies which are less than the source/drain regions 26 .
  • FIG. 9 depicts further removal of the spacer using the same etch technique used in producing residual spacer 20 b from the original spacer 20 . Resulting from the additional removal step, a second residual spacer 20 c occurs.
  • the etch duration is timed such that a pre-defined horizontal thickness exists after etch completion. That pre-defined thickness proves beneficial in masking another n-type implant shown in FIG. 10 .
  • FIG. 10 depicts n-type implant (second MDD) implant 52 .
  • Implant 52 is masked similar to that shown in FIG. 8, except that second residual spacer 20 c is used instead of first residual spacer 20 b .
  • This allows a second MDD area 54 to be formed nearer the channel region of NMOS transistor 30 .
  • the masking material used to mask off PMOS device 32 is shown as reference numeral 56 .
  • FIG. 11 depicts removal of what remains of the spacer—in this case second residual spacer 20 c .
  • the complete removal of spacer 20 c is achieved using the same etch composition and procedure as that used in partially removing the spacer. Complete removal is performed without harming the underlying silicon-based material due to the oxide etch stop which exists between the spacer and either the polysilicon gate 18 or the silicon substrate 12 .
  • Etch stop oxide 19 remains to some degree on the silicon substrate as well as on the polysilicon even after overetch occurs.
  • FIG. 12 depicts an n-type LDD implant 64 forwarded through the remaining oxide (not shown) and into substrate 12 .
  • the resulting LDD area is shown as reference numeral 62 .
  • Gate conductor 18 which includes a residual sidewall oxide 19 serves to self-align implant 60 near the channel of the NMOS transistor 30 .
  • a masking material 64 is used to cover the active regions of the PMOS transistor 32 .
  • FIG. 13 depicts a p-type LDD implant 66 forwarded into substrate 12 about the oxide-coated gate conductor of PMOS device 32 .
  • a masking material 68 serves to block off p-type implant 66 from NMOS transistor active regions.
  • LDD areas 70 Active areas which are not masked receive implant 66 , and are denoted as p-type LDD areas 70 .
  • LDD areas 70 like LDD areas 62 are formed having a lower dopant concentration and are configured at a shallower depths than the MDD areas or the source/drain areas. Accordingly, the present process employs lower dopant concentrations and lower implant energies as the implant being produced is configured nearer the channel of either the NMOS or PMOS transistor.
  • the NMOS transistor includes not only source/drain areas 26 and LDD areas 62 , but also include at least one MDD area.
  • the present sequence illustrates two MDD areas 50 and 54 ; however, it is understood that possibly numerous other MDD areas may also be employed.
  • the total number of MDD areas can therefore vary as demanded by the required doping profile of the ensuing NMOS transistor. There may be instances in which a doping profile must be as gradual and therefore as smooth as possible. In those instances, possibly more than two MDD are needed. Otherwise, two or fewer MDD areas are all that is required to achieve the aforementioned objectives.
  • the PMOS transistor typically only requires a source/drain area. However, in instances where the PMOS transistor gate length is quite small, then not only is a p-type LDD required, but the associative LDD must be fairly large to compensate for highly mobile boron atoms placed within the PMOS source/drain areas.
  • the enlarged p-type LDD therefore draws the highly concentrated boron atoms associated with the source/drain away from the channel to minimize their effect on channel operation. While requiring an LDD in an NMOS device, the LDD need only be fairly small since the heavier concentration source/drain and MDD areas employ larger atomic structures which do not readily migrate. Having one or more MDD areas thereby enhances transistor operation by reducing parasitic resistance in the NMOS transistor source-drain path.
  • a silicide is formed in the junctions of the ensuing NMOS and PMOS transistors.
  • a silicide results from depositing a refractory metal on the exposed semiconductor topography.
  • the metal undergoes a two-step anneal process.
  • the first anneal cycle causes a first phase reaction. All non-reacted metal is removed except in regions where the silicon atoms are prevalent.
  • the second anneal step causes a second phase reaction of refractory metal only in silicon-based junctions and possibly on the upper surfaces of the polysilicon gate conductor 18 .
  • the silicide serves to enhance conductivity of subsequent metalization layers drawn to the junctions.
  • silicide formation steps and subsequent metalization layers are not shown. However, it is to be understood that an integrated circuit which utilizes the present process will have subsequent fabrication steps involving interlevel dielectrics in one or more layers of metalization.

Abstract

A method is provided for forming an integrated circuit having junctions of n-channel transistors dissimilar to junctions of p-channel transistors. First and second gate conductors are formed upon a gate dielectric on a semiconductor substrate. Spacers are formed on sidewalls of the first and second gate conductors. Portions of the spacers are subsequently removed such that the lateral width of each spacer is reduced to form residual spacers. The residual spacers may subsequently be removed, exposing sidewalls of the first and second gate conductors. At various stages of the method, n-type impurities may be implanted into the substrate, masked by the first gate conductor and any adjacent spacers or residual spacers. P-type impurites may also be implanted into the substrate, masked by the second gate conductor and any adjacent spacers or residual spacers. More n-type than p-type impurity implants are preferably used, so that n-type junction regions formed on either side of the first gate conductor have a more graded profile than do the p-type junction regions formed on either side of the second gate conductor.

Description

This is a Division of application Ser. No. 08/761,401, filed Dec. 6, 1996 now U.S. Pat. No. 5,869,866.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to semiconductor processing and, more particularly, to a CMOS integrated circuit having dissimilarly placed graded junctions produced by successive removal of a gate conductor sidewall spacer.
2. Description of Relevant Art
Fabrication of a metal-oxide semiconductor (“MOS”) transistor is well known. The manufacture of an MOS transistor begins by defining active areas where the transistor will be formed. The active areas are isolated from other areas on the semiconductor substrate by various isolation structures formed upon and within the substrate. Isolation structures come in many forms. For example, the isolation structures can be formed by etching trenches into the substrate and then filling the trenches with a dielectric fill material. Isolation structures may also be formed by locally oxidizing the silicon substrate using the well recognized LOCOS technique.
Once the isolation structures are defined between transistor active areas, a gate dielectric is formed. Typically, the gate dielectric is formed by thermal oxidation of the silicon substrate. Thermal oxidation is achieved by subjecting the substrate to an oxygen-bearing, heated ambient in, for example, an oxidation furnace or a rapid thermal anneal (“RTA”) chamber. The conductor material is then deposited across the entire dielectric-covered substrate. The gate conductor material is preferably polycrystalline silicon, or polysilicon. The polysilicon layer is then patterned using a photolithography mask. The mask allows selective removal of a light-sensitive material deposited entirely across polysilicon. The material which is exposed can, according to one embodiment, be polymerized, and that which is not exposed removed. Selective polymerization is often referred to as the “develop” stage of lithography. The regions which are non-polymerized are removed using the etch stage of lithography.
An n-channel transistor, or NMOS transistor, must in most instances be fabricated differently from a p-channel transistor, or PMOS transistor. NMOS transistors employ n-type dopants on opposite sides of the NMOS gate conductor, whereas PMOS transistors employ p-type dopants on opposite sides of the PMOS transistor gate conductor. The regions of the substrate which receive dopants on opposite sides of the gate conductor are generally referred to as junction regions, and the distance between junction regions is typically referred to as the physical channel length. After implantation and subsequent diffusion of the junction regions, the distance between the junction regions become less than the physical channel length and is often referred to as the effective channel length (“Leff”). In high density designs, not only does the physical channel length become small so too must the Leff. As Leff decreases below approximately 1.0 μm, for example, a problem known as short channel effects (“SCE”) becomes predominant.
A problem related to SCE, and the subthreshold currents associated therewith, but altogether different is the problem of hot-carrier effects (“HCE”). HCE is a phenomenon by which hot-carriers (“holes and electrons”) arrive at or near an electric field gradient.
The electric field gradient, often referred to as the maximum electric field (“Em”), occurs near the drain during saturated operation. More specifically, the electric field is predominant at the lateral junction of the drain adjacent to the channel. The electric field at the drain causes primarily electrons in the channel to gain kinetic energy and become “hot”. These hot electrons traveling to the drain lose their energy by a process called impact ionization. Impact ionization serves to generate electron-hole pairs, wherein the pairs migrate to and become injected within the gate dielectric near the drain junction.
Traps within the gate dielectric generally become electron traps, even if they are partially filled with holes. As a result, there is a net negative charge density in the gate dielectric.
The trapped charge accumulates with time, resulting in a positive threshold shift in the NMOS transistor, or a negative threshold shift in a PMOS transistor. It is known that since hot electrons are more mobile than hot holes, HCE causes a greater threshold skew in NMOS transistors than PMOS transistors. Nonetheless, a PMOS transistor will undergo negative threshold skew if its Leff is less than, e.g., 0.8 μm.
Unless modifications are made to the fabrication sequence, problems resulting from HCE will remain. To minimize these problems, a mechanism must be derived that disperses and thereby reduces Em. That mechanism is often referred to as the double-diffised drain (“DDD”) and lightly doped drain (“LDD”) techniques. The purpose behind using DDDs and LDDs structures is to absorb some of the potential into the drain and away from the drain/channel interface. The popularity of DDD structures has given way somewhat to LDD structures since DDD may cause unacceptably deep junctions and deleterious junction capacitance.
A conventional LDD structure is one whereby a light concentration of dopant is self-aligned to the edge of the gate conductor. The light-dopant concentration is then followed by a heavier-dopant concentration which is self-aligned to a spacer formed on the sidewalls of the gate conductor. The purpose of the first implant dose is to produce a lightly doped section of both the source and drain junction areas at the gate edge near the channel. The second implant dose is spaced from the channel a distance dictated by the thickness of the sidewall spacer. Resulting from the first and second implants, a dopant gradient occurs across the junction from the source/drain area of the junction to the LDD area adjacent the channel.
The dopant gradient across the junction, henceforth referred to as a graded junction, is necessary for several reasons. First, the lightly doped region (LDD area) is used to assume a substantial portion of the entire voltage drop associated with Em. It has been reported that the LDD area may in some instances reduce Em at the drain juncture by approximately 30-40%. Secondly, the heavier dosage within the source/drain area forms a low resistivity region suitable for enhanced contact conductivity. Further, the source/drain dose is implanted at a higher energy necessary to produce deeper source/drain junctions and thereby provide better protection against junction spiking.
The benefits of using an LDD a in conjunction with a source/drain area are generally well documented. However, the benefits differ depending upon whether an NMOS device or a PMOS device is produced. For example, an NMOS device requires an LDD area more so than a PMOS device. However, an unduly large LDD area would hamper NMOS performance by increasing the source-drain resistance. On the other hand, the dopants used to form a PMOS device (e.g., boron) are more mobile than the dopants used to form an NMOS device. As such, the p-type dopants regularly segregate and migrate from their original implant position toward and into the channel area. This lessens Leff and produces deleterious SCE problems.
It would therefore be desirable to employ a CMOS fabrication process which can produce NMOS junctions dissimilar from PMOS junctions. If the NMOS junction is graded such that the LDD area is relatively small, in conjunction with other HCE-prevent dopant areas, then performance may be enhanced. Similarly, if the PMOS junction can be graded such that the highly mobile source/drain implants are drawn further from the channel then SCE can be more carefully controlled.
SUMMARY OF THE INVENTION
The problems outlined above are in large part solved by an improved fabrication process hereof. The process can be used to form a PMOS transistor, an NMOS transistor, or CMOS device (having both PMOS and NMOS transistors), wherein the transistors have junctions of possibly dissimilar dopant gradients. The NMOS transistor includes a junction having numerous implant areas of increasing concentration as they exist in successive areas spaced from the transistor channel. Thus, the NMOS transistor may include an LDD area, at least one medium doped drain (“MDD”) area and a source/drain area. The LDD serves to absorb and thereby lessen Em and the problems of HCE. The MDD serves not only to lessen Em but also to enhance contact conductivity and source-drain responsiveness. The PMOS transistor may be formed on a separate monolithic substrate or on the same substrate as the NMOS transistor in accordance with the present CMOS process. The PMOS transistor includes a less graded junction then that of the counterpart NMOS transistor. More specifically, the PMOS transistor may merely employ an LDD area and a source/drain area. The source/drain area is drawn away from the PMOS channel. This is due to the highly mobile nature of boron atoms which exist in the PMOS source/drain area. The mobile boron atoms readily migrate from the heavier concentration source/drain region toward the channel. By drawing the source/drain area further from the channel, a lessened opportunity exists to migrate into the channel and thereby change the designed PMOS operation.
The benefits of having dissimilar graded junctions, depending upon whether a PMOS transistor or an NMOS transistor is employed, are herein achieved using a spacer which can be gradually removed. The removable spacer is configured upon the outer surface of a dielectric-covered gate conductor. Preferably, the removable spacer exists exclusively on sidewall surfaces of an oxide-covered conductor. According to one embodiment, the gate conductor comprises polycrystalline silicon (“polysilicon”) having thermally grown oxide on its upper and sidewall surfaces. The removable spacer preferably comprises a material which has a dissimilar etch characteristic from that of the oxide-covered polysilicon. The spacer material must be chosen such that it can be removed partially in steps, and thereafter completely removed while not sufficiently attacking material underneath the oxide. The removable spacer preferably comprises any material which has a dissimilar etch characteristic from polycrystalline oxide, a suitable material being nitride (i.e., silicon nitride) or polysilicon.
Of prime importance is the selectivity by which the removable spacer can be etched in steps to reduce its thickness. After each step, an implant may be undertaken. Successive etch steps interspersed with decreasing implant concentrations causes a graded junction to be formed in the semiconductor substrate. Removal of the spacer can vary depending upon whether the spacer exists on a sidewall surface of an NMOS transistor or a PMOS transistor. If the NMOS transistor is to have a junction with a more gradual grading, then the number of etch steps followed by implant steps will be larger for the NMOS transistor than the PMOS transistor. Both transistor types can undergo partial removal of their spacers; however, the number of implants following spacer etch may be different depending upon whether the NMOS junction is implanted versus the PMOS junction. For example, only two implants may be needed to form a PMOS junction, whereas more than two may be required for an NMOS junction.
The present sequence forms source/drain regions prior to the lesser concentration MDD and LDD regions. This is beneficial in that a higher temperature anneal takes place before a lower temperature anneal. The higher temperature anneal is needed to activate the higher concentration source/drain dopants. However, lower temperatures are all that is required to activate the lower concentration LDD and MDD implants. The lower temperature anneals which occur subsequent to the higher temperature anneals help prevent substantial movement of highly mobile dopants, such as boron present near the channel region. Higher temperature anneals on the source/drain dopants will cause migration but, since the dopants exist a spaced region away from the channel, migration will not generally occur into the channel. It is the LDD area that must incur minimal temperature anneals since those areas pre-exist near the channel.
Broadly speaking, the present invention contemplates a CMOS integrated circuit having possibly numerous transistors. Each transistor comprises a gate conductor dielectrically spaced over the semiconductor substrate. The gate conductor has opposed sidewall surfaces on which and into which an oxide is configured. Extending laterally from the oxide-covered sidewall surfaces is a removable spacer. The spacer is not only partially removable in successive steps, but can be entirely removed if desired. The oxide which covers the gate conductor serves as an etch stop. The etch stop prevents the etchant species from disrupting and contaminating the underlying polysilicon gate material and/or single crystalline silicon substrate material.
According to one embodiment, the integrated circuit comprises both NMOS and PMOS transistors. Each transistor comprises a spacer which is at least partially reducible in response to a first etch. Thereafter, a first implant species is forwarded into the semiconductor substrate, wherein the thickness of the spacer serves to mask the first implant species. A second etch may be applied to further reduce the spacer thickness after the first implant species has been forwarded into the semiconductor substrate. A second implant species may then be forwarded into the semiconductor substrate after the second etch has been concluded. Successive removal and implantation steps preferably forms a junction area defined as having at least three regions of increasing dopant concentration extending respective incremental distances from the gate conductor or, more specifically, the channel region underlying the gate conductor.
The present invention further contemplates a method for forming an integrated circuit. The method includes the steps of providing a semiconductor topography and patterning first and second gate conductors upon the semiconductor topography. A spacer is then formed on sidewall surfaces of both the first and second gate conductors.
The second gate conductor is then covered and, using the first gate conductor and the spacer as a mask, a first n-type dopant is implanted into the semiconductor topography. Thereafter, the first gate conductor is covered and, using the second gate conductor and the spacer as a mask, a first p-type dopant is implanted into the semiconductor substrate. A portion of the spacer is then removed to form a first residual spacer. The steps of covering the first and second gate conductors may be repeated or, alternatively, only the second gate conductor need be covered. In the latter instance, the first gate conductor and the first residual spacer is used as a mask, and a second n-type dopant is implanted into the semiconductor substrate of lesser concentration than the first n-type concentration. Yet further, another portion of the spacer may be removed to form a second residual spacer, if desired. Again, the second gate conductor may be covered and, using the first gate conductor and the second residual spacer as a mask, a third n-type dopant may be implanted into the semiconductor topography. The third n-type dopant is preferably of lesser concentration than the second n-type dopant. Thereafter, the spacer may be entirely removed. After the spacer is entirely removed, fourth n-type dopant species may be implanted into the semiconductor topography during times when the second gate conductor is covered and only the first gate conductor serves as a mask. Conversely, the first gate conductor may be covered and, using only the second gate conductor as a mask, a second p-type dopant may be implanted into the semiconductor topography. The fourth n-type dopant is of lesser concentration than the third n-type dopant, and the second p-type dopant is of lesser concentration than the first p-type dopant. The above process describes formation of a graded junction within both an NMOS transistor and a PMOS transistor using successive, partial removal of a spacer and implantation into a semiconductor substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which:
FIG. 1 is a partial cross-sectional view of a semiconductor topography showing a gate dielectric formed upon active areas of the topography;
FIG. 2 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 1, wherein gate conductors are formed having sidewall oxides;
FIG. 3 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 2, wherein a removable spacer is formed upon the sidewall oxides;
FIG. 4 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 3, wherein an n-type source/drain implant is forwarded into the semiconductor substrate;
FIG. 5 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 4, wherein a p-type source/drain implant is forwarded into the semiconductor substrate;
FIG. 6 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 5, wherein the removable spacers are partially removed;
FIG. 7 is a detailed view along region 7 of FIG. 6 illustrating the contours of the spacer as it is being removed (etched);
FIG. 8 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 6, wherein an n-type MDD implant is forwarded into the semiconductor substrate;
FIG. 9 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 8, wherein additional spacer material is removed;
FIG. 10 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 9, wherein another n-type MDD implant is forwarded into the semiconductor substrate;
FIG. 11 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 10, wherein the removable spacer is entirely removed;
FIG. 12 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 11, wherein an n-type LDD implant is forwarded into the semiconductor substrate; and
FIG. 13 is a partial cross-sectional view of a semiconductor topography according to a processing step subsequent to FIG. 12, wherein a p-type LDD implant is forwarded into the semiconductor substrate.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Turning now to the drawings, FIG. 1 illustrates a partial cross-sectional view of a semiconductor topography 10. Topography 10 includes a semiconductor substrate 12 into which and upon which various isolation structures 14 are formed. Isolation structure can be formed using either the shallow trench process, or the LOCOS process. In the embodiment shown, a shallow trench process is illustrated as an exemplary isolation structure. Isolation structure 14 comprises a dielectric which does not readily allow gate dielectric formation. Instead, a gate dielectric 16 is formed in regions absent structure 14. Gate dielectric 16 is preferably formed according to a thermal oxidation process upon and within the silicon-based substrate 12. The thickness of the grown oxide depends upon the processing parameters desired, and the needed performance of the ensuing transistor.
Referring to FIG. 2, gate conductors 18 are patterned from a layer of material 18 a. According to a preferred embodiment, material 18 a comprises a layer of polycrystalline silicon. The polycrystalline silicon (“polysilicon”) is selectively removed using well-known lithography procedures. The remaining portions of the polysilicon layer form gate conductors 18. Each gate conductor may thereby comprise polysilicon patterned upon gate dielectric 16, and the combination of gate conductor 18 and gate dielectric 16 exist in select regions, defined as active regions of semiconductor topography 10. Active regions are those regions which exist between isolation structures 14. Preferably, gate conductor 18 includes a polysilicon oxide 19. Polysilicon oxide 19 exists on the upper and sidewall surfaces of gate conductor 18, and is grown according to well-known thermal oxidation procedures.
FIG. 3 depicts a removable material 20 a deposited across the entire topography 10. Material 20 a can be deposited using various techniques, a suitable technique being chemical vapor deposition (“CVD”). Alternatively, material 20 a may be spin-on deposited. In either instance, material 20 a conforms to the upper topography over and between gate conductors 18. Thereafter, an anisotropic etch is applied to material 20 a. The anisotropic etch is chosen as a dry etch or plasma etch, and serves to remove material from horizontal surfaces at a faster rate than material from vertical surfaces. Plasma etch techniques are often referred to as “ion-assisted etch”. The wafer generally resides on a chuck, and ions from an ion source are directed at a perpendicular angle upon the wafer. The ions dislodge material from the exposed topography, and the chemical (gaseous) etchant interacts with the bond sites to aid in the removal process. The etch duration is terminated, however, before the entirety of material 20 a is removed. Accordingly, anisotropic etch duration is chosen to remove material from horizontal surfaces while retaining some material on vertical surfaces. Retainage occurs primarily on the sidewall surfaces of 18 c and 18 d of the oxide-covered gate conductor 18. The retained portion is henceforth referred to as a removable spacer 20.
The deposition thickness of material 20 a is varied to account for a desired thickness which would preferably equal if not exceed the thickness of gate conductor 18. Once material 20 a is removed from horizontal surfaces to form spacer 20, spacers 20 serve to mask, in combination with gate conductor 18, subsequent implant species.
FIG. 4 illustrates the masking of an n-type source/drain implant 24. Implant 24 is preferably arsenic which is implanted at a substantially heavy concentration and at significant energies to form NMOS source/drain regions 26. Spacers 20, gate conductor 18 and implant masking material 28 serve to mask implant species 24 from the channel area of NMOS transistor 30 as well as from the entire active region of PMOS transistor 32.
Spacer 20 and, more specifically, the horizontal thickness of spacer 20 serves to mask implant species 24 away from the channel underneath NMOS transistor 30. The process used in forming source/drain regions 26 is repeated to form PMOS source/drain regions 36. This repeated process is shown in FIG. 5. The implant species used in forming regions 36 are shown in FIG. 5 as p-type species which, according to one embodiment, comprise boron such as boron found in, for example, BF2 or B11. Boron implant 38 is of relatively high concentrations, and is implanted at relatively high energies that form the corresponding source/drain regions. A masking material 40 is used to cover the active areas of NMOS transistor 30 while leaving only spacer 20 and gate conductor 18 to mask active areas of the PMOS transistor 32.
Masking material 28 is formed as shown in FIG. 4 by depositing a light-sensitive material, such as photoresist across the entire topography. Thereafter, select regions of that material are exposed and then removed using conventional lithography techniques. As such, material 28 is patterned to cover select areas prior to n-type implant. Thereafter, material 28 is readily removed in readiness for repeating the deposition, select exposure and etch process to form material 40 in FIG. 5. The mechanism for patterning a photoresist is generally known in the art. Moreover, the selective formation of a masking material upon specific active areas is also known.
FIG. 6 illustrates partial removal of spacer 20 on sidewall surfaces of gate conductors attributed to both PMOS and NMOS devices. According to a preferred embodiment, spacer 20 comprises nitride or polysilicon which can be etched according to various wet etch techniques. Accordingly, the etch process is preferably isotropic. If spacer 20 comprises nitride (i.e., silicon nitride—Si3N4), then a suitable etch comprises reflux boiling phosphoric acid. Not shown but present is an oxide layer which forms across the semiconductor substrate at the same time in which oxide 19 is formed on the polysilicon sidewall surfaces. The oxide serves as a protectant against the spacer etchant and also serves to protect the substrate during the previous source/drain implantation. If spacer 20 comprises polysilicon, then the etchant is preferably a mixture of nitric acid and hydrofluoric acid. Regardless of the composition of spacer 20, the aforesaid etchant substantially attacks spacer 20 yet does not attack the oxide etch stop/barrier 19 existing on the upper surface of the polysilicon gate conductor, the sidewall surfaces of the polysilicon gate conductor and the upper surfaces of the semiconductor substrate active regions. The mixtures of polysilicon etchant or nitride etchant can be varied to not only achieve the desired selectivity, but also to control the rate at which spacer 20 is removed. For example, a low HF and high HNO3 ratio will remove polysilicon spacer 20 at a slower etch rate. The benefits of using a wet etchant are primarily attributed to its high selectivity to the spacer as opposed to adjacent oxide etch stop materials. However, this implies that an oxide 19 must exist across the substrate and across the gate conductor adjacent spacer 20. Given the processing step shown in FIG. 2, this pre-existing condition is readily achieved and therefore having an oxide does not inconvenience the present fabrication sequence.
FIG. 7 depicts etchant profiles 40 a, 40 b, 40 c, etc. Profiles 40 indicate a steady decrease in both the horizontal and vertical directions 42 a and 42 b, respectively, of residual spacer 20 b. Contours 40 change in accordance with the duration of the etch step. The duration is terminated after a pre-defined amount of time to produce a residual spacer 20 b having a horizontal distance which is drawn inward toward the respective gate conductors of both the NMOS and PMOS transistors. The change in the spacer thickness proves beneficial in allowing a subsequent implant to occur as shown in FIG. 8.
FIG. 8 depicts a masking material 46 formed in accordance with the formation of masking material 28 shown if FIG. 4. Masking material 46, in conjunction with gate conductor 18 and residual spacer 20 b allows masking of n-type implant species 48. Implant species 48 is denoted as a MDD implant which is placed into junction regions of NMOS transistor 30 to produce MDD areas 50. The MDD (medium doped drain) regions receive a dopant concentration and dopant energies which are less than the source/drain regions 26.
FIG. 9 depicts further removal of the spacer using the same etch technique used in producing residual spacer 20 b from the original spacer 20. Resulting from the additional removal step, a second residual spacer 20 c occurs. The etch duration is timed such that a pre-defined horizontal thickness exists after etch completion. That pre-defined thickness proves beneficial in masking another n-type implant shown in FIG. 10.
FIG. 10 depicts n-type implant (second MDD) implant 52. Implant 52 is masked similar to that shown in FIG. 8, except that second residual spacer 20 c is used instead of first residual spacer 20 b. This allows a second MDD area 54 to be formed nearer the channel region of NMOS transistor 30. The masking material used to mask off PMOS device 32 is shown as reference numeral 56.
FIG. 11 depicts removal of what remains of the spacer—in this case second residual spacer 20 c. The complete removal of spacer 20 c is achieved using the same etch composition and procedure as that used in partially removing the spacer. Complete removal is performed without harming the underlying silicon-based material due to the oxide etch stop which exists between the spacer and either the polysilicon gate 18 or the silicon substrate 12.
Etch stop oxide 19 remains to some degree on the silicon substrate as well as on the polysilicon even after overetch occurs. FIG. 12 depicts an n-type LDD implant 64 forwarded through the remaining oxide (not shown) and into substrate 12. The resulting LDD area is shown as reference numeral 62. Gate conductor 18, which includes a residual sidewall oxide 19 serves to self-align implant 60 near the channel of the NMOS transistor 30. A masking material 64 is used to cover the active regions of the PMOS transistor 32.
FIG. 13 depicts a p-type LDD implant 66 forwarded into substrate 12 about the oxide-coated gate conductor of PMOS device 32. A masking material 68 serves to block off p-type implant 66 from NMOS transistor active regions.
Active areas which are not masked receive implant 66, and are denoted as p-type LDD areas 70. LDD areas 70, like LDD areas 62 are formed having a lower dopant concentration and are configured at a shallower depths than the MDD areas or the source/drain areas. Accordingly, the present process employs lower dopant concentrations and lower implant energies as the implant being produced is configured nearer the channel of either the NMOS or PMOS transistor. The NMOS transistor includes not only source/drain areas 26 and LDD areas 62, but also include at least one MDD area. The present sequence illustrates two MDD areas 50 and 54; however, it is understood that possibly numerous other MDD areas may also be employed. The total number of MDD areas can therefore vary as demanded by the required doping profile of the ensuing NMOS transistor. There may be instances in which a doping profile must be as gradual and therefore as smooth as possible. In those instances, possibly more than two MDD are needed. Otherwise, two or fewer MDD areas are all that is required to achieve the aforementioned objectives. Conversely, the PMOS transistor typically only requires a source/drain area. However, in instances where the PMOS transistor gate length is quite small, then not only is a p-type LDD required, but the associative LDD must be fairly large to compensate for highly mobile boron atoms placed within the PMOS source/drain areas. The enlarged p-type LDD therefore draws the highly concentrated boron atoms associated with the source/drain away from the channel to minimize their effect on channel operation. While requiring an LDD in an NMOS device, the LDD need only be fairly small since the heavier concentration source/drain and MDD areas employ larger atomic structures which do not readily migrate. Having one or more MDD areas thereby enhances transistor operation by reducing parasitic resistance in the NMOS transistor source-drain path.
It is understood that, although not shown, a silicide is formed in the junctions of the ensuing NMOS and PMOS transistors. A silicide results from depositing a refractory metal on the exposed semiconductor topography. The metal undergoes a two-step anneal process. The first anneal cycle causes a first phase reaction. All non-reacted metal is removed except in regions where the silicon atoms are prevalent. Thus, the second anneal step causes a second phase reaction of refractory metal only in silicon-based junctions and possibly on the upper surfaces of the polysilicon gate conductor 18. The silicide serves to enhance conductivity of subsequent metalization layers drawn to the junctions. For sake of brevity and clarity of the drawings, the silicide formation steps and subsequent metalization layers are not shown. However, it is to be understood that an integrated circuit which utilizes the present process will have subsequent fabrication steps involving interlevel dielectrics in one or more layers of metalization.
It will be appreciated to those skilled in the art having the benefit of this disclosure that this invention is believed to be capable of forming MOS transistor junctions which are graded not only to minimize HCE, but also to enhance operation and ohmic connectivity thereto. It is to be understood that the form of the invention shown and described is to be taken as exemplary, presently preferred embodiments. Various modifications and changes may be made to each and every processing step without departing from the spirit and scope of the invention given the benefit of this disclosure as set forth in the claims. It is intended that the following claims be interpreted to embrace all such modifications and changes and, accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims (20)

What is claimed is:
1. A method for forming an integrated circuit, comprising:
forming first and second gate conductors dielectrically spaced above a semiconductor substrate;
forming spacers laterally adjacent sidewalls of the first and second gate conductors;
reducing a lateral width of each of the spacers to form residual spacers adjacent sidewalls of the first and second gate conductors;
implanting a p-type impurity distribution into the semiconductor substrate on either side of the second gate conductor to form p-type junction regions; and
implanting multiple n-type impurity distributions into the semiconductor substrate on either side of the first gate conductor to form graded n-type junction regions, wherein said n-type junction regions comprise more implanted impurity distributions than do said p-type junction regions and wherein the last n-type impurity distribution into the semiconductor substrate is masked by the first gate conductor absent any spacers.
2. The method as recited in claim 1, wherein said forming first and second gate conductors comprises forming polysilicon gate conductors and subsequently forming a dielectric on exposed surfaces of the polysilicon gate conductors such that dielectric-covered gate conductors are formed.
3. The method as recited in claim 1, wherein said forming spacers comprises forming silicon nitride spacers.
4. The method as recited in claim 1, wherein said forming spacers comprises forming polysilicon spacers.
5. The method as recited in claim 1, wherein said reducing the lateral width comprises isotropic etching.
6. The method as recited in claim 5, wherein said isotropic etching comprises wet etching.
7. The method as recited in claim 1, wherein said reducing the lateral width comprises simultaneously reducing a lateral width of each of the spacers.
8. The method as recited in claim 7, wherein said reducing the lateral width further comprises:
removing a portion of each of the spacers to form first residual spacers;
removing a portion of each of the first residual spacers to form second residual spacers; and
removing the second residual spacers.
9. The method as recited in claim 8, wherein said implanting a p-type impurity distribution is performed prior to said removing a portion of each of the spacers, such that the p-type impurity distribution is masked by the second gate conductor and the spacers, and further comprising implanting an additional p-type impurity distribution subsequent to said removing the second residual spacers, such that the additional p-type impurity distribution is masked by the second gate conductor absent any spacers.
10. The method as recited in claim 9, wherein said implanting multiple n-type impurity distributions comprises:
implanting a first n-type impurity distribution prior to said removing a portion of each of the spacers, such that the first n-type impurity distribution is masked by the first gate conductor and the spacers;
implanting a second n-type impurity distribution prior to said removing a portion of each of the first residual spacers, such that the second n-type impurity distribution is masked by the first gate conductor and the first residual spacers;
implanting a third n-type impurity distribution prior to said removing the second residual spacers, such that the third n-type impurity distribution is masked by the first gate conductor and the second residual spacers; and
implanting a fourth n-type impurity distribution subsequent to said removing the second residual spacers, such that the fourth n-type impurity distribution is masked by only the first gate conductor.
11. The method as recited in claim 1, wherein said implanting the p-type impurity distribution further comprises simultaneously covering the first gate conductor with a mask, and said implanting multiple n-type impurity distributions further comprises simultaneously covering the second gate conductor with a mask.
12. A method for forming a transistor, comprising:
forming first and second gate conductors dielectrically spaced above a semiconductor substrate;
growing an oxide upon exposed surfaces of the first and second gate conductors to form first and second oxide-covered gate conductors;
forming spacers laterally adjacent sidewalls of the first and second oxide-covered gate conductors, wherein the spacers comprise a material having dissimilar etching characteristics from the oxide;
reducing a lateral width of each of the spacers to form residual spacers adjacent sidewalls of the first and second oxide-covered gate conductors, wherein said reducing a lateral width is performed on all of the spacers simultaneously and wherein the residual spacers comprise said material of the spacers;
implanting a p-type impurity distribution into the semiconductor substrate on either side of the second oxide-covered gate conductor to form p-type junction regions; and
implanting multiple n-type impurity distributions into the semiconductor substrate on either side of the first oxide-covered gate conductor to form graded n-type junction regions.
13. The method as recited in claim 12, wherein said n-type junction regions comprise more implanted impurity distributions than do said p-type junction regions.
14. The method as recited in claim 12, wherein said forming spacers comprises forming silicon nitride spacers.
15. The method as recited in claim 12, wherein said forming spacers comprises forming polysilicon spacers.
16. The method as recited in claim 12, wherein said reducing the lateral width comprises wet etching.
17. The method as recited in claim 12, wherein said reducing the lateral width further comprises:
removing a portion of each of the spacers to form first residual spacers;
removing a portion of each of the first residual spacers to form second residual spacers; and
removing the second residual spacers.
18. The method as recited in claim 17, wherein said implanting a p-type impurity distribution is performed prior to said removing a portion of each of the spacers, such that the p-type impurity distribution is masked by the second oxide-covered gate conductor and the spacers, and further comprising implanting an additional p-type impurity distribution subsequent to said removing the second residual spacers, such that the additional p-type impurity distribution is masked by the second oxide-covered gate conductor absent any spacers.
19. The method as recited in claim 18, wherein said implanting multiple n-type impurity distributions comprises:
implanting a first n-type impurity distribution prior to said removing a portion of each of the spacers, such that the first n-type impurity distribution is masked by the first oxide-covered gate conductor and the spacers;
implanting a second n-type impurity distribution prior to said removing a portion of each of the first residual spacers, such that the second n-type impurity distribution is masked by the first oxide-covered gate conductor and the first residual spacers;
implanting a third n-type impurity distribution prior to said removing the second residual spacers, such that the third n-type impurity distribution is masked by the first oxide-covered gate conductor and the second residual spacers; and
implanting a fourth n-type impurity distribution subsequent to said removing the second residual spacers, such that the fourth n-type impurity distribution is masked by only the first oxide-covered gate conductor.
20. The method as recited in claim 12, wherein said implanting the p-type impurity distribution further comprises simultaneously covering the first gate conductor with a mask, and said implanting multiple n-type impurity distributions further comprises simultaneously covering the second gate conductor with a mask.
US09/189,266 1996-12-06 1998-11-10 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions Expired - Lifetime US6187620B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/189,266 US6187620B1 (en) 1996-12-06 1998-11-10 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/761,401 US5869866A (en) 1996-12-06 1996-12-06 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions
US09/189,266 US6187620B1 (en) 1996-12-06 1998-11-10 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/761,401 Division US5869866A (en) 1996-12-06 1996-12-06 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions

Publications (1)

Publication Number Publication Date
US6187620B1 true US6187620B1 (en) 2001-02-13

Family

ID=25062069

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/761,401 Expired - Lifetime US5869866A (en) 1996-12-06 1996-12-06 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions
US09/189,266 Expired - Lifetime US6187620B1 (en) 1996-12-06 1998-11-10 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/761,401 Expired - Lifetime US5869866A (en) 1996-12-06 1996-12-06 Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions

Country Status (1)

Country Link
US (2) US5869866A (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287906B1 (en) * 1994-11-09 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having MOS transistor and method of manufacturing the same
US6455362B1 (en) * 2000-08-22 2002-09-24 Micron Technology, Inc. Double LDD devices for improved dram refresh
US6506642B1 (en) * 2001-12-19 2003-01-14 Advanced Micro Devices, Inc. Removable spacer technique
US6518107B2 (en) * 2001-02-16 2003-02-11 Advanced Micro Devices, Inc. Non-arsenic N-type dopant implantation for improved source/drain interfaces with nickel silicides
US20030178624A1 (en) * 2002-03-21 2003-09-25 Macronix International Co., Ltd Semiconductor device with minimal short-channel effects and low bit-line resistance
WO2004004010A1 (en) * 2002-06-28 2004-01-08 Stmicroelectronics Nv Method for the production of mos transistors
US6677646B2 (en) 2002-04-05 2004-01-13 International Business Machines Corporation Method and structure of a disposable reversed spacer process for high performance recessed channel CMOS
US6703281B1 (en) * 2002-10-21 2004-03-09 Advanced Micro Devices, Inc. Differential laser thermal process with disposable spacers
US20040087120A1 (en) * 2002-10-31 2004-05-06 Thomas Feudel Semiconductor device having improved doping profiles and method of improving the doping profiles of a semiconductor device
US6764966B1 (en) * 2002-02-27 2004-07-20 Advanced Micro Devices, Inc. Spacers with a graded dielectric constant for semiconductor devices having a high-K dielectric
US20050056899A1 (en) * 2003-09-15 2005-03-17 Rendon Michael J. Semiconductor device having an insulating layer and method for forming
WO2005038900A1 (en) * 2003-10-17 2005-04-28 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing such a semiconductor device
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US20060011988A1 (en) * 2004-03-01 2006-01-19 Jian Chen Integrated circuit with multiple spacer insulating region widths
US7018888B2 (en) * 2004-07-30 2006-03-28 Texas Instruments Incorporated Method for manufacturing improved sidewall structures for use in semiconductor devices
DE102004057809A1 (en) * 2004-11-30 2006-06-01 Advanced Micro Devices, Inc., Sunnyvale Formation of semiconductor structure by modifying first portion of material layer located over first transistor element, and performing etching process to remove modified first portion at greater etch rate than unmodified second portion
US20060281239A1 (en) * 2005-06-14 2006-12-14 Suraj Mathew CMOS fabrication
US7232729B1 (en) * 2003-05-06 2007-06-19 Spansion Llc Method for manufacturing a double bitline implant
US20070202643A1 (en) * 2006-02-28 2007-08-30 Freescale Semiconductor, Inc. Method for separately optimizing spacer width for two or more transistor classes using a recess spacer integration
US20070202675A1 (en) * 2006-02-28 2007-08-30 Freescale Semiconductor Inc. Method for separately optimizing spacer width for two transistor groups using a recess spacer etch (RSE) integration
US20140147982A1 (en) * 2012-11-28 2014-05-29 Renesas Electronics Corporation Manufacturing method of semiconductor device
CN104658892A (en) * 2013-11-25 2015-05-27 台湾积体电路制造股份有限公司 Method for integrated circuit patterning
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766969A (en) * 1996-12-06 1998-06-16 Advanced Micro Devices, Inc. Multiple spacer formation/removal technique for forming a graded junction
US5847428A (en) * 1996-12-06 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit gate conductor which uses layered spacers to produce a graded junction
US5869879A (en) * 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. CMOS integrated circuit having a sacrificial metal spacer for producing graded NMOS source/drain junctions dissimilar from PMOS source/drain junctions
US5793089A (en) * 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Graded MOS transistor junction formed by aligning a sequence of implants to a selectively removable polysilicon sidewall space and oxide thermally grown thereon
US6093594A (en) * 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6124610A (en) 1998-06-26 2000-09-26 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
US6559019B1 (en) * 1998-08-26 2003-05-06 Texas Instruments Incorporated Breakdown drain extended NMOS
US6218224B1 (en) * 1999-03-26 2001-04-17 Advanced Micro Devices, Inc. Nitride disposable spacer to reduce mask count in CMOS transistor formation
US6444531B1 (en) * 2000-08-24 2002-09-03 Infineon Technologies Ag Disposable spacer technology for device tailoring
KR100372647B1 (en) * 2000-10-13 2003-02-19 주식회사 하이닉스반도체 Method for forming damascene metal gate
US6830979B2 (en) * 2001-05-23 2004-12-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
JP4408679B2 (en) * 2003-10-09 2010-02-03 三洋電機株式会社 Manufacturing method of semiconductor device

Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4172260A (en) 1976-12-01 1979-10-23 Hitachi, Ltd. Insulated gate field effect transistor with source field shield extending over multiple region channel
US4356623A (en) * 1980-09-15 1982-11-02 Texas Instruments Incorporated Fabrication of submicron semiconductor devices
US4638347A (en) 1982-12-07 1987-01-20 International Business Machines Corporation Gate electrode sidewall isolation spacer for field effect transistors
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4843023A (en) * 1985-09-25 1989-06-27 Hewlett-Packard Company Process for forming lightly-doped-drain (LDD) without extra masking steps
US4925807A (en) 1988-06-30 1990-05-15 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US4949136A (en) 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
JPH02280342A (en) 1989-04-20 1990-11-16 Mitsubishi Electric Corp Mos semiconductor device and manufacture thereof
US4971922A (en) 1984-08-22 1990-11-20 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device
US5015598A (en) 1989-11-03 1991-05-14 U.S. Philips Corporation Method of manufacturing a device comprising MIS transistors having a gate electrode in the form of an inverted "T"
US5023190A (en) 1990-08-03 1991-06-11 Micron Technology, Inc. CMOS processes
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
JPH04208571A (en) 1990-11-30 1992-07-30 Matsushita Electron Corp Insulated-gate fet
US5221632A (en) * 1990-10-31 1993-06-22 Matsushita Electric Industrial Co., Ltd. Method of proudcing a MIS transistor
US5241203A (en) 1991-07-10 1993-08-31 International Business Machines Corporation Inverse T-gate FET transistor with lightly doped source and drain region
JPH05267327A (en) 1992-03-18 1993-10-15 Fujitsu Ltd Misfet and its manufacture
US5278441A (en) * 1991-02-27 1994-01-11 Samsung Electronics Co. Ltd. Method for fabricating a semiconductor transistor and structure thereof
US5422506A (en) 1991-04-01 1995-06-06 Sgs-Thomson Microelectronics, Inc. Field effect transistor structure heavily doped source/drain regions and lightly doped source/drain regions
US5424234A (en) * 1991-06-13 1995-06-13 Goldstar Electron Co., Ltd. Method of making oxide semiconductor field effect transistor
US5444282A (en) 1990-10-09 1995-08-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing thereof
US5470773A (en) 1994-04-25 1995-11-28 Advanced Micro Devices, Inc. Method protecting a stacked gate edge in a semiconductor device from self aligned source (SAS) etch
US5493130A (en) 1993-06-10 1996-02-20 Micron Technology, Inc. Integrated circuitry having an electrically conductive sidewall link positioned over and electrically interconnecting respective outer sidewalls of two conductive layers
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
US5501997A (en) * 1994-05-03 1996-03-26 United Microelectronics Corp. Process of fabricating semiconductor devices having lightly-doped drain
US5512771A (en) * 1992-11-04 1996-04-30 Matsushita Electric Industrial Co., Ltd. MOS type semiconductor device having a low concentration impurity diffusion region
US5545578A (en) 1994-06-08 1996-08-13 Samsung Electronics Co., Ltd. Method of maufacturing a semiconductor device having a low resistance gate electrode
US5602045A (en) * 1995-01-30 1997-02-11 Sony Corporation Method for making a semiconductor device
US5654212A (en) 1995-06-30 1997-08-05 Winbond Electronics Corp. Method for making a variable length LDD spacer structure
US5677224A (en) * 1996-09-03 1997-10-14 Advanced Micro Devices, Inc. Method of making asymmetrical N-channel and P-channel devices
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5719425A (en) * 1996-01-31 1998-02-17 Micron Technology, Inc. Multiple implant lightly doped drain (MILDD) field effect transistor
US5739573A (en) 1994-07-22 1998-04-14 Nec Corporation Semiconductor device with improved salicide structure and a method of manufacturing the same
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5757045A (en) * 1996-07-17 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd. CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation
US5766969A (en) 1996-12-06 1998-06-16 Advanced Micro Devices, Inc. Multiple spacer formation/removal technique for forming a graded junction
US5776825A (en) 1994-07-11 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Method for forming a semiconductor device having reduced stepped portions
US5783475A (en) 1995-11-13 1998-07-21 Motorola, Inc. Method of forming a spacer
US5793089A (en) * 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Graded MOS transistor junction formed by aligning a sequence of implants to a selectively removable polysilicon sidewall space and oxide thermally grown thereon
US5837572A (en) * 1997-01-10 1998-11-17 Advanced Micro Devices, Inc. CMOS integrated circuit formed by using removable spacers to produce asymmetrical NMOS junctions before asymmetrical PMOS junctions for optimizing thermal diffusivity of dopants implanted therein
US5846857A (en) * 1997-09-05 1998-12-08 Advanced Micro Devices, Inc. CMOS processing employing removable sidewall spacers for independently optimized N- and P-channel transistor performance
US5869879A (en) * 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. CMOS integrated circuit having a sacrificial metal spacer for producing graded NMOS source/drain junctions dissimilar from PMOS source/drain junctions
US5882973A (en) * 1997-01-27 1999-03-16 Advanced Micro Devices, Inc. Method for forming an integrated circuit having transistors of dissimilarly graded junction profiles

Patent Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4172260A (en) 1976-12-01 1979-10-23 Hitachi, Ltd. Insulated gate field effect transistor with source field shield extending over multiple region channel
US4356623A (en) * 1980-09-15 1982-11-02 Texas Instruments Incorporated Fabrication of submicron semiconductor devices
US4356623B1 (en) * 1980-09-15 1989-07-25
US4638347A (en) 1982-12-07 1987-01-20 International Business Machines Corporation Gate electrode sidewall isolation spacer for field effect transistors
US4971922A (en) 1984-08-22 1990-11-20 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device
US4843023A (en) * 1985-09-25 1989-06-27 Hewlett-Packard Company Process for forming lightly-doped-drain (LDD) without extra masking steps
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4949136A (en) 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
US4925807A (en) 1988-06-30 1990-05-15 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
JPH02280342A (en) 1989-04-20 1990-11-16 Mitsubishi Electric Corp Mos semiconductor device and manufacture thereof
US5015598A (en) 1989-11-03 1991-05-14 U.S. Philips Corporation Method of manufacturing a device comprising MIS transistors having a gate electrode in the form of an inverted "T"
US5023190A (en) 1990-08-03 1991-06-11 Micron Technology, Inc. CMOS processes
US5444282A (en) 1990-10-09 1995-08-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing thereof
US5221632A (en) * 1990-10-31 1993-06-22 Matsushita Electric Industrial Co., Ltd. Method of proudcing a MIS transistor
JPH04208571A (en) 1990-11-30 1992-07-30 Matsushita Electron Corp Insulated-gate fet
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5278441A (en) * 1991-02-27 1994-01-11 Samsung Electronics Co. Ltd. Method for fabricating a semiconductor transistor and structure thereof
US5422506A (en) 1991-04-01 1995-06-06 Sgs-Thomson Microelectronics, Inc. Field effect transistor structure heavily doped source/drain regions and lightly doped source/drain regions
US5424234A (en) * 1991-06-13 1995-06-13 Goldstar Electron Co., Ltd. Method of making oxide semiconductor field effect transistor
US5241203A (en) 1991-07-10 1993-08-31 International Business Machines Corporation Inverse T-gate FET transistor with lightly doped source and drain region
JPH05267327A (en) 1992-03-18 1993-10-15 Fujitsu Ltd Misfet and its manufacture
US5512771A (en) * 1992-11-04 1996-04-30 Matsushita Electric Industrial Co., Ltd. MOS type semiconductor device having a low concentration impurity diffusion region
US5493130A (en) 1993-06-10 1996-02-20 Micron Technology, Inc. Integrated circuitry having an electrically conductive sidewall link positioned over and electrically interconnecting respective outer sidewalls of two conductive layers
US5470773A (en) 1994-04-25 1995-11-28 Advanced Micro Devices, Inc. Method protecting a stacked gate edge in a semiconductor device from self aligned source (SAS) etch
US5501997A (en) * 1994-05-03 1996-03-26 United Microelectronics Corp. Process of fabricating semiconductor devices having lightly-doped drain
US5545578A (en) 1994-06-08 1996-08-13 Samsung Electronics Co., Ltd. Method of maufacturing a semiconductor device having a low resistance gate electrode
US5776825A (en) 1994-07-11 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Method for forming a semiconductor device having reduced stepped portions
US5739573A (en) 1994-07-22 1998-04-14 Nec Corporation Semiconductor device with improved salicide structure and a method of manufacturing the same
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
US5663586A (en) 1994-11-07 1997-09-02 United Microelectronics Corporation Fet device with double spacer
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5602045A (en) * 1995-01-30 1997-02-11 Sony Corporation Method for making a semiconductor device
US5654212A (en) 1995-06-30 1997-08-05 Winbond Electronics Corp. Method for making a variable length LDD spacer structure
US5783475A (en) 1995-11-13 1998-07-21 Motorola, Inc. Method of forming a spacer
US5719425A (en) * 1996-01-31 1998-02-17 Micron Technology, Inc. Multiple implant lightly doped drain (MILDD) field effect transistor
US5757045A (en) * 1996-07-17 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd. CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation
US5677224A (en) * 1996-09-03 1997-10-14 Advanced Micro Devices, Inc. Method of making asymmetrical N-channel and P-channel devices
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5766969A (en) 1996-12-06 1998-06-16 Advanced Micro Devices, Inc. Multiple spacer formation/removal technique for forming a graded junction
US5869879A (en) * 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. CMOS integrated circuit having a sacrificial metal spacer for producing graded NMOS source/drain junctions dissimilar from PMOS source/drain junctions
US5793089A (en) * 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Graded MOS transistor junction formed by aligning a sequence of implants to a selectively removable polysilicon sidewall space and oxide thermally grown thereon
US5837572A (en) * 1997-01-10 1998-11-17 Advanced Micro Devices, Inc. CMOS integrated circuit formed by using removable spacers to produce asymmetrical NMOS junctions before asymmetrical PMOS junctions for optimizing thermal diffusivity of dopants implanted therein
US5882973A (en) * 1997-01-27 1999-03-16 Advanced Micro Devices, Inc. Method for forming an integrated circuit having transistors of dissimilarly graded junction profiles
US5846857A (en) * 1997-09-05 1998-12-08 Advanced Micro Devices, Inc. CMOS processing employing removable sidewall spacers for independently optimized N- and P-channel transistor performance

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Wolf et al., Silicon Processing for the VLSI Era, vol. 1: Process Technology, (C) 1986 by Lattice Press, pp. 183 and 321-323.
Wolf et al., Silicon Processing for the VLSI Era, vol. 1: Process Technology, © 1986 by Lattice Press, pp. 183 and 321-323.

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287906B1 (en) * 1994-11-09 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having MOS transistor and method of manufacturing the same
US9793373B2 (en) 1998-11-12 2017-10-17 Intel Corporation Field effect transistor structure with abrupt source/drain junctions
US9640634B2 (en) 1998-11-12 2017-05-02 Intel Corporation Field effect transistor structure with abrupt source/drain junctions
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US20100133595A1 (en) * 1998-11-12 2010-06-03 Murthy Anand S Field effect transistor structure with abrupt source/drain junctions
US7436035B2 (en) * 1998-11-12 2008-10-14 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US20090011565A1 (en) * 1999-11-05 2009-01-08 Murthy Anand S Field effect transistor structure with abrupt source/drain junctions
US7682916B2 (en) 1999-11-05 2010-03-23 Intel Corporation Field effect transistor structure with abrupt source/drain junctions
US6580149B2 (en) * 2000-08-22 2003-06-17 Micron Technology, Inc. Double LDD devices for improved DRAM refresh
US20020195626A1 (en) * 2000-08-22 2002-12-26 Tran Luan C. Double LDD devices for improved DRAM refresh
US6759288B2 (en) * 2000-08-22 2004-07-06 Micron Technology, Inc. Double LDD devices for improved DRAM refresh
US6455362B1 (en) * 2000-08-22 2002-09-24 Micron Technology, Inc. Double LDD devices for improved dram refresh
US6518107B2 (en) * 2001-02-16 2003-02-11 Advanced Micro Devices, Inc. Non-arsenic N-type dopant implantation for improved source/drain interfaces with nickel silicides
US6506642B1 (en) * 2001-12-19 2003-01-14 Advanced Micro Devices, Inc. Removable spacer technique
US6764966B1 (en) * 2002-02-27 2004-07-20 Advanced Micro Devices, Inc. Spacers with a graded dielectric constant for semiconductor devices having a high-K dielectric
US6808995B2 (en) 2002-03-21 2004-10-26 Macronix International Co., Ltd. Semiconductor device with minimal short-channel effects and low bit-line resistance
US20030178624A1 (en) * 2002-03-21 2003-09-25 Macronix International Co., Ltd Semiconductor device with minimal short-channel effects and low bit-line resistance
US7041538B2 (en) 2002-04-05 2006-05-09 International Business Machines Corporation Method of manufacturing a disposable reversed spacer process for high performance recessed channel CMOS
US20040104433A1 (en) * 2002-04-05 2004-06-03 International Business Machines Corporation Method and structure of a disposable reversed spacer process for high performance recessed channel CMOS
US6677646B2 (en) 2002-04-05 2004-01-13 International Business Machines Corporation Method and structure of a disposable reversed spacer process for high performance recessed channel CMOS
WO2004004010A1 (en) * 2002-06-28 2004-01-08 Stmicroelectronics Nv Method for the production of mos transistors
US20070207621A1 (en) * 2002-06-28 2007-09-06 Stmicroelectronics N.V. Method for the production of MOS transistors
US20100219477A1 (en) * 2002-06-28 2010-09-02 Stmicroelectronics Nv Method for the production of mos transistors
US7718501B2 (en) 2002-06-28 2010-05-18 Stefan Guenther Method for the production of MOS transistors
US8269276B2 (en) 2002-06-28 2012-09-18 Stmicroelectronics Nv Method for the production of MOS transistors
US6703281B1 (en) * 2002-10-21 2004-03-09 Advanced Micro Devices, Inc. Differential laser thermal process with disposable spacers
US20040087120A1 (en) * 2002-10-31 2004-05-06 Thomas Feudel Semiconductor device having improved doping profiles and method of improving the doping profiles of a semiconductor device
US6924216B2 (en) * 2002-10-31 2005-08-02 Advanced Micro Devices, Inc. Semiconductor device having improved doping profiles and method of improving the doping profiles of a semiconductor device
US7232729B1 (en) * 2003-05-06 2007-06-19 Spansion Llc Method for manufacturing a double bitline implant
US6908822B2 (en) 2003-09-15 2005-06-21 Freescale Semiconductor, Inc. Semiconductor device having an insulating layer and method for forming
US20050056899A1 (en) * 2003-09-15 2005-03-17 Rendon Michael J. Semiconductor device having an insulating layer and method for forming
CN1868046B (en) * 2003-10-17 2011-12-28 Nxp股份有限公司 Semiconductor device and method of manufacturing such a semiconductor device
WO2005038900A1 (en) * 2003-10-17 2005-04-28 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing such a semiconductor device
US20060011988A1 (en) * 2004-03-01 2006-01-19 Jian Chen Integrated circuit with multiple spacer insulating region widths
US7018888B2 (en) * 2004-07-30 2006-03-28 Texas Instruments Incorporated Method for manufacturing improved sidewall structures for use in semiconductor devices
DE102004057809B4 (en) * 2004-11-30 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Method for the production of sidewall spacers
US20060115988A1 (en) * 2004-11-30 2006-06-01 Markus Lenski Method of forming sidewall spacers
US7316975B2 (en) 2004-11-30 2008-01-08 Advanced Micro Devices, Inc. Method of forming sidewall spacers
DE102004057809A1 (en) * 2004-11-30 2006-06-01 Advanced Micro Devices, Inc., Sunnyvale Formation of semiconductor structure by modifying first portion of material layer located over first transistor element, and performing etching process to remove modified first portion at greater etch rate than unmodified second portion
US20060281239A1 (en) * 2005-06-14 2006-12-14 Suraj Mathew CMOS fabrication
US8823108B2 (en) 2005-06-14 2014-09-02 Micron Technology, Inc. CMOS fabrication
US9852953B2 (en) 2005-06-14 2017-12-26 Micron Technology, Inc. CMOS fabrication
US20060281241A1 (en) * 2005-06-14 2006-12-14 Suraj Mathew CMOS fabrication
US7858458B2 (en) * 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US9214394B2 (en) 2005-06-14 2015-12-15 Micron Technology, Inc. CMOS fabrication
US20070202643A1 (en) * 2006-02-28 2007-08-30 Freescale Semiconductor, Inc. Method for separately optimizing spacer width for two or more transistor classes using a recess spacer integration
US20070202675A1 (en) * 2006-02-28 2007-08-30 Freescale Semiconductor Inc. Method for separately optimizing spacer width for two transistor groups using a recess spacer etch (RSE) integration
US7820539B2 (en) * 2006-02-28 2010-10-26 Freescale Semiconductor, Inc. Method for separately optimizing spacer width for two transistor groups using a recess spacer etch (RSE) integration
US7902021B2 (en) * 2006-02-28 2011-03-08 Freescale Semiconductor, Inc. Method for separately optimizing spacer width for two or more transistor classes using a recess spacer integration
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US9171928B2 (en) * 2012-11-28 2015-10-27 Renesas Electronics Corporation Manufacturing method of semiconductor device
US20140147982A1 (en) * 2012-11-28 2014-05-29 Renesas Electronics Corporation Manufacturing method of semiconductor device
CN104658892A (en) * 2013-11-25 2015-05-27 台湾积体电路制造股份有限公司 Method for integrated circuit patterning
CN104658892B (en) * 2013-11-25 2018-02-27 台湾积体电路制造股份有限公司 Method for integrated circuit patterns

Also Published As

Publication number Publication date
US5869866A (en) 1999-02-09

Similar Documents

Publication Publication Date Title
US6187620B1 (en) Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions
US6107130A (en) CMOS integrated circuit having a sacrificial metal spacer for producing graded NMOS source/drain junctions dissimilar from PMOS source/drain junctions
US5882973A (en) Method for forming an integrated circuit having transistors of dissimilarly graded junction profiles
US5837572A (en) CMOS integrated circuit formed by using removable spacers to produce asymmetrical NMOS junctions before asymmetrical PMOS junctions for optimizing thermal diffusivity of dopants implanted therein
US5766969A (en) Multiple spacer formation/removal technique for forming a graded junction
US4855247A (en) Process for fabricating self-aligned silicide lightly doped drain MOS devices
US4908326A (en) Process for fabricating self-aligned silicide lightly doped drain MOS devices
US6107129A (en) Integrated circuit having multiple LDD and/or source/drain implant steps to enhance circuit performance
US5851893A (en) Method of making transistor having a gate dielectric which is substantially resistant to drain-side hot carrier injection
US5895955A (en) MOS transistor employing a removable, dual layer etch stop to protect implant regions from sidewall spacer overetch
US5719425A (en) Multiple implant lightly doped drain (MILDD) field effect transistor
US6355955B1 (en) Transistor and a method for forming the transistor with elevated and/or relatively shallow source/drain regions to achieve enhanced gate electrode formation
US5793089A (en) Graded MOS transistor junction formed by aligning a sequence of implants to a selectively removable polysilicon sidewall space and oxide thermally grown thereon
US5741736A (en) Process for forming a transistor with a nonuniformly doped channel
US6258680B1 (en) Integrated circuit gate conductor which uses layered spacers to produce a graded junction
US5998274A (en) Method of forming a multiple implant lightly doped drain (MILDD) field effect transistor
US6255152B1 (en) Method of fabricating CMOS using Si-B layer to form source/drain extension junction
JP2862696B2 (en) An improved method of fabricating an integrated circuit structure having a lightly doped drain (LDD)
US6191446B1 (en) Formation and control of a vertically oriented transistor channel length
US6004852A (en) Manufacture of MOSFET having LDD source/drain region
US5744371A (en) Asymmetrical p-channel transistor having a boron migration barrier and LDD implant only in the drain region
US5909622A (en) Asymmetrical p-channel transistor formed by nitrided oxide and large tilt angle LDD implant
US7009258B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
CA2065242A1 (en) Hot-carrier suppressed sub-micron misfet device
US5950091A (en) Method of making a polysilicon gate conductor of an integrated circuit formed as a sidewall spacer on a sacrificial material

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12