US6372632B1 - Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer - Google Patents

Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer Download PDF

Info

Publication number
US6372632B1
US6372632B1 US09/490,138 US49013800A US6372632B1 US 6372632 B1 US6372632 B1 US 6372632B1 US 49013800 A US49013800 A US 49013800A US 6372632 B1 US6372632 B1 US 6372632B1
Authority
US
United States
Prior art keywords
layer
sacrificial
low
oxide
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/490,138
Inventor
Chen-Hua Yu
Weng Chang
Jih-Chung Twu
Tsu Shih
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US09/490,138 priority Critical patent/US6372632B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, WENG, SHIH, TSU, TWU, JIH-CHURNG, YU, CHEN-HUA
Application granted granted Critical
Publication of US6372632B1 publication Critical patent/US6372632B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the copper (Cu) damascene method has become mainstream in the manufacture of copper interconnects in microminiaturized integrated circuits (IC).
  • CMP chemical mechanical polishing
  • oxide buffing step to reduce dishing and erosion of the copper interconnects. Dishing causes reduced yields, unreliability and unacceptable performance. The deleterious effects of dished copper interconnects accumulate interconnect level by interconnect level.
  • the oxide buffing step worsens the resistance (R s ) uniformity of the formed Cu interconnects and lines formed.
  • U.S. Pat. No. 5,818,110 to Cronin discloses an integrated circuit chip wiring structure, especially dynamic random access memory (DRAM), having crossover and contact capability without an interlock via layer, and a method of making same.
  • This method permits selective exposure of the metal lines in a first insulative layer so that an electrical connection is made with the metal wire of the second insulative layer in the exposed areas.
  • a multi-damascene approach is used to wire the first, thin metallization layer, then providing the second, thick metallization layer with first regions for metal wire and second regions for metal wire that are thinner than the first regions.
  • a conformal layer coating is deposited that fills the second regions but not the first, wider regions. When an etch is then performed, the conformal layer in the wider first regions are completely etched away permitting exposure of the underlying thin metallization layer and formation of electrical connections in those first regions.
  • U.S. Pat. No. 5,244,534 to Yu et al. describes a two step chemical mechanical polishing (CMP), or planarization, technique in the formation of a planar metal plug flush with, or a convex metal plug that slightly protrudes from, an insulation layer in integrated circuit chips.
  • the metal plug is preferably comprised of tungsten (W). Tungsten is deposited on a trenched insulation layer and the first CMP process that is selective to the W is used to remove W from the surface of the insulation layer and leaving slightly recessed W plugs within the trenches, or contact holes.
  • the second CMP process is selective to the insulation layer and only slightly affects the W plugs to leave either: convex protruding W plugs or polished planar W plugs in the trenches.
  • U.S. Pat. No. 5,676,587 to Landers et al. describes a method of removing the tungsten (W) or copper (Cu) layer and a Ti/TiN (titanium/titanium nitride) or Ta/TaN (tantalum/tantalum nitride) liner film from the surface of an oxide layer which does not require an oxide touch-up step to essentially replanarize the surface of the oxide.
  • the method entails removing the first film with a first removal process and stopping the removal before the first film is completely removed. The remaining first film is removed using a second removal process that is selective to the first film.
  • U.S. Pat. No. 5,356,513 to Burke et al. describes a method of producing a substantially planar surface overlying features of a semiconductor structure by the use of forming alternating layers of a hard polishing material and a soft alternating layer over the features of the semiconductor structure. The alternating hard and soft layers are then polished to form a substantially planar surface over the features.
  • U.S. Pat. No. 5,821,168 to Jain describes a process for forming a semiconductor device in which an insulating layer is nitrided and then covered by a thin adhesion layer before depositing a composite copper layer. This process eliminates the need for a separate diffusion barrier since a portion of the insulating layer is converted to form a diffusion barrier film and the adhesion layer reacts with the interconnect material resulting in strong adhesion between the composite copper layer and the diffusion barrier film formed on the insulating layer.
  • the substrate is taken to an electroplating system where 6,000-15,000 ⁇ of copper is plated over the copper seed layer forming a composite copper layer with the copper seed layer indistinguishable from the plated copper layer.
  • CMP then removes the composite copper layer overlying the uppermost surface of the insulating layer.
  • Another object of the present invention is to provide an improved method of forming planarized copper interconnects having increased resistance (R s ) uniformity and good planarity of the formed Cu interconnects and lines.
  • a further object of the present invention is to provide an improved method of forming planar copper interconnects by use of a sacrificial layer.
  • Yet another object of the present invention is to provide an improved method of forming planar copper interconnects by use of a first Cu layer selective CMP followed by a second sacrificial layer selective oxide buffing step.
  • a semiconductor structure is provided.
  • a low K dielectric layer is formed over the semiconductor structure.
  • a sacrificial oxide layer is formed over the low K dielectric layer.
  • the sacrificial layer and low K dielectric layer are damascene patterned to form a trench within the sacrificial oxide layer and low K dielectric layer.
  • a barrier layer is formed over the sacrificial oxide layer, lining the trench side walls and bottom.
  • Metal is deposited on the barrier layer to form a metal layer filling the lined trench and blanket filling the sacrificial-oxide-layer-covered low K dielectric layer.
  • the metal layer and the barrier layer are planarized, exposing the upper surface of the sacrificial layer.
  • the sacrificial layer is then removed by oxide buffing to form a planarized metal interconnect.
  • FIGS. 1 and 2 show a series of schematic cross-sectional diagrams illustrating a method of forming copper interconnects in integrated circuits known to the inventors.
  • FIGS. 3 to 6 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in forming within a trench, within a semiconductor structure employed in integrated circuit fabrication, a planarized copper interconnect, in accordance with a preferred embodiment of the method of the present invention.
  • FIGS. 1 and 2 demonstrate a method known to the inventors of forming copper (Cu) interconnects in integrated circuits. This is not prior art for the purposes of determining the patentability of the present invention. This merely shows a problem found by the inventors.
  • intermetal dielectric (IMD) layer 100 has trenches 120 formed therein.
  • IMD layer 100 is usually comprised of undoped silicon glass (USG).
  • the IMD layer may also be comprised of spin-on low K dielectric or chemical vapor deposition (CVD) low K (i.e. K ⁇ 4.0) materials such as FSG, HSQ, SiLK, PAE-2, FLARE, black diamond, etc.
  • CVD chemical vapor deposition
  • a copper layer 140 is deposited filling trenches 120 and blanket filling IMD layer 100 . Copper layer 140 is then planarized to the upper surface 100 a of IMD layer 100 by chemical mechanical polishing (CMP) and by oxide buffing.
  • CMP chemical mechanical polishing
  • oxide buffing it is not easy to control uniformity using these processes and non-uniform, dished Cu interconnects 140 a are formed as shown in FIG. 2 having concave upper surfaces 160 .
  • the oxide buffing is used to reduce dishing and erosion, it worsens the resistance (R s ) uniformity of the metal line because at different pattern density areas, CMP removes metal unevenly causing different metal thicknesses that will cause R s problem. These variations are caused by the different removal rates for the dielectric 100 and Cu 140 layers.
  • Dished copper interconnects 140 a cause reduced yields, unreliability and unacceptable performance.
  • the deleterious effects of non-uniform, dished Cu interconnects 140 a accumulate interconnect level by interconnect level.
  • the inventors have discovered that the following method eliminates, or minimizes, this undesired dishing 160 of the Cu interconnects and forms uniform Cu interconnects having good planarity and uniform resistance (R S ).
  • low K dielectric layer 10 having an upper surface 10 a may be formed on semiconductor structure 8 by CVD or spin-on methods.
  • the CVD method creates a denser film, and is very popular, being used throughout the world.
  • the spin-on method allows the use of low cost tools, provides good planarity, can form lower-K value materials, and causes less plasma damage.
  • the CVD method is chosen to form dielectric layer 10 , either methylsilane (1MS), two-methylsilane (2MS), three-methylsilane (3MS), four-methylsilane (4MS) or black diamond is used as the major gas; the compensatory gas includes Ar, O 2 , CH 4 , N 2 O, N 2 , H 2 , etc.; with the plasma power similar to that used in regular oxide CVD processes although a post-CVD thermal anneal is required at about 300 to 450° C. for from about 10 to 200 minutes.
  • the spin-on method may be used to form a dielectric layer 10 comprised of SiLK, FLARE, PAE 2, HDSP, HSQ, etc., with: the spin speed adjusted to get a proper thickness of from about 2000 to 12,000 ⁇ ; baking between about 100 and 350° C. at 30 to 180 seconds (′′); and curing required from about 350 to 450° C. for 15 to 120 minutes.
  • Semiconductor structure 8 is understood to possibly include a semiconductor wafer or substrate, active and passive devices formed within the wafer, conductive layers and dielectric layers (e.g., inter-poly oxide (IPO), intermetal dielectric (IMD), etc.) formed over the wafer surface.
  • conductive layers and dielectric layers e.g., inter-poly oxide (IPO), intermetal dielectric (IMD), etc.
  • semiconductor structure is meant to include devices formed within a semiconductor wafer and the layers overlying the wafer.
  • Low K dielectric layer 10 having upper surface 10 a, may be comprised of: fluorine-doped SiO 2 (FSG); polyimide; polysilsequiozane (Si polymer); benzocyclobutene (BCB); parylene N; fluorinated polyimide; parylene P; amorphous Teflon®, or SiLK, FLARE, HOSP, HSQ, Black diamond, 4MS, 3MS, 2MS, or 1MS and is more preferably comprised of SiLK, HSQ, or Black diamond.
  • FSG fluorine-doped SiO 2
  • polyimide polysilsequiozane
  • BCB benzocyclobutene
  • parylene N fluorinated polyimide
  • parylene P amorphous Teflon®
  • SiLK FLARE, HOSP, HSQ, Black diamond, 4MS, 3MS, 2MS, or 1MS and is more preferably comprised of SiLK, HSQ, or Black diamond.
  • Low K dielectric layer 10 has a thickness of from about 1000 to 20,000 ⁇ and more preferably 5000 ⁇ thick. Using low K dielectric material for low K dielectric layer 10 improves circuit performance although it has a higher CMP rate than standard oxides and is more prone to dishing.
  • Sacrificial oxide layer 12 having a thickness h ox of from about 100 to 3000 ⁇ and more preferably 2000 ⁇ thick, is then formed over low K dielectric layer 10 by the spin-on or CVD methods, with the CVD method being the preferred method.
  • Sacrificial oxide layer 12 may be formed from plasma enhanced oxide (PEOX), plasma enhanced tetraethylorthosilicate (PETEOS), or oxysiliconitride (OXSN) and is deposited from about 300 to 450° C., and more preferably from about 350 to 400° C.
  • PEOX plasma enhanced oxide
  • PETEOS plasma enhanced tetraethylorthosilicate
  • OXSN oxysiliconitride
  • Sacrificial oxide layer 12 and low K dielectric layer 10 are patterned and trenches 16 are formed therein as shown in FIG. 3 .
  • Trenches 16 are from about 1500 to 10,000 ⁇ wide and more preferably about 2000 ⁇ . Trenches 16 are preferably formed by plasma etching using either a DRM/TEL, LAM, or MXP/AMAT (Applied Material Corp.) etcher.
  • Barrier layer 18 is then formed over sacrificial oxide layer 12 and within trenches 16 covering the bottom and side walls of the trenches by ionized metal plasma including Ta or TaN, CVD or evaporation methods and more preferably by a Ta/TaN sputtering method using either AMT's Endura tool or Norellus'PVD tool.
  • Barrier layer 18 may comprise tantalum (Ta), tantalum nitride (TaN), Ti, TiN and more preferably Ta/TaN.
  • Barrier layer 18 prevents copper layer 14 from diffusing into low K dielectric layer 10 and permits copper layer 14 to adhere to low K dielectric layer 10 .
  • Barrier layer 16 ranges in thickness from about 2000 to 15,000 ⁇ and is more preferred 5000 ⁇ thick.
  • metal layer 14 that may be formed of tungsten (W), silver (Ag), or gold (Au) and preferably copper (Cu), is then deposited over barrier layer 18 , blanket filling sacrificial oxide layer 12 and filling trenches 16 by electroless deposition, electrochemical deposition (ECD), or CVD methods and more preferably by an ECD method.
  • the preferred structure is a barrier layer 18 comprised of Ta/TaN with a Cu layer 14 formed by ECD.
  • the preferred embodiment consists of a two step CMP performed on a single tool, i.e. (1) a copper CMP of copper layer 14 and barrier layer 18 , followed by (2) an oxide CMP, or oxide buffing, of sacrificial oxide layer 12 .
  • These two CMP steps have different slurries and parameters important to the invention.
  • Copper layer 14 is then chemical-mechanical polished (CMP) to form the structure shown in FIG. 5, with over polish.
  • CMP chemical-mechanical polished
  • the Cu CMP is selective to copper and sacrificial oxide layer 12 functions as a polish stop layer.
  • the Cu CMP process is used with a Cu slurry.
  • the structure shown in FIG. 5 is then subjected to oxide buffing using an oxide slurry selective to sacrificial oxide layer 12 to form the structure shown in FIG. 6 .
  • Low K dielectric layer 10 acts as a polish stop for this oxide buffing step.
  • the oxide buffing is conducted at the following parameters:
  • slurry type list composition of slurries used: Al 2 O 3 , SiO 2 , and/or CaO 2 as the abrasive and H 2 O 2 and an organic acid as oxidizer, surfactant; KOH;
  • pH value of slurry about 3 to 7;
  • process temperature from about 10 to 70° C.
  • polish pressure from about 1 to 7 psi
  • platen speed from about 20 to 500 rpm
  • the resulting structure has good planarity uniformity and good R s uniformity.
  • the good Cu planarity uniformity achieved by the present invention provides for uniform Cu loss, and thus good R s uniformity.

Abstract

A method of forming a planarized metal interconnect comprising the following steps. A semiconductor structure is provided. A low K dielectric layer is formed over the semiconductor structure. A sacrificial layer over is formed over the low K dielectric layer. The sacrificial layer and low K dielectric layer are patterned to form a trench within the sacrificial layer and low K dielectric layer. A barrier layer is formed over the sacrificial layer, lining the trench side walls and bottom. Metal is deposited on the barrier layer to form a metal layer filling the lined trench and blanket filling the sacrificial layer covered low K dielectric layer. The metal layer and the barrier layer are planarized, exposing the upper surface of the sacrificial layer. The sacrificial layer is removed to form a planarized metal interconnect.

Description

BACKGROUND OF THE INVENTION
The copper (Cu) damascene method has become mainstream in the manufacture of copper interconnects in microminiaturized integrated circuits (IC). Currently, chemical mechanical polishing (CMP) of the copper layers includes an oxide buffing step to reduce dishing and erosion of the copper interconnects. Dishing causes reduced yields, unreliability and unacceptable performance. The deleterious effects of dished copper interconnects accumulate interconnect level by interconnect level. However, the oxide buffing step worsens the resistance (Rs) uniformity of the formed Cu interconnects and lines formed.
U.S. Pat. No. 5,818,110 to Cronin discloses an integrated circuit chip wiring structure, especially dynamic random access memory (DRAM), having crossover and contact capability without an interlock via layer, and a method of making same. This method permits selective exposure of the metal lines in a first insulative layer so that an electrical connection is made with the metal wire of the second insulative layer in the exposed areas. A multi-damascene approach is used to wire the first, thin metallization layer, then providing the second, thick metallization layer with first regions for metal wire and second regions for metal wire that are thinner than the first regions. A conformal layer coating is deposited that fills the second regions but not the first, wider regions. When an etch is then performed, the conformal layer in the wider first regions are completely etched away permitting exposure of the underlying thin metallization layer and formation of electrical connections in those first regions.
U.S. Pat. No. 5,244,534 to Yu et al. describes a two step chemical mechanical polishing (CMP), or planarization, technique in the formation of a planar metal plug flush with, or a convex metal plug that slightly protrudes from, an insulation layer in integrated circuit chips. The metal plug is preferably comprised of tungsten (W). Tungsten is deposited on a trenched insulation layer and the first CMP process that is selective to the W is used to remove W from the surface of the insulation layer and leaving slightly recessed W plugs within the trenches, or contact holes. The second CMP process is selective to the insulation layer and only slightly affects the W plugs to leave either: convex protruding W plugs or polished planar W plugs in the trenches.
U.S. Pat. No. 5,676,587 to Landers et al. describes a method of removing the tungsten (W) or copper (Cu) layer and a Ti/TiN (titanium/titanium nitride) or Ta/TaN (tantalum/tantalum nitride) liner film from the surface of an oxide layer which does not require an oxide touch-up step to essentially replanarize the surface of the oxide. The method entails removing the first film with a first removal process and stopping the removal before the first film is completely removed. The remaining first film is removed using a second removal process that is selective to the first film.
U.S. Pat. No. 5,356,513 to Burke et al. describes a method of producing a substantially planar surface overlying features of a semiconductor structure by the use of forming alternating layers of a hard polishing material and a soft alternating layer over the features of the semiconductor structure. The alternating hard and soft layers are then polished to form a substantially planar surface over the features.
U.S. Pat. No. 5,821,168 to Jain describes a process for forming a semiconductor device in which an insulating layer is nitrided and then covered by a thin adhesion layer before depositing a composite copper layer. This process eliminates the need for a separate diffusion barrier since a portion of the insulating layer is converted to form a diffusion barrier film and the adhesion layer reacts with the interconnect material resulting in strong adhesion between the composite copper layer and the diffusion barrier film formed on the insulating layer. After a copper seed layer is deposited by physical vapor deposition over the adhesion layer using a collimated sputtering chamber, the substrate is taken to an electroplating system where 6,000-15,000 Å of copper is plated over the copper seed layer forming a composite copper layer with the copper seed layer indistinguishable from the plated copper layer. CMP then removes the composite copper layer overlying the uppermost surface of the insulating layer.
SUMMARY OF THE INVENTION
Accordingly, it is an object of the present invention to provide an improved method of forming planar copper interconnects.
Another object of the present invention is to provide an improved method of forming planarized copper interconnects having increased resistance (Rs) uniformity and good planarity of the formed Cu interconnects and lines.
A further object of the present invention is to provide an improved method of forming planar copper interconnects by use of a sacrificial layer.
Yet another object of the present invention is to provide an improved method of forming planar copper interconnects by use of a first Cu layer selective CMP followed by a second sacrificial layer selective oxide buffing step.
Other objects will appear hereinafter.
It has now been discovered that the above and other objects of the present invention may be accomplished in the following manner. Specifically, a semiconductor structure is provided. A low K dielectric layer is formed over the semiconductor structure. A sacrificial oxide layer is formed over the low K dielectric layer. The sacrificial layer and low K dielectric layer are damascene patterned to form a trench within the sacrificial oxide layer and low K dielectric layer. A barrier layer is formed over the sacrificial oxide layer, lining the trench side walls and bottom. Metal is deposited on the barrier layer to form a metal layer filling the lined trench and blanket filling the sacrificial-oxide-layer-covered low K dielectric layer. The metal layer and the barrier layer are planarized, exposing the upper surface of the sacrificial layer. The sacrificial layer is then removed by oxide buffing to form a planarized metal interconnect.
BRIEF DESCRIPTION OF THE DRAWINGS
The features and advantages of the method of forming planar copper interconnects according to the present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which:
FIGS. 1 and 2 show a series of schematic cross-sectional diagrams illustrating a method of forming copper interconnects in integrated circuits known to the inventors.
FIGS. 3 to 6 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in forming within a trench, within a semiconductor structure employed in integrated circuit fabrication, a planarized copper interconnect, in accordance with a preferred embodiment of the method of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Unless otherwise specified, all structures, layers, processes etc. may be formed or accomplished by conventional methods known in the prior art.
FIGS. 1 and 2 demonstrate a method known to the inventors of forming copper (Cu) interconnects in integrated circuits. This is not prior art for the purposes of determining the patentability of the present invention. This merely shows a problem found by the inventors.
As shown in FIG. 1, intermetal dielectric (IMD) layer 100 has trenches 120 formed therein. IMD layer 100 is usually comprised of undoped silicon glass (USG). The IMD layer may also be comprised of spin-on low K dielectric or chemical vapor deposition (CVD) low K (i.e. K<4.0) materials such as FSG, HSQ, SiLK, PAE-2, FLARE, black diamond, etc.
A copper layer 140 is deposited filling trenches 120 and blanket filling IMD layer 100. Copper layer 140 is then planarized to the upper surface 100 a of IMD layer 100 by chemical mechanical polishing (CMP) and by oxide buffing. However, it is not easy to control uniformity using these processes and non-uniform, dished Cu interconnects 140 a are formed as shown in FIG. 2 having concave upper surfaces 160. Although the oxide buffing is used to reduce dishing and erosion, it worsens the resistance (Rs) uniformity of the metal line because at different pattern density areas, CMP removes metal unevenly causing different metal thicknesses that will cause Rs problem. These variations are caused by the different removal rates for the dielectric 100 and Cu 140 layers.
Dished copper interconnects 140 a cause reduced yields, unreliability and unacceptable performance. The deleterious effects of non-uniform, dished Cu interconnects 140 a accumulate interconnect level by interconnect level. The inventors have discovered that the following method eliminates, or minimizes, this undesired dishing 160 of the Cu interconnects and forms uniform Cu interconnects having good planarity and uniform resistance (RS).
Accordingly as shown in FIG. 3, low K dielectric layer 10 having an upper surface 10 a may be formed on semiconductor structure 8 by CVD or spin-on methods.
The CVD method creates a denser film, and is very popular, being used throughout the world. The spin-on method allows the use of low cost tools, provides good planarity, can form lower-K value materials, and causes less plasma damage.
If the CVD method is chosen to form dielectric layer 10, either methylsilane (1MS), two-methylsilane (2MS), three-methylsilane (3MS), four-methylsilane (4MS) or black diamond is used as the major gas; the compensatory gas includes Ar, O2, CH4, N2O, N2, H2, etc.; with the plasma power similar to that used in regular oxide CVD processes although a post-CVD thermal anneal is required at about 300 to 450° C. for from about 10 to 200 minutes.
The spin-on method may be used to form a dielectric layer 10 comprised of SiLK, FLARE, PAE 2, HDSP, HSQ, etc., with: the spin speed adjusted to get a proper thickness of from about 2000 to 12,000 Å; baking between about 100 and 350° C. at 30 to 180 seconds (″); and curing required from about 350 to 450° C. for 15 to 120 minutes.
Semiconductor structure 8 is understood to possibly include a semiconductor wafer or substrate, active and passive devices formed within the wafer, conductive layers and dielectric layers (e.g., inter-poly oxide (IPO), intermetal dielectric (IMD), etc.) formed over the wafer surface. The term “semiconductor structure” is meant to include devices formed within a semiconductor wafer and the layers overlying the wafer.
Low K dielectric layer 10, having upper surface 10 a, may be comprised of: fluorine-doped SiO2 (FSG); polyimide; polysilsequiozane (Si polymer); benzocyclobutene (BCB); parylene N; fluorinated polyimide; parylene P; amorphous Teflon®, or SiLK, FLARE, HOSP, HSQ, Black diamond, 4MS, 3MS, 2MS, or 1MS and is more preferably comprised of SiLK, HSQ, or Black diamond.
Low K dielectric layer 10 has a thickness of from about 1000 to 20,000 Å and more preferably 5000 Å thick. Using low K dielectric material for low K dielectric layer 10 improves circuit performance although it has a higher CMP rate than standard oxides and is more prone to dishing.
Sacrificial oxide layer 12, having a thickness hox of from about 100 to 3000 Å and more preferably 2000 Å thick, is then formed over low K dielectric layer 10 by the spin-on or CVD methods, with the CVD method being the preferred method. Sacrificial oxide layer 12 may be formed from plasma enhanced oxide (PEOX), plasma enhanced tetraethylorthosilicate (PETEOS), or oxysiliconitride (OXSN) and is deposited from about 300 to 450° C., and more preferably from about 350 to 400° C.
Sacrificial oxide layer 12 and low K dielectric layer 10 are patterned and trenches 16 are formed therein as shown in FIG. 3. Trenches 16 are from about 1500 to 10,000 Å wide and more preferably about 2000 Å. Trenches 16 are preferably formed by plasma etching using either a DRM/TEL, LAM, or MXP/AMAT (Applied Material Corp.) etcher.
Barrier layer 18 is then formed over sacrificial oxide layer 12 and within trenches 16 covering the bottom and side walls of the trenches by ionized metal plasma including Ta or TaN, CVD or evaporation methods and more preferably by a Ta/TaN sputtering method using either AMT's Endura tool or Norellus'PVD tool. Barrier layer 18 may comprise tantalum (Ta), tantalum nitride (TaN), Ti, TiN and more preferably Ta/TaN. Barrier layer 18 prevents copper layer 14 from diffusing into low K dielectric layer 10 and permits copper layer 14 to adhere to low K dielectric layer 10. Barrier layer 16 ranges in thickness from about 2000 to 15,000 Å and is more preferred 5000 Å thick.
As shown in FIG. 4, metal layer 14, that may be formed of tungsten (W), silver (Ag), or gold (Au) and preferably copper (Cu), is then deposited over barrier layer 18, blanket filling sacrificial oxide layer 12 and filling trenches 16 by electroless deposition, electrochemical deposition (ECD), or CVD methods and more preferably by an ECD method. The preferred structure is a barrier layer 18 comprised of Ta/TaN with a Cu layer 14 formed by ECD.
The preferred embodiment consists of a two step CMP performed on a single tool, i.e. (1) a copper CMP of copper layer 14 and barrier layer 18, followed by (2) an oxide CMP, or oxide buffing, of sacrificial oxide layer 12. These two CMP steps have different slurries and parameters important to the invention.
Copper layer 14 is then chemical-mechanical polished (CMP) to form the structure shown in FIG. 5, with over polish. The Cu CMP is selective to copper and sacrificial oxide layer 12 functions as a polish stop layer. The Cu CMP process is used with a Cu slurry.
The structure shown in FIG. 5 is then subjected to oxide buffing using an oxide slurry selective to sacrificial oxide layer 12 to form the structure shown in FIG. 6. Low K dielectric layer 10 acts as a polish stop for this oxide buffing step.
The oxide buffing is conducted at the following parameters:
slurry type—list composition of slurries used: Al2O3, SiO2, and/or CaO2 as the abrasive and H2O2 and an organic acid as oxidizer, surfactant; KOH;
pH value of slurry: about 3 to 7;
solid content in slurry: Al2O3, SiO2, and/or CaO2;
slurry flow: from about 50-500 cc/minute
process temperature: from about 10 to 70° C.;
polish pressure: from about 1 to 7 psi;
platen speed: from about 20 to 500 rpm; and
pad type: polyurethane.
The respective selectivities for the copper CMP slurry and the oxide buffing slurry are demonstrated by the etching rate for each slurry:
Etching Rate (Å/min.)
Copper layer 14 Low K Dielectric 10 Oxide layer 12
Cu slurry: 3000 20 0
Oxide slurry: 0 20 3000
The resulting structure has good planarity uniformity and good Rs uniformity. The good Cu planarity uniformity achieved by the present invention provides for uniform Cu loss, and thus good Rs uniformity.
While particular embodiments of the present invention have been illustrated and described, it is not intended to limit the invention, except as defined by the following claims.

Claims (33)

We claim:
1. A method of forming a planarized metal interconnect, comprising the steps of:
providing a semiconductor structure;
forming a low K dielectric layer over said semiconductor structure, said low K dielectric layer having an upper surface;
forming a sacrificial layer over said low K dielectric layer; said sacrificial layer having an upper surface;
patterning said sacrificial layer and said low K dielectric layer to form a trench within said sacrificial layer and said low K dielectric layer;
forming a barrier layer over said sacrificial layer and lining said trench side walls and bottom;
depositing metal on said barrier layer to form a metal layer filling said lined trench and blanket filling said sacrificial-layer-covered low K dielectric layer;
planarizing said metal layer and said barrier layer on said upper surface of said sacrificial layer using a first step chemical mechanical polishing process of a two step chemical mechanical polishing process, exposing said upper surface of said sacrificial layer; and
removing said sacrificial layer using a second step chemical mechanical polishing process, having high selectivity to said sacrificial layer, of said two step chemical mechanical polishing process to form a planarized metal interconnect, wherein said sacrificial layer removal is performed by oxide buffing using a slurry including SiO2, Al2O3, or CaO2 solid abrasives; H2O2; a surfactant; and KOH.
2. The method of claim 1, wherein said barrier layer is comprised of Ta.
3. The method of claim 1, wherein said barrier layer is comprised of TaN.
4. The method of claim 1, wherein said low K dielectric layer is formed by a method selected from the group of spin-on and chemical vapor deposition (CVD).
5. The method of claim 1, wherein said low K dielectric layer is from about 1000 to 20,000 Å thick.
6. The method of claim 1, wherein said barrier layer is from about 100 to 800 Å thick.
7. The method of claim 1, wherein said sacrificial layer is from about 100 to 3000 Å thick.
8. The method of claim 1, wherein said trench is from about 1500 to 10,000 Å wide.
9. The method of claim 1, wherein said sacrificial layer is silicon oxide and said sacrificial layer removal is performed by oxide buffing at the following parameters: about 2 to 5 psi polish pressure; about 30 to 100 rpm platen speed; and 30 to 500 cc/minute slurry flow.
10. The method of claim 1, wherein said low K dielectric layer is selected from the group comprising: fluorine-doped SiO2 (FSG), polyimide, polysilsesquiozane (Si polymer), benzocyclobutene (BCB), parylene N, fluorinated polyimide, parylene P, amorphous Teflon®, SiLK, FLARE, PAE-2, HOSP and Hydrogen-Silsesquioxane spin-on-glass (HSQ-SOG).
11. The method of claim 1, wherein said sacrificial layer is silicon oxide.
12. A method of forming a planarized metal interconnect, comprising the steps of:
providing a semiconductor structure;
forming a low K dielectric layer over said semiconductor structure, said low K dielectric layer having an upper surface and being from about 1000 to 3000 Å thick;
forming a sacrificial oxide layer over said low K dielectric layer; said sacrificial oxide layer having an upper surface and being from about 100 to 3000 Å thick;
patterning said sacrificial oxide layer and said low K dielectric layer to form a trench within said sacrificial oxide layer and said low K dielectric layer;
forming a barrier layer over said sacrificial oxide layer and lining said trench side walls and bottom;
depositing copper on said barrier layer to form a copper layer filling said lined trench and blanket filling said sacrificial-oxide-layer-covered low K dielectric layer;
planarizing said copper layer and said barrier layer on said upper surface of said sacrificial oxide layer by a first step chemical mechanical polishing process of a two step chemical mechanical polishing process, exposing said upper surface of said sacrificial oxide layer; and
removing said sacrificial oxide layer by oxide buffing that is a second step chemical mechanical polishing process, having high selectivity to oxide, of said two step chemical mechanical polishing process to form a planarized copper interconnect, wherein said sacrificial oxide layer removal is performed by oxide buffing at the following parameters: about 2 to 5 psi polish pressure; about 30 to 100 rpm platen speed; and 30 to 500 cc/minute slurry flow using a slurry including SiO2, Al2O3, or CaO2 solid abrasives; H2O2; a surfactant; and KOH.
13. The method of claim 12, wherein said low K dielectric layer is formed by a method selected from the group spin-on, CVD and CVD with thermal curing.
14. The method of claim 12, wherein said copper layer is from about 5000 to 15,000 Å thick.
15. The method of claim 12, wherein said sacrificial oxide layer is silicon oxide.
16. A method of forming a planarized metal interconnect, comprising the steps of:
providing a structure;
forming a low K dielectric layer over said structure, said low K dielectric layer having an upper surface;
forming a sacrificial oxide layer over said low K dielectric layer; said sacrificial oxide layer having an upper surface;
patterning said sacrificial oxide layer and said low K dielectric layer to form a trench within said sacrificial oxide layer and said low K dielectric layer;
forming a barrier layer over said sacrificial oxide layer and lining said trench side walls and bottom;
depositing metal on said barrier layer to form a metal layer filling said lined trench and blanket filling said sacrificial-oxide-layer-covered low K dielectric layer;
planarizing said metal layer and said barrier layer on said upper surface of said sacrificial oxide layer, exposing said upper surface of said sacrificial oxide layer; and
removing said sacrificial oxide layer by oxide buffing using a slurry including: SiO2, Al2O3, or CaO2 solid abrasives; H2O2; a surfactant; and KOH, to form a planarized metal interconnect.
17. The method of claim 16, wherein said barrier layer is comprised of Ta.
18. The method of claim 16, wherein said barrier layer is comprised of TaN.
19. The method of claim 16, wherein said low K dielectric layer is formed by a method selected from the group of spin-on and chemical vapor deposition (CVD).
20. The method of claim 16, wherein said low K dielectric layer is from about 1000 to 20,000 Å thick.
21. The method of claim 16, wherein said barrier layer is from about 100 to 800 Å thick.
22. The method of claim 16, wherein said sacrificial layer is from about 100 to 3000 Å thick.
23. The method of claim 16, wherein said trench is from about 1500 to 10,000 Å wide.
24. The method of claim 16, wherein said sacrificial layer is silicon oxide and said sacrificial layer removal is performed by oxide buffing at the following parameters: about 2 to 5 psi polish pressure; about 30 to 100 rpm platen speed; and 30 to 500 cc/minute slurry flow.
25. The method of claim 16, wherein said low K dielectric layer is selected from the group comprising: fluorine-doped SiO2 (FSG), polyimide, polysilsesquiozane (Si polymer), benzocyclobutene (BCB), parylene N, fluorinated polyimide, parylene P, amorphous Teflon®, SiLK, FLARE, PAE-2, HOSP and Hydrogen-Silsesquioxane spin-on-glass (HSQ-SOG).
26. A method of forming a planarized metal interconnect, comprising the steps of:
providing a structure;
forming a low K dielectric layer over said structure, said low K dielectric layer having an upper surface and being from about 1000 to 3000 Å thick;
forming a sacrificial silicon oxide layer over said low K dielectric layer; said sacrificial silicon oxide layer having an upper surface and being from about 100 to 3000 Å thick;
patterning said sacrificial silicon oxide layer and said low K dielectric layer to form a trench within said sacrificial silicon oxide layer and said low K dielectric layer;
forming a barrier layer over said sacrificial silicon oxide layer and lining said trench side walls and bottom;
depositing copper on said barrier layer to form a copper layer filling said lined trench and blanket filling said sacrificial-silicon-oxide-layer-covered low K dielectric layer;
planarizing said copper layer and said barrier layer on said upper surface of said sacrificial silicon oxide layer by chemical mechanical polishing, exposing said upper surface of said sacrificial silicon oxide layer; and
removing said sacrificial silicon oxide layer by silicon oxide buffing to form a planarized copper interconnect; said silicon oxide buffing is performed at the following parameters: about 2 to 5 psi polish pressure; about 30 to 100 rpm platen speed; and 30 to 500 cc/minute slurry flow using a slurry comprised of including SiO2, Al2O3, or CaO2 solid abrasives; H2O2; a surfactant; and KOH.
27. The method of claim 26, wherein said low K dielectric layer is formed by a method selected from the group spin-on, CVD and CVD with thermal curing.
28. The method of claim 26, wherein said copper layer is from about 5000 to 15,000 Å thick.
29. A method of planarizing an oxide layer, comprising the steps of:
forming a metal layer over a structure;
forming an oxide layer over said metal layer;
planarizing said oxide layer by oxide buffing using an oxide slurry including H2O2.
30. The method of claim 29, wherein said the oxide buffing is conducted at the following parameters: about 2 to 5 psi polish pressure; about 30 to 100 rpm platen speed; and 30 to 500 cc/minute slurry flow.
31. The method of claim 29, wherein said oxide slurry further includes: SiO2, Al2O3, or CaO2; solid abrasives; a surfactant; and KOH; and the oxide buffing is conducted at the following parameters: about 2 to 5 psi polish pressure; about 30 to 100 rpm platen speed; and 30 to 500 cc/minute slurry flow.
32. The method of claim 29, wherein said oxide slurry further includes: SiO2, Al2O3, or CaO2; solid abrasives; a surfactant; and KOH.
33. The method of claim 29, wherein said oxide layer is comprised of silicon oxide.
US09/490,138 2000-01-24 2000-01-24 Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer Expired - Lifetime US6372632B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/490,138 US6372632B1 (en) 2000-01-24 2000-01-24 Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/490,138 US6372632B1 (en) 2000-01-24 2000-01-24 Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer

Publications (1)

Publication Number Publication Date
US6372632B1 true US6372632B1 (en) 2002-04-16

Family

ID=23946776

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/490,138 Expired - Lifetime US6372632B1 (en) 2000-01-24 2000-01-24 Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer

Country Status (1)

Country Link
US (1) US6372632B1 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417106B1 (en) * 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
US20030193076A1 (en) * 2002-04-11 2003-10-16 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6639318B1 (en) * 1999-02-15 2003-10-28 Asahi Glass Company, Limited Integrated circuit device and its manufacturing method
US6660619B1 (en) * 2001-01-31 2003-12-09 Advanced Micro Devices, Inc. Dual damascene metal interconnect structure with dielectric studs
US20040060502A1 (en) * 2002-09-26 2004-04-01 University Of Florida High selectivity and high planarity dielectric polishing
US20040137740A1 (en) * 2003-01-15 2004-07-15 Taiwan Semiconductor Manufacturing Company Method to reduce dishing, erosion and low-k dielectric peeling for copper in low-k dielectric CMP process
US6780756B1 (en) * 2003-02-28 2004-08-24 Texas Instruments Incorporated Etch back of interconnect dielectrics
US20040192022A1 (en) * 2002-07-01 2004-09-30 Mirko Vogt Semiconductor configuration with UV protection
US6835616B1 (en) * 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US20050006775A1 (en) * 2000-08-31 2005-01-13 Ying Huang Method, structure and process flow to reduce line-line capacitance with low-K material
US20050026205A1 (en) * 2001-10-26 2005-02-03 Lothar Puppe Method of polishing metal and metal/dielectric structures
US20050112997A1 (en) * 2003-11-26 2005-05-26 Lin Chun H. Advanced process control approach for Cu interconnect wiring sheet resistance control
US20050124151A1 (en) * 2003-12-04 2005-06-09 Taiwan Semiconductor Manufacturing Co. Novel method to deposit carbon doped SiO2 films with improved film quality
US20050255642A1 (en) * 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US20050275941A1 (en) * 2004-05-26 2005-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
WO2006048823A1 (en) 2004-11-08 2006-05-11 Koninklijke Philips Electronics N.V. Planarising damascene structures
US7049246B1 (en) * 2000-05-19 2006-05-23 Newport Fab, Llc Method for selective fabrication of high capacitance density areas in a low dielectric constant material
CN100334695C (en) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 Process for silicon low dielectric material curing in furnace
US20100101448A1 (en) * 2008-10-24 2010-04-29 Dupont Air Products Nanomaterials Llc Polishing Slurry for Copper Films
US20110024907A1 (en) * 2009-07-29 2011-02-03 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN104658961A (en) * 2013-11-19 2015-05-27 中芯国际集成电路制造(上海)有限公司 Metal plug forming method
US20170194201A1 (en) * 2016-01-06 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure and method for forming conductive structure using polishing process
CN110894311A (en) * 2019-12-18 2020-03-20 晋江瑞碧科技有限公司 Preparation method and application of oxygen-releasing antibacterial material

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244534A (en) 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5356513A (en) 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5818110A (en) 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5938505A (en) * 1997-01-10 1999-08-17 Texas Instruments Incorporated High selectivity oxide to nitride slurry
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6004188A (en) * 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6103569A (en) * 1999-12-13 2000-08-15 Chartered Semiconductor Manufacturing Ltd. Method for planarizing local interconnects
US6117782A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6150272A (en) * 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244534A (en) 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5356513A (en) 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5818110A (en) 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5938505A (en) * 1997-01-10 1999-08-17 Texas Instruments Incorporated High selectivity oxide to nitride slurry
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6004188A (en) * 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6150272A (en) * 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6117782A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6103569A (en) * 1999-12-13 2000-08-15 Chartered Semiconductor Manufacturing Ltd. Method for planarizing local interconnects

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Planarization of Dual-Damascene Post-Metal_CMP Structures. Lin, C.; CLevenger, L.; Schnabel, F.; Jamin, F.F.; Dobuzinski, D. Interconnect Technology 1999. IEEE International COnference, 1999. pp. 86-88. *

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6639318B1 (en) * 1999-02-15 2003-10-28 Asahi Glass Company, Limited Integrated circuit device and its manufacturing method
US6417106B1 (en) * 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
US7049246B1 (en) * 2000-05-19 2006-05-23 Newport Fab, Llc Method for selective fabrication of high capacitance density areas in a low dielectric constant material
US20050006775A1 (en) * 2000-08-31 2005-01-13 Ying Huang Method, structure and process flow to reduce line-line capacitance with low-K material
US6660619B1 (en) * 2001-01-31 2003-12-09 Advanced Micro Devices, Inc. Dual damascene metal interconnect structure with dielectric studs
US20050026205A1 (en) * 2001-10-26 2005-02-03 Lothar Puppe Method of polishing metal and metal/dielectric structures
US7227212B1 (en) 2002-01-29 2007-06-05 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US6835616B1 (en) * 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US20030193076A1 (en) * 2002-04-11 2003-10-16 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6642081B1 (en) * 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US20040192022A1 (en) * 2002-07-01 2004-09-30 Mirko Vogt Semiconductor configuration with UV protection
WO2004030041A3 (en) * 2002-09-26 2004-07-01 Univ Florida High selectivity and high planarity dielectric polishing
WO2004030041A2 (en) * 2002-09-26 2004-04-08 University Of Florida High selectivity and high planarity dielectric polishing
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
US20040060502A1 (en) * 2002-09-26 2004-04-01 University Of Florida High selectivity and high planarity dielectric polishing
CN100334695C (en) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 Process for silicon low dielectric material curing in furnace
US20040137740A1 (en) * 2003-01-15 2004-07-15 Taiwan Semiconductor Manufacturing Company Method to reduce dishing, erosion and low-k dielectric peeling for copper in low-k dielectric CMP process
US6780756B1 (en) * 2003-02-28 2004-08-24 Texas Instruments Incorporated Etch back of interconnect dielectrics
US20040169279A1 (en) * 2003-02-28 2004-09-02 Farber David G. Etch back of interconnect dielectrics
US20040169280A1 (en) * 2003-02-28 2004-09-02 Farber David G. Etch back of interconnect dielectrics
US20050112997A1 (en) * 2003-11-26 2005-05-26 Lin Chun H. Advanced process control approach for Cu interconnect wiring sheet resistance control
US7083495B2 (en) 2003-11-26 2006-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control approach for Cu interconnect wiring sheet resistance control
US20050124151A1 (en) * 2003-12-04 2005-06-09 Taiwan Semiconductor Manufacturing Co. Novel method to deposit carbon doped SiO2 films with improved film quality
US20050255642A1 (en) * 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US20050275941A1 (en) * 2004-05-26 2005-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US20110147944A1 (en) * 2004-11-08 2011-06-23 Koninklijke Philips Electronics N.V. Planarising damascene structures
WO2006048823A1 (en) 2004-11-08 2006-05-11 Koninklijke Philips Electronics N.V. Planarising damascene structures
US8012872B2 (en) 2004-11-08 2011-09-06 Nxp B.V. Planarising damascene structures
US20100101448A1 (en) * 2008-10-24 2010-04-29 Dupont Air Products Nanomaterials Llc Polishing Slurry for Copper Films
US8506661B2 (en) 2008-10-24 2013-08-13 Air Products & Chemicals, Inc. Polishing slurry for copper films
US20110024907A1 (en) * 2009-07-29 2011-02-03 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN104658961A (en) * 2013-11-19 2015-05-27 中芯国际集成电路制造(上海)有限公司 Metal plug forming method
CN104658961B (en) * 2013-11-19 2018-03-30 中芯国际集成电路制造(上海)有限公司 The forming method of metal plug
US20170194201A1 (en) * 2016-01-06 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure and method for forming conductive structure using polishing process
US10163700B2 (en) * 2016-01-06 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure using polishing process
CN110894311A (en) * 2019-12-18 2020-03-20 晋江瑞碧科技有限公司 Preparation method and application of oxygen-releasing antibacterial material

Similar Documents

Publication Publication Date Title
US6372632B1 (en) Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
US6004188A (en) Method for forming copper damascene structures by using a dual CMP barrier layer
US6184138B1 (en) Method to create a controllable and reproducible dual copper damascene structure
US6753249B1 (en) Multilayer interface in copper CMP for low K dielectric
USRE41842E1 (en) Methods of forming electrical interconnects on integrated circuit substrates using selective slurries
US7727888B2 (en) Interconnect structure and method for forming the same
CN100442474C (en) Method of manufacturing semiconductor device
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US5854140A (en) Method of making an aluminum contact
US6376376B1 (en) Method to prevent CU dishing during damascene formation
US6074942A (en) Method for forming a dual damascene contact and interconnect
US6309957B1 (en) Method of low-K/copper dual damascene
US6110648A (en) Method of enclosing copper conductor in a dual damascene process
US6103625A (en) Use of a polish stop layer in the formation of metal structures
US7208404B2 (en) Method to reduce Rs pattern dependence effect
US6235633B1 (en) Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6080656A (en) Method for forming a self-aligned copper structure with improved planarity
US7199045B2 (en) Metal-filled openings for submicron devices and methods of manufacture thereof
US6303490B1 (en) Method for barrier layer in copper manufacture
US6841466B1 (en) Method of selectively making copper using plating technology
US6686273B2 (en) Method of fabricating copper interconnects with very low-k inter-level insulator
US6274485B1 (en) Method to reduce dishing in metal chemical-mechanical polishing
US20040171256A1 (en) Mask layer and interconnect structure for dual damascene semiconductor manufacturing
US6429119B1 (en) Dual damascene process to reduce etch barrier thickness
US6383943B1 (en) Process for improving copper fill integrity

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YU, CHEN-HUA;CHANG, WENG;TWU, JIH-CHURNG;AND OTHERS;REEL/FRAME:010585/0840

Effective date: 20000117

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12