US6671862B1 - Method and apparatus for simplifying a circuit model - Google Patents

Method and apparatus for simplifying a circuit model Download PDF

Info

Publication number
US6671862B1
US6671862B1 US10/213,960 US21396002A US6671862B1 US 6671862 B1 US6671862 B1 US 6671862B1 US 21396002 A US21396002 A US 21396002A US 6671862 B1 US6671862 B1 US 6671862B1
Authority
US
United States
Prior art keywords
circuit
model
active device
input
resistance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/213,960
Inventor
Robert Y. Seward
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Hewlett Packard Development Co LP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Development Co LP filed Critical Hewlett Packard Development Co LP
Priority to US10/213,960 priority Critical patent/US6671862B1/en
Assigned to HEWLETT-PACKARD COMPANY reassignment HEWLETT-PACKARD COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SEWARD, ROBERT Y.
Assigned to HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. reassignment HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HEWLETT-PACKARD COMPANY
Priority to GB0317109A priority patent/GB2391660A/en
Application granted granted Critical
Publication of US6671862B1 publication Critical patent/US6671862B1/en
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HEWLETT-PACKARD COMPANY, HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A method, apparatus, and computer program product are described for simplifying a circuit model. The circuit model has at least interconnected active device models, resistance models, and capacitance models. The method involves identifying an active device having an input at a first circuit node coupled to a resistance, where the resistance connects to a second circuit node of the circuit. An input capacitance of the active device is computed and a time constant is computed of the resistance and a total capacitance of the circuit node, including the input capacitance of the active device. The time constant is compared to a threshold, and, if the time constant is less than the threshold, the resistance is removed from the circuit model.

Description

BACKGROUND
Circuit simulation and timing analysis software, hereinafter timing software, is commonly used during design of integrated circuits to determine whether circuitry meets timing requirements. Common timing software includes analog circuit simulators such as Spice, static timing analysis software like HiTas, and programs like Pathmill and Timemill, as well as other programs. Timing software includes dynamic timing software, which models circuit performance, and static timing software, which compares total path delays against limits. Timing software generally works by analyzing or simulating a computer model of circuitry of an integrated circuit, hereinafter the circuit model.
It is known that timing software produces results that are highly dependent upon the accuracy of the circuit model. It is also known that the execution time and memory requirements of timing software is highly dependent upon the complexity of the circuit model, with complex circuit models requiring much greater execution time for analysis than simple circuit models.
Every real integrated circuit has parasitic resistances and capacitances. These are resistances and capacitances that exist in the circuit not because they are desired circuit elements, but because on-chip wiring and diffused regions have resistance and capacitance. It is well known in the art that timing software requires circuit models incorporating the effect of parasitic resistance and capacitance if accurate results are to be produced. In particular, it is known that parasitic resistance and capacitance of on-chip wiring, or interconnect, is particularly significant in modem, high speed, submicron, integrated circuits. The term interconnect as used herein includes all on-chip signal wiring, including diffused regions and polysilicon, whether silicided or not, and metal lines.
Circuit extraction software, available from vendors including Cadence and Mentor Graphics, is commonly run on integrated circuit designs to extract parasitic resistances and capacitances. Software for extraction includes Diva, Dracula, Hyperextract, xCalibre, and other programs. These resistances and capacitances are then incorporated into circuit models for use with timing software. It is known that these circuit models can become extremely complex during analysis of designs for high performance submicron integrated circuits.
It is desirable to simplify complex circuit models, such as may be produced by circuit extraction software, so that timing software will run in reasonable time and memory. It is particularly desirable to simplify circuit models for dynamic timing, since dynamic timing run times are often exponential with respect to model complexity.
It is known that some extracted resistances and capacitances have much greater impact on timing software results than others.
A typical extracted circuit model includes models of many active elements. Typical active elements may include CMOS transistors as P and N channel transistors, each of which has gate capacitance. Circuit models may also include other types of transistor-level active elements, such as bipolar transistors, silicon-germanium bipolar transistors, as well as gallium arsenide bipolar and MESFET devices. An extracted circuit model may also include active elements such as higher-level logic elements, or logic gates. These higher level models may also include modeled input capacitance. Circuit models also may include many resistors for modeling interconnect resistance, and many capacitors for modeling interconnect capacitance.
A prior technique for simplification of complex circuit models is to remove all resistances from the model that have values below a preset minimum resistance threshold. Similarly, all capacitances below a preset minimum capacitance threshold may also be deleted. Most extraction software is capable of ignoring resistance and capacitance below threshold values. While this is simple to implement and can greatly simplify a circuit model, the simplification may introduce significant error into timing software results.
Some of this error results because the impact of a particular resistance or capacitance depends on other model elements and circuit topology. For example, even a small capacitance can cause significant timing delay if it is driven through lengthy interconnect having large resistance. If the small capacitance is deleted from the model, that delay will be ignored.
Several techniques for simplification of circuit models have been published. Such methods are reported by A. J. van Genderen, et al, Extracting Simple but Accurate RC Models for VLSI Interconnect, IEEE International Symposium on Circuits and Systems, 1988; A. Devgan et. al. Realizable Reduction for RC Interconnect Circuits, IEEE/ACM International Conference on CAD, November 1999; B. Sheehan, TICER: Realizable Reduction of Extracted RC Circuits, 1999 IEEE/ACM International Conference on CAD, November 1999; and S Su, et. al, A Simple and Accurate Node Reduction Technique for Interconnect Modeling in Circuit Extraction, IEEE International Conference on CAD, November 1986.
Sheehan, incorporated herein by reference, computes nodal time constants for nodes of the circuit, classifying these time constants into slow, normal, and quick classifications. Nodes having time constants substantially faster than the frequency range of interest are classified as quick nodes; while those having time constants substantially slower than the frequency range of interest are classified as slow nodes. Quick and slow nodes are then eliminated from the circuit.
In particular Sheehan finds instances of quick nodes internal to, and coupled to, normal nodes in an RC network. Sheehan then removes the internal quick node of each instance by effectively transferring nodal capacitance, conductance, and other circuit elements from the quick node to the normal node. Sheehan thereby constructs a simplified network having normal simulation elements such as resistors and capacitors.
Most existing RC network simplification methods focus on reduction at internal nodes of a RC network. These algorithms typically avoid alteration or simplification at terminal nodes of the RC network, such as those attached to device inputs.
It is known that simulation models of CMOS and other field-effect transistors include models of significant input capacitance. This capacitance is nonlinear with voltage and represents a combination of parasitic capacitances and gate capacitance. Each transistor may have a gate resistance associated with it.
SUMMARY
A circuit model includes a network of resistors, capacitors, and active elements. The circuit model may incorporate parasitic resistances and capacitances as extracted from an integrated circuit layout. Typically, each input of an active element of the circuit model is associated with a branch resistance; branch resistances may include a portion of resistance of a gate of each MOS transistor. Each active element is associated with an input capacitance.
Each input to each active element is inspected. In a CMOS, BICMOS, or SIGE integrated circuit, these inputs to active elements include gate connections of N and P type MOS transistors. They may also include base connections of bipolar and heterojunction transistors. Should the circuit model at that point be a capacitance (including an input capacitance of the active element and may include parasitic capacitance), driven through a branch resistance, a time constant for the capacitance and branch resistance is calculated.
If the time constant of the branch resistance and the capacitance is less than a predetermined threshold, the resistance is removed from the circuit without altering other resistances of the circuit model.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic diagram illustrating a portion of a circuit model of an integrated circuit;
FIG. 2, a flowchart of a circuit model reduction process;
FIG. 3, a schematic diagram of a reduced circuit model; and
FIG. 4, a block diagram of apparatus for performing the method herein described.
DETAILED DESCRIPTION OF THE EMBODIMENTS
In a schematic diagram illustrating a portion 100 (FIG. 1) of a circuit model of an integrated circuit, a driving gate 102 is coupled through on-chip interconnect to one or more device 104, 106 inputs. In a real circuit, there are often both N and P type devices, the present method is applicable to either. Interconnect is inherently resistive, its connectivity and resistance is modeled by resistors 108, 110, 112. Interconnect also has capacitance to one or more nodes, which may be modeled by capacitors 114, 116. There is also input capacitance 118, 120 associated with each device 104, 106.
A digital computer reads the circuit model, which is typically in the form of a netlist. A method 200 (FIG. 2) of reducing the circuit model operates by examining the model at each active device, including devices 104 and 106 (FIG. 1). The method continues by identifying 201 each active device of the circuit model. Each input to each active device, such as the gate terminal of transistor 104, is examined 202 to determine whether the circuit model topology is suited for reduction. If 204 an unsuitable topology is found, no reduction is performed.
Suitable topology for reduction includes multiple devices in parallel so long as the multiple devices are driven through a common resistor.
In a particular embodiment, circuit topology is found to not be suitable for reduction if the input is directly connected to an output terminal of an active device.
If the model topology is amenable to reduction, a time constant at that input is computed 206. Generally, this time constant is approximated by multiplying the total capacitance at the input by resistance present at that point in the circuit. The total capacitance used is the sum of any parasitic capacitances 118, input capacitance of the active device 104, and input capacitances of any other active devices present and directly connected to the same node, such as node 105.
It is known that the effective input capacitance of an active device, including CMOS transistors, is a nonlinear function of gate-source voltage. In MOS transistors this nonlinearity is, in part, a consequence of channel formation as gate voltage rises past the device threshold voltage. The input capacitance used in computation of the time constant is the maximum capacitance expected at the input over a particular voltage range of interest. In an alternative embodiment, the input capacitance used in computation of the time constant is an average capacitance over the voltage range (typically from the negative to positive power supply rails) of interest. In a particular embodiment, an average capacitance is estimated by calculating input capacitance Cin=AW+B W L+C L, where A, B, and C are process and voltage range dependent constants, W is device width, and L is device length. The invention is also useful with other methods of calculating input capacitance.
Next, the derived time constant is compared 208 against a threshold value. Inputs having time constants greater than the threshold are not reduced; those having time constants below the threshold are reduced. The threshold value is chosen to permit significant circuit model reduction while minimizing resulting simulation inaccuracy. The threshold depends on the target process for the integrated circuit, and is chosen to be small relative to circuit delays of the circuit. In a particular embodiment, the threshold is chosen to be a small percentage of loaded gate delay, with interconnect delay, of a typical gate having typical local interconnect as determined by circuit simulations.
If the computed time constant is below the threshold; the resistance, such as resistance 110, present at the input is removed from the circuit model, and parasitic capacitances, such as capacitors 116 and 118, formerly connecting to nodes 105 and 107 on each side of resistor 110, are merged into fewer, larger, capacitors, such as capacitor 300 (FIG. 3). In particular, capacitor pairs that couple the nodes to the same node are merged. This results in capacitors to ground or substrate, such as capacitors 116 and 118, being merged into larger capacitor 300. In a particular embodiment, capacitors that couple to different nodes are retained, so as to allow simulation of crosstalk effects.
In a particular embodiment, if a resistor is removed from the circuit model, the circuit model at that input is re-examined 214 to determine if it has suitable topology for further reduction. Suitable topology could result upon removal of a last final input resistor, such as resistors 108 and 110, from a node. If the topology is suited to further reduction, the steps of computing the time constant, comparing the time constant to a threshold, and, if the time constant is below the threshold then removing the resistor and merging capacitors, are repeated. In this way, the digital computer can test resistor 112 for significance and, if it results in an insignificantly small time constant, delete it from the circuit model.
In alternative embodiment, re-examination 214 of the circuit model at the reduced input occurs only if the time constant was below a second threshold, the second threshold being less than the threshold previously compared 208.
Once all reductions possible at a particular active device input have been performed, the method is repeated 218 for any other active device inputs remaining in the circuit model.
In an alternative embodiment, the method of simplifying a circuit model described herein with reference to FIG. 2 is combined with a method of simplifying internal nodes of RC networks to produce a further simplified circuit model. In a particular alternative embodiment, the method of Sheehan is performed on the circuit model first, the method described herein second, to produce the further simplified circuit model.
A computer program product is any machine-readable media, such as an EPROM, ROM, RAM, DRAM, disk memory, or tape, having recorded on it computer readable code that, when read by and executed on a computer, instructs that computer to perform a particular function or sequence of functions. A computer having the code loaded on it includes a computer program product because it incorporates DRAM and/or disk memory having the code recorded in it. A computer executing the circuit model reduction method of the present invention would generally also incorporate a program product since code for the method would typically reside in memory of the computer while the method is being performed.
An apparatus 300 (FIG. 4) for performing the method has a memory system 302, which incorporates one or more levels of main memory, cache, and disk memory subsystems. The memory system 302 has recorded therein a circuit model 304 and a sequence of machine-readable instructions 306 for instructing a processor 308 to perform the steps of the method of FIG. 2 upon the circuit model 304 as heretofore described. In a particular embodiment, memory system 302 is memory of a digital computer, and processor 308 is a processor of the digital computer.

Claims (20)

What is claimed is:
1. A method of simplifying a circuit model, the circuit model comprising a plurality of interconnected active device models, resistance models, and capacitance models, the method comprising the steps of:
identifying an active device having an input coupled to a first circuit node coupled to a resistance, where the resistance model is coupled to a second circuit node of the circuit model;
calculating an input capacitance of the active device;
computing a time constant of the resistance and a total capacitance of the first circuit node, where the total capacitance of the first circuit node includes the input capacitance of the active device;
comparing the time constant to a threshold; and
if the time constant is less than the threshold, removing the resistance from the circuit model and coupling the input of the active device to the second circuit node.
2. The method of claim 1, wherein the method is repeated for a plurality of active devices of the circuit model.
3. The method of claim 1, wherein the method is repeated at an input of the active device if the time constant was less than the threshold and, after removing the resistor from the circuit model and coupling the input of the active device to the second circuit node, a topology of the circuit model at the input of the active device is suitable for simplification.
4. The method of claim 3, wherein the method is repeated for a plurality of active devices of the circuit model.
5. The method of claim 3, further comprising the step of simplifying internal nodes of the circuit model.
6. The method of claim 5, wherein the step of simplifying internal nodes of the circuit model is performed prior to the step of identifying an active device having an input coupled to a first circuit node.
7. The method of claim 1, further comprising the step of simplifying internal nodes of the circuit model.
8. The method of claim 7, wherein the step of simplifying internal nodes of the circuit model is performed prior to the step of identifying an active device having an input coupled to a first circuit node.
9. The method of claim 8, wherein the step of simplifying internal nodes of the circuit model is performed according to the method of Sheehan.
10. A computer program product comprising a machine readable media having recorded thereon machine readable instructions comprising instructions for execution of the steps:
identifying an active device having an input coupled to a first circuit node coupled to a resistance, where the resistance model is coupled to a second circuit node of the circuit model;
calculating an input capacitance of the active device;
computing a time constant of the resistance and a total capacitance of the circuit node, where the total capacitance of the circuit node includes the input capacitance of the active device;
comparing the time constant to a first threshold; and
if the time constant is less than the threshold, removing the resistance from the circuit model and coupling the input of the active device to the second circuit node.
11. The computer program product of claim 10, wherein the instructions further comprise instructions for iterating the steps of identifying, calculating, computing, comparing, and removing for a plurality of active devices of the circuit model.
12. The computer program product of claim 10, wherein the instructions further comprise instructions for iterating at an input of the active device if the time constant was less than a second threshold and, after removing the resistor from the circuit model and coupling the input of the active device to the second circuit node, a topology of the circuit model at the input of the active device is suitable for simplification.
13. The computer program product of claim 12, wherein the instructions further comprise instructions for iterating the steps of identifying, calculating, computing, comparing, and removing, for a plurality of active devices of the circuit model.
14. The computer program product of claim 12, further comprising machine readable code for simplifying internal nodes of the circuit model.
15. The computer program product of claim 14, wherein the second threshold is equal to the first threshold.
16. The computer program product of claim 15, wherein the second threshold is less than the first threshold.
17. The computer program product of claim 10, further comprising machine readable instructions for simplifying internal nodes of the circuit model.
18. The computer program product of claim 17, wherein simplifying internal nodes of the circuit model is performed prior to identifying an active device having an input coupled to a first circuit node.
19. Apparatus for simplifying a circuit model, the circuit model comprising a plurality of interconnected active device models, resistance models, and capacitance models, comprising:
means for identifying an active device model having an input coupled to a first circuit node coupled to a resistance model, where the resistance model is coupled to a second circuit node of the circuit model;
means for calculating an input capacitance of the active device model;
means for computing a time constant of the resistance model and a total capacitance of the circuit node, where the total capacitance of the circuit node includes the input capacitance of the active device model;
means for comparing the time constant to a threshold; and if the time constant is less than the threshold, removing the resistance model from the circuit model and altering the circuit model to couple the input of the active device model to the second circuit node.
20. Apparatus for simplifying a circuit model comprising digital computing apparatus having memory, the memory having recorded therein machine-readable instructions for performing steps comprising:
reading a circuit model comprising a plurality of interconnected active device models, resistance models, and capacitance models,
identifying an active device model of the circuit model having an input coupled to a first circuit node coupled to a resistance model, where the resistance model is coupled to a second circuit node of the circuit model;
calculating an input capacitance of the active device;
computing a time constant of the first circuit node from a resistance of the resistance model and a total capacitance of the first circuit node, where the total capacitance of the first circuit node includes the input capacitance of the active device;
comparing the time constant to a threshold, and, if the time constant is less than the threshold, removing the resistance from the circuit model and coupling the input of the active device to the second circuit node; and
repeating the steps of identifying, calculating, computing, and comparing for a plurality of active devices of the circuit model.
US10/213,960 2002-08-07 2002-08-07 Method and apparatus for simplifying a circuit model Expired - Lifetime US6671862B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/213,960 US6671862B1 (en) 2002-08-07 2002-08-07 Method and apparatus for simplifying a circuit model
GB0317109A GB2391660A (en) 2002-08-07 2003-07-22 Simplifying a circuit model by removing parasitic capacitance and resistance based on time constant values

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/213,960 US6671862B1 (en) 2002-08-07 2002-08-07 Method and apparatus for simplifying a circuit model

Publications (1)

Publication Number Publication Date
US6671862B1 true US6671862B1 (en) 2003-12-30

Family

ID=27788758

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/213,960 Expired - Lifetime US6671862B1 (en) 2002-08-07 2002-08-07 Method and apparatus for simplifying a circuit model

Country Status (2)

Country Link
US (1) US6671862B1 (en)
GB (1) GB2391660A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060031055A1 (en) * 2004-04-07 2006-02-09 Sheehan Bernard N Branch merge reduction of RLCM networks
US7137089B1 (en) * 2004-09-01 2006-11-14 National Semiconductor Corporation Systems and methods for reducing IR-drop noise
US20070299647A1 (en) * 2005-07-26 2007-12-27 Mentor Graphics Corporation Accelerated Analog and/or Rf Simulation
US20090206493A1 (en) * 2003-11-08 2009-08-20 Stats Chippac, Ltd. Flip Chip Interconnection Pad Layout
US20110074047A1 (en) * 2003-11-08 2011-03-31 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Pad Layout for Flipchip Semiconductor Die
US8392867B2 (en) 2011-01-13 2013-03-05 International Business Machines Corporation System, method and program storage device for developing condensed netlists representative of groups of active devices in an integrated circuit and for modeling the performance of the integrated circuit based on the condensed netlists
JP2014182430A (en) * 2013-03-18 2014-09-29 Fujitsu Ltd Design support device, design support program, and design support method
US10402532B1 (en) * 2016-04-07 2019-09-03 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing an electronic design with electrical analyses with compensation circuit components
US10521529B2 (en) * 2016-06-21 2019-12-31 Realtek Semiconductor Corp. Simulation method for mixed-signal circuit system and related electronic device
US10672439B2 (en) * 2018-07-10 2020-06-02 Globalfoundries Inc. Data dependent keeper on global data lines

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5638294A (en) * 1993-12-21 1997-06-10 Mitsubishi Denki Kabushiki Kaisha Device and method for calculating delay time
US5699264A (en) * 1995-12-12 1997-12-16 Mitsubishi Electric Semiconductor Software Co., Ltd. Semiconductor circuit design verifying apparatus
US5875114A (en) * 1996-08-27 1999-02-23 Mitsubishi Denki Kabushiki Kaisha Interconnect delay calculation apparatus and path delay value verification apparatus for designing semiconductor integrated circuit and circuit model data storage device
US6463574B1 (en) * 1998-06-12 2002-10-08 Sun Microsystems, Inc. Apparatus and method for inserting repeaters into a complex integrated circuit
US6463570B1 (en) * 2000-07-26 2002-10-08 Advanced Micro Devices Apparatus and method for verifying process integrity

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831111B2 (en) * 1990-11-27 1996-03-27 三菱電機株式会社 Layout pattern verification system
JPH1092938A (en) * 1996-09-10 1998-04-10 Fujitsu Ltd Layout method, layout apparatus and database

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5638294A (en) * 1993-12-21 1997-06-10 Mitsubishi Denki Kabushiki Kaisha Device and method for calculating delay time
US5699264A (en) * 1995-12-12 1997-12-16 Mitsubishi Electric Semiconductor Software Co., Ltd. Semiconductor circuit design verifying apparatus
US5875114A (en) * 1996-08-27 1999-02-23 Mitsubishi Denki Kabushiki Kaisha Interconnect delay calculation apparatus and path delay value verification apparatus for designing semiconductor integrated circuit and circuit model data storage device
US6463574B1 (en) * 1998-06-12 2002-10-08 Sun Microsystems, Inc. Apparatus and method for inserting repeaters into a complex integrated circuit
US6463570B1 (en) * 2000-07-26 2002-10-08 Advanced Micro Devices Apparatus and method for verifying process integrity

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
A.J. van Genderen & N.P. van der Meijs; Extracting Simple But Accurate RC Models For VLSI Interconnection; 1988 IEEE International Symposium On Circuits And Systems; pp. 2351-2354; Jun. 7-9, 1988.
Anirudh Devgan & Peter R. O'Brien; Realizable Reduction For RC Interconnect Circuits; 1999 IEEE/ACM International Conference On Computer-Aided Design; pp. 204-207; Nov. 7-11, 1999.
Bernard N. Sheehan; TICER: Realizable Reduction Of Extracted RC Circuits; 1999 IEEE/ACM International Conference On Computer-Aided Design; pp. 200-203; Nov. 7-11, 1999.
Shun-Lin Su, Vasant B. Rao, & Timothy N. Trick; A Simple And Accurate Node Reduction Technique For Interconnect Modeling In Circuit Extraction; IEEE International Conference On Computer-Aided Design, ICCAD-86 Digest of Technical Papers; pp. 270-273; Nov. 11-13, 1986.

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9780057B2 (en) 2003-11-08 2017-10-03 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming pad layout for flipchip semiconductor die
US8129837B2 (en) 2003-11-08 2012-03-06 Stats Chippac, Ltd. Flip chip interconnection pad layout
US20110074047A1 (en) * 2003-11-08 2011-03-31 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Pad Layout for Flipchip Semiconductor Die
US20090206493A1 (en) * 2003-11-08 2009-08-20 Stats Chippac, Ltd. Flip Chip Interconnection Pad Layout
US7774174B2 (en) * 2004-04-07 2010-08-10 Mentor Graphics Corporation Branch merge reduction of RLCM networks
US20060031055A1 (en) * 2004-04-07 2006-02-09 Sheehan Bernard N Branch merge reduction of RLCM networks
US7137089B1 (en) * 2004-09-01 2006-11-14 National Semiconductor Corporation Systems and methods for reducing IR-drop noise
US20070001234A1 (en) * 2004-09-01 2007-01-04 Kong Myung J Systems and methods for reducing IR-drop noise
US7546557B2 (en) 2004-09-01 2009-06-09 National Semiconductor Corporation Systems and methods for reducing IR-drop noise
US20070299647A1 (en) * 2005-07-26 2007-12-27 Mentor Graphics Corporation Accelerated Analog and/or Rf Simulation
US8700377B2 (en) * 2005-07-26 2014-04-15 Mentor Graphics Corporation Accelerated analog and/or RF simulation
US8392867B2 (en) 2011-01-13 2013-03-05 International Business Machines Corporation System, method and program storage device for developing condensed netlists representative of groups of active devices in an integrated circuit and for modeling the performance of the integrated circuit based on the condensed netlists
JP2014182430A (en) * 2013-03-18 2014-09-29 Fujitsu Ltd Design support device, design support program, and design support method
US10402532B1 (en) * 2016-04-07 2019-09-03 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing an electronic design with electrical analyses with compensation circuit components
US10521529B2 (en) * 2016-06-21 2019-12-31 Realtek Semiconductor Corp. Simulation method for mixed-signal circuit system and related electronic device
US10672439B2 (en) * 2018-07-10 2020-06-02 Globalfoundries Inc. Data dependent keeper on global data lines

Also Published As

Publication number Publication date
GB0317109D0 (en) 2003-08-27
GB2391660A (en) 2004-02-11

Similar Documents

Publication Publication Date Title
US6182269B1 (en) Method and device for fast and accurate parasitic extraction
US8245165B1 (en) Methods and apparatus for waveform based variational static timing analysis
Gupta et al. The Elmore delay as bound for RC trees with generalized input signals
US6671862B1 (en) Method and apparatus for simplifying a circuit model
JP2784104B2 (en) Timing simulation system
US5636130A (en) Method of determining signal propagation delay through circuit elements
US20220114317A1 (en) Systems, methods, and computer program products for transistor compact modeling using artificial neural networks
Van Genderen et al. Extracting simple but accurate RC models for VLSI interconnect
Kahng et al. Improved effective capacitance computations for use in logic and layout optimization
US6854099B2 (en) Balanced accuracy for extraction
Macys et al. A new algorithm for computing the" effective capacitance" in deep sub-micron circuits
Menezes et al. Simultaneous gate and interconnect sizing for circuit-level delay optimization
US6606587B1 (en) Method and apparatus for estimating elmore delays within circuit designs
Kahng et al. New efficient algorithms for computing effective capacitance
JP3735560B2 (en) Method for evaluating semiconductor integrated circuit
JPH05198678A (en) Layout method for semiconductor integrated circuit and layout device
Dghais et al. Power supply-and temperature-aware I/O buffer model for signal-power integrity simulation
US20020077799A1 (en) Delay time estimation method and recording medium storing estimation program
US6877142B2 (en) Timing verifier for MOS devices and related method
JP3296320B2 (en) Gate delay calculation device and recording medium recording gate delay calculation program
Shao et al. IR drop and ground bounce awareness timing model
Nassif et al. A more effective C/sub EFF
JP2940467B2 (en) Output load capacity calculation method
Nassif et al. Robust Elmore delay models suitable for full chip timing verification of a 600MHz CMOS microprocessor
Lin et al. An accurate and efficient delay model for CMOS gates in switch-level timing analysis

Legal Events

Date Code Title Description
AS Assignment

Owner name: HEWLETT-PACKARD COMPANY, COLORADO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SEWARD, ROBERT Y.;REEL/FRAME:013561/0720

Effective date: 20020829

AS Assignment

Owner name: HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P., COLORAD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HEWLETT-PACKARD COMPANY;REEL/FRAME:013776/0928

Effective date: 20030131

Owner name: HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P.,COLORADO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HEWLETT-PACKARD COMPANY;REEL/FRAME:013776/0928

Effective date: 20030131

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P.;HEWLETT-PACKARD COMPANY;REEL/FRAME:026198/0139

Effective date: 20101019

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12