US6716571B2 - Selective photoresist hardening to facilitate lateral trimming - Google Patents

Selective photoresist hardening to facilitate lateral trimming Download PDF

Info

Publication number
US6716571B2
US6716571B2 US09/819,343 US81934301A US6716571B2 US 6716571 B2 US6716571 B2 US 6716571B2 US 81934301 A US81934301 A US 81934301A US 6716571 B2 US6716571 B2 US 6716571B2
Authority
US
United States
Prior art keywords
feature
photoresist layer
top portion
patterned
trimming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US09/819,343
Other versions
US20020139773A1 (en
Inventor
Calvin T. Gabriel
Harry J. Levinson
Uzodinma Okoroanyanwu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Assigned to ADVANCED MICRO DEVICES, INC. reassignment ADVANCED MICRO DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GABRIEL, CALVIN T., LEVINSON, HARRY J., OKOROANYANWU, UZODINMA
Priority to US09/819,343 priority Critical patent/US6716571B2/en
Priority to DE60230124T priority patent/DE60230124D1/en
Priority to AU2002309493A priority patent/AU2002309493A1/en
Priority to JP2002576026A priority patent/JP2004533110A/en
Priority to CNB028075102A priority patent/CN1270353C/en
Priority to PCT/US2002/005640 priority patent/WO2002078095A2/en
Priority to EP02736491A priority patent/EP1374289B1/en
Priority to KR1020037012635A priority patent/KR100847369B1/en
Publication of US20020139773A1 publication Critical patent/US20020139773A1/en
Publication of US6716571B2 publication Critical patent/US6716571B2/en
Application granted granted Critical
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. AFFIRMATION OF PATENT ASSIGNMENT Assignors: ADVANCED MICRO DEVICES, INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Definitions

  • the present specification relates generally to integrated circuit (IC) fabrication. More particularly, the present specification relates to fabrication of IC features having sub-lithographic lateral dimensions using a preferentially hardened etch resistant photoresist surface.
  • the semiconductor or integrated circuit (IC) industry aims to manufacture ICs with higher and higher densities of devices on a smaller chip area to achieve greater functionality and to reduce manufacturing costs.
  • This desire for large scale integration requires continued shrinking of circuit dimensions and device features.
  • the ability to reduce the size of structures, such as, gate lengths in field-effect transistors and the width of conductive lines, is driven by lithographic performance.
  • IC fabrication techniques often utilize a photomask (also referred to as a mask) or a reticle. Radiation is provided selectively through or reflected off the mask or reticle to form an image on a semiconductor wafer. Generally, the image is projected and patterned onto a layer of material, such as, photoresist material, on the wafer. In turn, the patterned photoresist material is utilized to define doping regions, deposition regions, etching regions, and/or other structures of the IC. The patterned photoresist material can also define conductive lines or conductive pads associated with metal layers of the IC. Further, the patterned photoresist material can define isolation regions, transistor gates, or other device structures and elements.
  • a photomask also referred to as a mask
  • reticle a reticle. Radiation is provided selectively through or reflected off the mask or reticle to form an image on a semiconductor wafer. Generally, the image is projected and patterned onto a layer of material, such as, photores
  • lithography systems include a light source configured to provide electromagnetic radiation or light at one or more wavelengths.
  • the light source may produce radiation at a wavelength of 365 nanometers (nm), 248 nm, and/or 193 nm.
  • the photoresist material patterned by such radiation is selected to be responsive to the wavelength of such radiation.
  • the areas of the photoresist material upon which radiation is incident undergo a photochemical change such that it becomes suitably soluble or insoluble in a subsequent developing step.
  • One process for achieving sub-lithographic device dimensions is by reducing or “trimming” features defined on the patterned photoresist material before such features are patterned onto the underlying layer(s). This process, commonly referred to as a resist trim or trimming process, utilizes a plasma etch to remove some of the patterned photoresist material such that the lateral dimensions of the trimmed features will be smaller than the original lithographically patterned features (i.e., before the trimming process).
  • the plasma bombards all surfaces of the patterned photoresist material, such that top surface as well as side or lateral surfaces of the patterned photoresist material are ashed.
  • the trimming time is increased (i.e., the patterned photoresist material is exposed to the plasma etch for a longer period of time) in order to further reduce lateral dimensions (i.e., further ash the side surfaces, thereby reducing the width of features, such as, contact lines)
  • the thickness of the patterned photoresist material is also being reduced (due to erosion of its top surface).
  • Unfortunately with enough thinning of the patterned photoresist material, there may not be enough photoresist thickness remaining to survive or with which to perform subsequent processes, such as pattern transfer to underlying layer(s) via an etch process.
  • the plasma has a tendency to bombard the top surface of the patterned photoresist material more than the lateral surfaces.
  • the plasma has a tendency to bombard the top surface of the patterned photoresist material more than the lateral surfaces.
  • an aggressive trimming process with a layer of photoresist material having a starting thickness of 300 nm, by the time approximately 50 nm has been trimmed from all sides of a given feature, in other words, achieving a 100 nm lateral reduction, close to 100 nm of vertical thickness may also be lost. This amount of thinning of the photoresist material is likely to result in pattern destruction during subsequent processes.
  • the longer wavelength photoresist materials exhibit high optical absorption per unit thickness at the shorter exposure wavelengths.
  • the longer wavelength photoresist materials are increasingly opaque to shorter wavelength radiation and the necessary photochemical change does not occur throughout the entire thickness of the material. As the photoresist material thickness is increased, incomplete pattern transfer throughout the entire thickness of the material is even more likely to occur.
  • pattern deformation such as, pattern collapse, pattern bending, or pattern breakage.
  • the probability of pattern deformation of a given feature increases as its aspect ratio (i.e., the ratio of the height vs. the width of the feature), increases.
  • a thicker photoresist material is more likely to result in pattern deformation.
  • a conventional thickness of photoresist material places a limit on lateral trimming due to vertical consumption concerns. Namely, since the ashing process also thins the photoresist as it narrows the patterned features, the trimming process must be stopped before desirable lateral reduction has been achieved to ensure that a thick enough layer of patterned photoresist material remains for subsequent processes (e.g., etch processes for pattern transfer to underlying layer(s), such as a polysilicon gate formation). On the other hand, starting with a thicker layer of photoresist material to permit prolonged trimming is also problematic due to incomplete pattern transfer and/or pattern deformation concerns.
  • One exemplary embodiment relates to a method of trimming a feature patterned on a photoresist layer.
  • the photoresist layer is disposed over a substrate and the feature includes a top portion and lateral surfaces.
  • the method includes modifying the top portion of the feature patterned on the photoresist layer in an ion-dominated environment to form a modified top portion.
  • the method further includes trimming the feature patterned on the photoresist layer to form a trimmed feature.
  • a vertical trim rate and a lateral trim rate are associated with the feature. The vertical trim rate is slower than the lateral trim rate due to the modified top portion.
  • the process includes developing a patterned photoresist layer, and modifying the patterned photoresist layer to form a top portion and a bottom portion of the at least one feature.
  • the patterned photoresist layer includes at least one feature.
  • the top portion has a top etch rate and the bottom portion has a bottom etch rate.
  • the top etch rate is different from the bottom etch rate.
  • the process further includes trimming the patterned photoresist layer to change the at least one feature to have a sub-lithographic lateral dimension and a sufficient vertical thickness to maintain pattern integrity.
  • the modifying step is performed after the developing step and before the ashing step.
  • Still another exemplary embodiment relates to an integrated circuit having a feature of sub-lithographic dimension.
  • the feature is formed by the process including patterning the feature on a photoresist layer disposed over a substrate, developing the feature patterned on the photoresist layer, and changing at least a portion of the photoresist layer.
  • the feature is patterned in accordance with a radiation at a lithographic wavelength and a pattern provided on a mask or a reticle.
  • a top portion of the feature patterned on the photoresist layer is changed to have a different etch rate from a bottom portion of the feature patterned on the photoresist layer.
  • the process further includes trimming the feature patterned on the photoresist layer to a sub-lithographic dimension, and transferring the trimmed feature patterned on the photoresist layer to the substrate.
  • the feature in the substrate has the sub-lithographic dimension.
  • FIG. 1 is a general schematic block diagram of a lithographic system for patterning a wafer in accordance with an exemplary embodiment
  • FIG. 2 is a flow diagram showing a process for forming sub-lithographic features in accordance with an exemplary embodiment
  • FIG. 3 is a cross-sectional view of the wafer, showing a development step
  • FIG. 4 is a cross-sectional view of the wafer illustrated in FIG. 3, showing an ion implantation step
  • FIG. 5 is a cross-sectional view of the wafer illustrated in FIG. 4, showing a resist trimming step
  • FIG. 6 is a cross-sectional view of the wafer illustrated in FIG. 5, showing a removal step.
  • a wafer 24 is disposed in a lithographic system 10 .
  • Lithographic system 10 includes a chamber 12 , a light source 14 , a condenser lens assembly 16 , a mask or a reticle 18 , an objective lens assembly 20 , and a stage 22 .
  • Lithographic system 10 is configured to transfer a pattern or image provided on mask or reticle 18 to wafer 24 .
  • Lithographic system 10 may be a lithographic camera or stepper unit.
  • lithographic system 10 may be a PAS 5500/900 series machine manufactured by ASML, a microscan DUV system manufactured by Silicon Valley Group, or an XLS family microlithography system manufactured by Integrated Solutions, Inc. of Korea.
  • Wafer 24 includes a substrate 26 , a layer 28 , and a photoresist layer 30 .
  • Photoresist layer 24 is disposed over layer 28
  • layer 28 is disposed over substrate 26 .
  • Wafer 24 can be an entire integrated circuit (IC) wafer or a part of an IC wafer.
  • Wafer 24 can be a part of an IC, such as, a memory, a processing unit, an input/output device, etc.
  • Substrate 26 can be a semiconductor substrate, such as, silicon, gallium arsenide, germanium, or other substrate material.
  • Substrate 26 can include one or more layers of material and/or features, such as lines, interconnects, vias, doped regions, etc., and can further include devices, such as, transistors, microactuators, microsensors, capacitors, resistors, diodes, etc.
  • Layer 28 can be an insulative layer, a conductive layer, a barrier layer, or other layer of material to be etched, doped, or layered.
  • layer 28 can comprise one or more layers of materials, such as, a gate stack comprised of a gate dielectric covered by polysilicon, a silicide such as tungsten silicide, or other conductive materials.
  • layer 28 is a hard mask layer, such as, a silicon nitride layer or a metal layer. The hard mask layer can serve as a patterned layer for processing substrate 26 or for processing a layer upon substrate 26 .
  • layer 28 is an anti-reflective coating (ARC).
  • Substrate 26 and layer 28 are not described in a limiting fashion, and can each comprise a conductive, semiconductive, or insulative material.
  • Photoresist layer 30 can comprise a variety of photoresist chemicals suitable for lithographic applications. Photoresist layer 30 is selected to have photochemical reactions in response to electromagnetic radiation emitted from light source 14 . Materials comprising photoresist layer 30 can include, among others, a matrix material or resin, a sensitizer or inhibitor, and a solvent. Photoresist layer 30 is preferably a chemically amplified, positive or negative tone, organic based photoresist. For example, photoresist layer 30 may comprise PAR700 photoresist manufactured by Sumitomo Chemical Company. Photoresist layer 30 is deposited, for example, by spin-coating over layer 28 . Photoresist layer 30 is provided at a thickness of less than 1.0 ⁇ m.
  • Chamber 12 of lithographic system 10 can be a vacuum or low pressure chamber for use in vacuum ultraviolet (VUV) lithography.
  • Chamber 12 can contain any of numerous types of atmospheres, such as, nitrogen, etc.
  • lithographic system 10 can be utilized in various other types of lithography including lithography that uses electromagnetic radiation at any number of wavelengths.
  • Light source 14 provides light or electromagnetic radiation through condenser lens assembly 16 , mask or reticle 18 , and objective lens assembly 20 to photoresist layer 30 .
  • Light source 14 is an excimer laser, in one embodiment, having a wavelength of 365 nm, 248 nm, 193 nm, or 157 nm.
  • light source 14 may be a variety of other light sources capable of emitting radiation having a wavelength in the ultraviolet (UV), vacuum ultraviolet (VUV), deep ultraviolet (DUV), or extreme ultraviolet (EUV) range.
  • Assemblies 16 and 20 include lenses, mirrors, collimators, beam splitters, and/or other optical components to suitably focus and direct a pattern of radiation (i.e., radiation from light source 14 as modified by a pattern or image provided on mask or reticle 18 ) onto photoresist layer 30 .
  • Stage 22 supports wafer 24 and can move wafer 24 relative to assembly 20 .
  • Mask or reticle 18 is a binary mask in one embodiment.
  • Mask or reticle 18 includes a translucent substrate 32 (e.g., glass or quartz) and an opaque or patterned layer 34 (e.g., chromium or chromium oxide) thereon.
  • Opaque layer 34 provides a pattern or image associated with a desired circuit pattern, features, or devices to be projected onto photoresist layer 30 .
  • mask or reticle 18 may be an attenuating phase shift mask, an alternating phase shift mask, or other type of mask or reticle.
  • the flow diagram includes a pattern photoresist step 39 , a develop patterned photoresist step 40 , an ion implantation step 42 , a resist trimming step 44 , and a remove top surface of photoresist step 46 .
  • the pattern or image provided on mask or reticle 18 is patterned on photoresist layer 30 in the pattern photoresist step 39 .
  • wafer 24 including patterned photoresist layer 30 , undergoes development to define features, such as, a feature 50 , on photoresist layer 30 (FIG. 3 ).
  • an ion implantation step 42 is performed on wafer 24 .
  • wafer 24 may be removed from chamber 12 and placed within a different chamber and/or a different environment which provides ion implantation tools, such as, the Applied Materials, Inc. SWIFT implanter, or in a plasma processing tool, such as, the Lam Research Corp. TCP 9400 SE.
  • chamber 12 may be configured to include additional chambers and/or tools suitable to perform step 42 .
  • FIG. 4 there is shown a cross-sectional view of a portion of wafer 24 undergoing ion implantation step 42 .
  • An uniform beam of ions 51 bombards wafer 24 to modify the exposed horizontal surface(s) of photoresist layer 30 .
  • Ions 51 which come into contact with the molecules of layer 30 cause such molecules (e.g., top surface 52 ) to become chemically and structurally different from the non-bombarded regions (e.g., remaining portion 54 ).
  • Ions 51 may include inert elements such as argon or nitrogen, or reactive compounds involving, for instance, fluorine in the form of CF 4 .
  • the dose of ions 51 may be in the range of approximately 1 ⁇ 10 12 to 1 ⁇ 10 16 ions/cm 2 with an energy approximately ranging from 0.1 to 20 keV.
  • top surface 52 becomes harder or densifies such that it will have a slower trim or ash rate than the untreated areas of photoresist layer 30 (e.g., untreated portion 54 ).
  • fluorinating wafer 24 can reduce the etch rate by hardening it, i.e., by reducing the reactivity of certain portions of layer 30 with trimming chemistries such as O 2 .
  • top surface 52 has a reduced ash rate in the range of 10-75% slower than the ash rate of untreated portion 54 .
  • Top surface or hardened layer 52 has a thickness in the range of 5-200 nm.
  • Wafer 24 is exposed to an ion-dominated environment such as an argon, fluorine, or CF 4 containing plasma.
  • Ions 51 generated in this ion-dominated environment are configured to be perpendicularly directed with respect to the horizontal surface of layer 30 (in other words, ions 51 should come down onto layer 30 as vertically as possible) such that ions 51 do not harden the exposed side or lateral surfaces of layer 30 (e.g., side walls 53 of feature 50 ).
  • the vertical thickness or depth of top surface 52 is primarily determined by the depth of penetration of ions 51 .
  • the depth of ion penetration can be determined experimentally or by using a Monte Carlo modeling program.
  • the depth of ion penetration depends on the ion species, the properties of the photoresist, and the ion energy. Typically, the higher the ion energy the greater the depth of ion penetration (e.g., greater the depth of top surface 52 ). For example, Lam Research Corp.
  • TCP 9400SE operated at a chamber pressure of 20 mTorr, a bias power of 1000 W, and flowing 100 sccm Ar will supply ions 51 (in this instance, ions 51 being Ar+ions) in the 1.0 keV range to layer 30 to a depth of about 50 nm.
  • the reduced trim rate of top surface 52 is primarily determined by the ion dose, with higher doses causing further reduction in the trim rate.
  • the trim rate of top surface 52 is also dependent on reactions between the ion species being implanted or penetrating into layer 30 and the material comprising layer 30 .
  • the vertical thickness and/or hardness of top surface 52 can be controlled by the configuration of ions 51 such that all of top surface 52 will not be removed before the desired critical dimension has been achieved (i.e., before the completion of the trimming step 44 ).
  • feature 50 is suitably configured to withstand a more aggressive resist trimming or ashing process than is conventionally possible.
  • Treated top surface 52 has greater etch resistance (or, equivalently, a slower etch or ash rate) than untreated region 54 .
  • etch resistance or, equivalently, a slower etch or ash rate
  • feature 50 comprising top surface 52 and untreated portion 54 undergoes the resist trimming or ashing process (i.e., step 44 ).
  • Wafer 24 is preferably exposed to a plasma etchant 58 to trim or reduce the dimensions of features patterned on layer 30 .
  • Plasma etchant 58 can comprise a variety of plasma etch chemistries, such as, oxidizing chemistries involving oxygen (O 2 , CO, or H 2 O 2 ) or reducing chemistries involving hydrogen (H 2 or NH 3 ). These gases can be used either in their pure form, mixed with each other, or combined with other gases, such as, Ar, N 2 , He, and/or H 2 . For example, with a Tokyo Electron, Ltd.
  • Plasma etchant 58 can have the following parameters associated therewith: 200 mTorr chamber pressure, 200 W RF power, 200 sccm N 2 , 20 sccm O 2 , and 25° C. lower electrode temperature. Under these conditions, the trimming rate is approximately 1.4 nm/sec such that about 36 seconds of trimming time is required to achieve a 50 nm critical dimension reduction. Wafer 24 may be in the same processing environment (e.g., same chamber) for both steps 42 and 44 , or may be removed from the processing environment of step 42 and placed in a different processing environment for step 44 .
  • Plasma etchant 58 etches all exposed surfaces on layer 30 , including the top and side surfaces. However, because different portions of layer 30 have different etch rates following ion implantation step 42 (e.g., top surface 52 vs. untreated portion 54 ), the dimensional reduction of all of the surfaces of layer 30 will not be the same. As shown in FIG. 5, the vertical reduction of feature 50 is less than the lateral reduction of feature 50 . Specifically, top surface 52 trims vertically at a slower rate than the sides of untreated portion 54 , temporarily making feature 50 a “T” shaped feature. The dotted line in FIG. 5 represents the shape of feature 50 before commencement of resist trimming step 44 .
  • top surface 52 and plasma etchant 58 are configured such that the amount of consumption or erosion of top surface 52 during step 44 is small or negligible.
  • the vertical thickness loss associated with top surface 52 is 10-75% less than without hardening. So a 50 nm critical dimension reduction, corresponding to 25 nm removal from each side of untreated region 54 , removes approximately 6.25-22.5 nm from top surface 52 . In contrast, the exposed surfaces of untreated portion 54 (i.e., side walls 53 ) will trim to sub-lithographic dimensions.
  • Top surface 52 has a slower etch rate than untreated regions 54 as top surface 52 contains a smaller number of holes or pores per unit area into which plasma etchant 58 can penetrate and break apart.
  • feature 50 now comprises untreated portion 54 which has been laterally trimmed (i.e., a trimmed portion 62 ).
  • Trimmed portion 62 has a lateral dimension 66 that is smaller than an initial or nominal lateral dimension 56 (FIG. 4) of feature 50 .
  • initial lateral dimension 56 may be 150 nm and lateral dimension 66 may be in the range of 30-120 nm.
  • the vertical thickness of trimmed feature 50 is the vertical thickness of trimmed portion 62 , and may be about 50-90% of the original vertical thickness of feature 50 (i.e., before resist trimming step 44 ).
  • Trimmed feature 50 of FIG. 6 may then be transferred to other layers comprising wafer 24 , such as, layer 28 , via an etching process (not shown). Accordingly, wafer 24 will contain integrated circuit features having sub-lithographic dimensions. Trimmed feature 50 may define features, such as, but not limited to, a conducting line, a gate of an MOS transistor, or a dielectric space, for example, between adjacent conductor trenches in a damascene application. In one embodiment, the removal of the remaining top surface 52 after the resist trimming step and pattern transfer to underlying layer(s) may be accomplished in a single process step.
  • the resultant features patterned on layer 30 can achieve trimmed lateral dimensions similar to those achievable with conventional resist trimming processes but with more resist thickness remaining.
  • the resultant features patterned on layer 30 can comprise a resist thickness similar to those conventionally achievable but with narrower lateral dimensions. Having a greater thickness of resist remaining increases the probability that such trimmed features will survive subsequent processes to be faithfully patterned onto underlying layers.
  • conventional photoresist materials, conventional photoresist thicknesses, and/or conventional resist trimming processes may be utilized to form integrated circuit (IC) features having significantly sub-lithographic dimensions without concerns of pattern deformation or destruction during pattern transfer to underlying layer(s).
  • IC integrated circuit
  • a more aggressive resist trimming process is permitted (e.g., extended trimming time) before destruction of pattern integrity is likely to occur due to insufficient resist thickness.

Abstract

A process for forming sub-lithographic features in an integrated circuit is disclosed herein. The process includes modifying a photoresist layer after patterning and development but before it is utilized to pattern the underlying layers. The modified photoresist layer has different etch rates in the vertical and horizontal directions. The modified photoresist layer is trimmed with a plasma etch. A feature included in the trimmed photoresist layer has a sub-lithographic lateral dimension.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
The present application is related to U.S. application Ser. No. 09/819,692 by Okoroanyanwu at al., entitled “Process for Preventing Deformation of Patterned Photoresist Features by Electron Beam Stabilization;” U.S. application Ser. No. 09/820,143 by Okoroanyanwu et al., entitled “Improving SEM Inspection and Analysis of Patterned Photoresist Features;” U.S. application Ser. No. 09/819,344 by Okoroanyanwu et al., entitled “Process for Reducing the Critical Dimensions of Integrated Circuit Device Features;” U.S. application Ser. No. 09/819,342 by Shields et al., entitled “Process for Forming Sub-Lithographic Photoresist Features by Modification of the Photoresist Surface;” and U.S. application Ser. No. 09/819,652 by Gabriel et al., entitled “Process for Improving the Etch Stability of Ultra-Thin Photoresist,” all filed on an even date herewith and assigned to the Assignee of the present application.
FIELD OF THE INVENTION
The present specification relates generally to integrated circuit (IC) fabrication. More particularly, the present specification relates to fabrication of IC features having sub-lithographic lateral dimensions using a preferentially hardened etch resistant photoresist surface.
BACKGROUND OF THE INVENTION
The semiconductor or integrated circuit (IC) industry aims to manufacture ICs with higher and higher densities of devices on a smaller chip area to achieve greater functionality and to reduce manufacturing costs. This desire for large scale integration requires continued shrinking of circuit dimensions and device features. The ability to reduce the size of structures, such as, gate lengths in field-effect transistors and the width of conductive lines, is driven by lithographic performance.
IC fabrication techniques often utilize a photomask (also referred to as a mask) or a reticle. Radiation is provided selectively through or reflected off the mask or reticle to form an image on a semiconductor wafer. Generally, the image is projected and patterned onto a layer of material, such as, photoresist material, on the wafer. In turn, the patterned photoresist material is utilized to define doping regions, deposition regions, etching regions, and/or other structures of the IC. The patterned photoresist material can also define conductive lines or conductive pads associated with metal layers of the IC. Further, the patterned photoresist material can define isolation regions, transistor gates, or other device structures and elements.
To transfer an image or pattern onto the photoresist material, lithography systems include a light source configured to provide electromagnetic radiation or light at one or more wavelengths. The light source may produce radiation at a wavelength of 365 nanometers (nm), 248 nm, and/or 193 nm. The photoresist material patterned by such radiation is selected to be responsive to the wavelength of such radiation. Preferably, the areas of the photoresist material upon which radiation is incident undergo a photochemical change such that it becomes suitably soluble or insoluble in a subsequent developing step.
As IC device dimensions continue to shrink, it becomes necessary to define dimensions that are smaller than what is possible using conventional lithographic techniques. One process for achieving sub-lithographic device dimensions is by reducing or “trimming” features defined on the patterned photoresist material before such features are patterned onto the underlying layer(s). This process, commonly referred to as a resist trim or trimming process, utilizes a plasma etch to remove some of the patterned photoresist material such that the lateral dimensions of the trimmed features will be smaller than the original lithographically patterned features (i.e., before the trimming process).
In a resist trimming process, the plasma bombards all surfaces of the patterned photoresist material, such that top surface as well as side or lateral surfaces of the patterned photoresist material are ashed. Hence, as the trimming time is increased (i.e., the patterned photoresist material is exposed to the plasma etch for a longer period of time) in order to further reduce lateral dimensions (i.e., further ash the side surfaces, thereby reducing the width of features, such as, contact lines), the thickness of the patterned photoresist material is also being reduced (due to erosion of its top surface). Unfortunately, with enough thinning of the patterned photoresist material, there may not be enough photoresist thickness remaining to survive or with which to perform subsequent processes, such as pattern transfer to underlying layer(s) via an etch process.
Moreover, in some cases, the plasma has a tendency to bombard the top surface of the patterned photoresist material more than the lateral surfaces. As such, in an aggressive trimming process with a layer of photoresist material having a starting thickness of 300 nm, by the time approximately 50 nm has been trimmed from all sides of a given feature, in other words, achieving a 100 nm lateral reduction, close to 100 nm of vertical thickness may also be lost. This amount of thinning of the photoresist material is likely to result in pattern destruction during subsequent processes.
To combat the photoresist thinning problem, thicker layers of photoresist material have been considered to combat resist thinning that occurs during the trimming process. However, thicker layers of photoresist material are susceptible to pattern deformation and/or incomplete pattern transfer on the photoresist material become issues. Because the resolution of features is, in part, proportional to the inverse of the exposure or lithographic wavelength, it is desirable to pattern photoresist material using shorter exposure wavelengths (e.g., 157 nm, 126 nm, or 13.4 nm). Presently, no photoresist materials exist that are specifically suited for such shorter exposure wavelengths. Hence, photoresist materials conventionally used in 265 nm, 248 nm, or 193 nm lithography are utilized, even for the shorter exposure wavelengths.
The longer wavelength photoresist materials exhibit high optical absorption per unit thickness at the shorter exposure wavelengths. The longer wavelength photoresist materials are increasingly opaque to shorter wavelength radiation and the necessary photochemical change does not occur throughout the entire thickness of the material. As the photoresist material thickness is increased, incomplete pattern transfer throughout the entire thickness of the material is even more likely to occur.
Alternatively, even if complete pattern transfer has occurred, prolonged trimming (to achieve very narrow features in the thicker patterned photoresist material) can cause pattern deformation, such as, pattern collapse, pattern bending, or pattern breakage. The probability of pattern deformation of a given feature increases as its aspect ratio (i.e., the ratio of the height vs. the width of the feature), increases. Thus, a thicker photoresist material is more likely to result in pattern deformation.
Using a conventional thickness of photoresist material places a limit on lateral trimming due to vertical consumption concerns. Namely, since the ashing process also thins the photoresist as it narrows the patterned features, the trimming process must be stopped before desirable lateral reduction has been achieved to ensure that a thick enough layer of patterned photoresist material remains for subsequent processes (e.g., etch processes for pattern transfer to underlying layer(s), such as a polysilicon gate formation). On the other hand, starting with a thicker layer of photoresist material to permit prolonged trimming is also problematic due to incomplete pattern transfer and/or pattern deformation concerns.
Thus, there is a need for a process for permitting a conventional photoresist trimming process to be utilized to its maximum potential. There is a further need for a process for laterally trimming patterned features on a photoresist material without such photoresist material having associated therewith pattern deformation, incomplete pattern transfer, or insufficient vertical thickness for subsequent lithographic processes. Even further still, there is a need for a process for forming sub-lithographic photoresist features without extensive modification to conventional lithographic techniques, tools, materials, or equipment or significantly decreasing throughout.
BRIEF SUMMARY OF THE INVENTION
One exemplary embodiment relates to a method of trimming a feature patterned on a photoresist layer. The photoresist layer is disposed over a substrate and the feature includes a top portion and lateral surfaces. The method includes modifying the top portion of the feature patterned on the photoresist layer in an ion-dominated environment to form a modified top portion. The method further includes trimming the feature patterned on the photoresist layer to form a trimmed feature. A vertical trim rate and a lateral trim rate are associated with the feature. The vertical trim rate is slower than the lateral trim rate due to the modified top portion.
Another exemplary embodiment relates to an integrated circuit fabrication process. The process includes developing a patterned photoresist layer, and modifying the patterned photoresist layer to form a top portion and a bottom portion of the at least one feature. The patterned photoresist layer includes at least one feature. The top portion has a top etch rate and the bottom portion has a bottom etch rate. The top etch rate is different from the bottom etch rate. The process further includes trimming the patterned photoresist layer to change the at least one feature to have a sub-lithographic lateral dimension and a sufficient vertical thickness to maintain pattern integrity. The modifying step is performed after the developing step and before the ashing step.
Still another exemplary embodiment relates to an integrated circuit having a feature of sub-lithographic dimension. The feature is formed by the process including patterning the feature on a photoresist layer disposed over a substrate, developing the feature patterned on the photoresist layer, and changing at least a portion of the photoresist layer. The feature is patterned in accordance with a radiation at a lithographic wavelength and a pattern provided on a mask or a reticle. A top portion of the feature patterned on the photoresist layer is changed to have a different etch rate from a bottom portion of the feature patterned on the photoresist layer. The process further includes trimming the feature patterned on the photoresist layer to a sub-lithographic dimension, and transferring the trimmed feature patterned on the photoresist layer to the substrate. The feature in the substrate has the sub-lithographic dimension.
BRIEF DESCRIPTION OF THE DRAWINGS
The exemplary embodiments will become more fully understood from the following detailed description, taken in conjunction with the accompanying drawings, wherein like reference numerals denote like elements, in which:
FIG. 1 is a general schematic block diagram of a lithographic system for patterning a wafer in accordance with an exemplary embodiment;
FIG. 2 is a flow diagram showing a process for forming sub-lithographic features in accordance with an exemplary embodiment;
FIG. 3 is a cross-sectional view of the wafer, showing a development step;
FIG. 4 is a cross-sectional view of the wafer illustrated in FIG. 3, showing an ion implantation step;
FIG. 5 is a cross-sectional view of the wafer illustrated in FIG. 4, showing a resist trimming step; and
FIG. 6 is a cross-sectional view of the wafer illustrated in FIG. 5, showing a removal step.
DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
Referring to FIG. 1, a wafer 24 is disposed in a lithographic system 10. Lithographic system 10 includes a chamber 12, a light source 14, a condenser lens assembly 16, a mask or a reticle 18, an objective lens assembly 20, and a stage 22. Lithographic system 10 is configured to transfer a pattern or image provided on mask or reticle 18 to wafer 24. Lithographic system 10 may be a lithographic camera or stepper unit. For example, lithographic system 10 may be a PAS 5500/900 series machine manufactured by ASML, a microscan DUV system manufactured by Silicon Valley Group, or an XLS family microlithography system manufactured by Integrated Solutions, Inc. of Korea.
Wafer 24 includes a substrate 26, a layer 28, and a photoresist layer 30. Photoresist layer 24 is disposed over layer 28, and layer 28 is disposed over substrate 26. Wafer 24 can be an entire integrated circuit (IC) wafer or a part of an IC wafer. Wafer 24 can be a part of an IC, such as, a memory, a processing unit, an input/output device, etc. Substrate 26 can be a semiconductor substrate, such as, silicon, gallium arsenide, germanium, or other substrate material. Substrate 26 can include one or more layers of material and/or features, such as lines, interconnects, vias, doped regions, etc., and can further include devices, such as, transistors, microactuators, microsensors, capacitors, resistors, diodes, etc.
Layer 28 can be an insulative layer, a conductive layer, a barrier layer, or other layer of material to be etched, doped, or layered. In one embodiment, layer 28 can comprise one or more layers of materials, such as, a gate stack comprised of a gate dielectric covered by polysilicon, a silicide such as tungsten silicide, or other conductive materials. In another embodiment, layer 28 is a hard mask layer, such as, a silicon nitride layer or a metal layer. The hard mask layer can serve as a patterned layer for processing substrate 26 or for processing a layer upon substrate 26. In yet another embodiment, layer 28 is an anti-reflective coating (ARC). Substrate 26 and layer 28 are not described in a limiting fashion, and can each comprise a conductive, semiconductive, or insulative material.
Photoresist layer 30 can comprise a variety of photoresist chemicals suitable for lithographic applications. Photoresist layer 30 is selected to have photochemical reactions in response to electromagnetic radiation emitted from light source 14. Materials comprising photoresist layer 30 can include, among others, a matrix material or resin, a sensitizer or inhibitor, and a solvent. Photoresist layer 30 is preferably a chemically amplified, positive or negative tone, organic based photoresist. For example, photoresist layer 30 may comprise PAR700 photoresist manufactured by Sumitomo Chemical Company. Photoresist layer 30 is deposited, for example, by spin-coating over layer 28. Photoresist layer 30 is provided at a thickness of less than 1.0 μm.
Chamber 12 of lithographic system 10 can be a vacuum or low pressure chamber for use in vacuum ultraviolet (VUV) lithography. Chamber 12 can contain any of numerous types of atmospheres, such as, nitrogen, etc. Alternatively, lithographic system 10 can be utilized in various other types of lithography including lithography that uses electromagnetic radiation at any number of wavelengths.
Light source 14 provides light or electromagnetic radiation through condenser lens assembly 16, mask or reticle 18, and objective lens assembly 20 to photoresist layer 30. Light source 14 is an excimer laser, in one embodiment, having a wavelength of 365 nm, 248 nm, 193 nm, or 157 nm. Alternatively, light source 14 may be a variety of other light sources capable of emitting radiation having a wavelength in the ultraviolet (UV), vacuum ultraviolet (VUV), deep ultraviolet (DUV), or extreme ultraviolet (EUV) range.
Assemblies 16 and 20 include lenses, mirrors, collimators, beam splitters, and/or other optical components to suitably focus and direct a pattern of radiation (i.e., radiation from light source 14 as modified by a pattern or image provided on mask or reticle 18) onto photoresist layer 30. Stage 22 supports wafer 24 and can move wafer 24 relative to assembly 20.
Mask or reticle 18 is a binary mask in one embodiment. Mask or reticle 18 includes a translucent substrate 32 (e.g., glass or quartz) and an opaque or patterned layer 34 (e.g., chromium or chromium oxide) thereon. Opaque layer 34 provides a pattern or image associated with a desired circuit pattern, features, or devices to be projected onto photoresist layer 30. Alternatively, mask or reticle 18 may be an attenuating phase shift mask, an alternating phase shift mask, or other type of mask or reticle.
An exemplary embodiment of the invention will be described with reference to a flow diagram shown in FIG. 2. The flow diagram includes a pattern photoresist step 39, a develop patterned photoresist step 40, an ion implantation step 42, a resist trimming step 44, and a remove top surface of photoresist step 46.
Utilizing lithography system 10, the pattern or image provided on mask or reticle 18 is patterned on photoresist layer 30 in the pattern photoresist step 39. Next in step 40, wafer 24, including patterned photoresist layer 30, undergoes development to define features, such as, a feature 50, on photoresist layer 30 (FIG. 3).
After the patterned photoresist layer 30 has been developed but before such a pattern is transferred onto any of the underlying layers, such as, layer 28, an ion implantation step 42 is performed on wafer 24. It should be understood that wafer 24 may be removed from chamber 12 and placed within a different chamber and/or a different environment which provides ion implantation tools, such as, the Applied Materials, Inc. SWIFT implanter, or in a plasma processing tool, such as, the Lam Research Corp. TCP 9400 SE. Alternatively, chamber 12 may be configured to include additional chambers and/or tools suitable to perform step 42.
Referring to FIG. 4, there is shown a cross-sectional view of a portion of wafer 24 undergoing ion implantation step 42. An uniform beam of ions 51 bombards wafer 24 to modify the exposed horizontal surface(s) of photoresist layer 30. For feature 50, this means that a top surface 52 is modified relative to an untreated portion 54 disposed below top surface 52. Ions 51 which come into contact with the molecules of layer 30 cause such molecules (e.g., top surface 52) to become chemically and structurally different from the non-bombarded regions (e.g., remaining portion 54). Ions 51 may include inert elements such as argon or nitrogen, or reactive compounds involving, for instance, fluorine in the form of CF4. The dose of ions 51 may be in the range of approximately 1×1012 to 1×1016 ions/cm2 with an energy approximately ranging from 0.1 to 20 keV.
Preferably, top surface 52 becomes harder or densifies such that it will have a slower trim or ash rate than the untreated areas of photoresist layer 30 (e.g., untreated portion 54). For instance, fluorinating wafer 24 can reduce the etch rate by hardening it, i.e., by reducing the reactivity of certain portions of layer 30 with trimming chemistries such as O2. In one embodiment, top surface 52 has a reduced ash rate in the range of 10-75% slower than the ash rate of untreated portion 54. Top surface or hardened layer 52 has a thickness in the range of 5-200 nm.
Wafer 24 is exposed to an ion-dominated environment such as an argon, fluorine, or CF4 containing plasma. Ions 51 generated in this ion-dominated environment are configured to be perpendicularly directed with respect to the horizontal surface of layer 30 (in other words, ions 51 should come down onto layer 30 as vertically as possible) such that ions 51 do not harden the exposed side or lateral surfaces of layer 30 (e.g., side walls 53 of feature 50).
The vertical thickness or depth of top surface 52 is primarily determined by the depth of penetration of ions 51. The depth of ion penetration can be determined experimentally or by using a Monte Carlo modeling program. The depth of ion penetration depends on the ion species, the properties of the photoresist, and the ion energy. Typically, the higher the ion energy the greater the depth of ion penetration (e.g., greater the depth of top surface 52). For example, Lam Research Corp. TCP 9400SE operated at a chamber pressure of 20 mTorr, a bias power of 1000 W, and flowing 100 sccm Ar will supply ions 51 (in this instance, ions 51 being Ar+ions) in the 1.0 keV range to layer 30 to a depth of about 50 nm.
The reduced trim rate of top surface 52, relative to the trim ratio of untreated region 54, is primarily determined by the ion dose, with higher doses causing further reduction in the trim rate. The trim rate of top surface 52 is also dependent on reactions between the ion species being implanted or penetrating into layer 30 and the material comprising layer 30. Depending on the extent of trimming desired, the vertical thickness and/or hardness of top surface 52 can be controlled by the configuration of ions 51 such that all of top surface 52 will not be removed before the desired critical dimension has been achieved (i.e., before the completion of the trimming step 44).
After step 42, feature 50 is suitably configured to withstand a more aggressive resist trimming or ashing process than is conventionally possible. Treated top surface 52 has greater etch resistance (or, equivalently, a slower etch or ash rate) than untreated region 54. Hence, in trimming step 44 following ion implantation step 42, a desired amount of lateral trimming of features, such as feature 50, can occur without sustaining adverse photoresist thickness loss.
With reference to FIG. 5, feature 50 comprising top surface 52 and untreated portion 54 undergoes the resist trimming or ashing process (i.e., step 44). Wafer 24 is preferably exposed to a plasma etchant 58 to trim or reduce the dimensions of features patterned on layer 30. Plasma etchant 58 can comprise a variety of plasma etch chemistries, such as, oxidizing chemistries involving oxygen (O2, CO, or H2O2) or reducing chemistries involving hydrogen (H2 or NH3). These gases can be used either in their pure form, mixed with each other, or combined with other gases, such as, Ar, N2, He, and/or H2. For example, with a Tokyo Electron, Ltd. Unity II DRM plasma etching system, plasma etchant 58 can have the following parameters associated therewith: 200 mTorr chamber pressure, 200 W RF power, 200 sccm N2, 20 sccm O2, and 25° C. lower electrode temperature. Under these conditions, the trimming rate is approximately 1.4 nm/sec such that about 36 seconds of trimming time is required to achieve a 50 nm critical dimension reduction. Wafer 24 may be in the same processing environment (e.g., same chamber) for both steps 42 and 44, or may be removed from the processing environment of step 42 and placed in a different processing environment for step 44.
Plasma etchant 58 etches all exposed surfaces on layer 30, including the top and side surfaces. However, because different portions of layer 30 have different etch rates following ion implantation step 42 (e.g., top surface 52 vs. untreated portion 54), the dimensional reduction of all of the surfaces of layer 30 will not be the same. As shown in FIG. 5, the vertical reduction of feature 50 is less than the lateral reduction of feature 50. Specifically, top surface 52 trims vertically at a slower rate than the sides of untreated portion 54, temporarily making feature 50 a “T” shaped feature. The dotted line in FIG. 5 represents the shape of feature 50 before commencement of resist trimming step 44.
Preferably, top surface 52 and plasma etchant 58 are configured such that the amount of consumption or erosion of top surface 52 during step 44 is small or negligible. The vertical thickness loss associated with top surface 52 is 10-75% less than without hardening. So a 50 nm critical dimension reduction, corresponding to 25 nm removal from each side of untreated region 54, removes approximately 6.25-22.5 nm from top surface 52. In contrast, the exposed surfaces of untreated portion 54 (i.e., side walls 53) will trim to sub-lithographic dimensions. Top surface 52 has a slower etch rate than untreated regions 54 as top surface 52 contains a smaller number of holes or pores per unit area into which plasma etchant 58 can penetrate and break apart.
After trimming step 44 has been completed, the remaining top surface 52 can be removed by an energetic oxidizing or reducing process in step 46. As shown in FIG. 6, feature 50 now comprises untreated portion 54 which has been laterally trimmed (i.e., a trimmed portion 62). Trimmed portion 62 has a lateral dimension 66 that is smaller than an initial or nominal lateral dimension 56 (FIG. 4) of feature 50. In one embodiment, initial lateral dimension 56 may be 150 nm and lateral dimension 66 may be in the range of 30-120 nm. The vertical thickness of trimmed feature 50 is the vertical thickness of trimmed portion 62, and may be about 50-90% of the original vertical thickness of feature 50 (i.e., before resist trimming step 44).
Trimmed feature 50 of FIG. 6 may then be transferred to other layers comprising wafer 24, such as, layer 28, via an etching process (not shown). Accordingly, wafer 24 will contain integrated circuit features having sub-lithographic dimensions. Trimmed feature 50 may define features, such as, but not limited to, a conducting line, a gate of an MOS transistor, or a dielectric space, for example, between adjacent conductor trenches in a damascene application. In one embodiment, the removal of the remaining top surface 52 after the resist trimming step and pattern transfer to underlying layer(s) may be accomplished in a single process step.
Conventionally, for 193 nm lithographically patterned features without the ion bombardment treatment, features having a nominal lateral dimension of about 150 nm cannot be trimmed to less than about 110 nm without the features disintegrating during subsequent processes, such as an etch process, (i.e., due to insufficient thickness of the remaining layer 30). In contrast, by modifying the top surface of layer 30 to slow the vertical resist thickness loss during the resist trimming step, the resultant features patterned on layer 30 can achieve trimmed lateral dimensions similar to those achievable with conventional resist trimming processes but with more resist thickness remaining. Alternatively, the resultant features patterned on layer 30 can comprise a resist thickness similar to those conventionally achievable but with narrower lateral dimensions. Having a greater thickness of resist remaining increases the probability that such trimmed features will survive subsequent processes to be faithfully patterned onto underlying layers.
In this manner, conventional photoresist materials, conventional photoresist thicknesses, and/or conventional resist trimming processes may be utilized to form integrated circuit (IC) features having significantly sub-lithographic dimensions without concerns of pattern deformation or destruction during pattern transfer to underlying layer(s). Moreover, a more aggressive resist trimming process is permitted (e.g., extended trimming time) before destruction of pattern integrity is likely to occur due to insufficient resist thickness.
It is understood that while the preferred embodiment and specific examples are given, they are for the purpose of illustration only and are not limited to the precise details described herein. For example, although particular materials or chemistries are described, other materials or chemistries can be utilized. Various modifications may be made in the details within the scope and range of the equivalence of the claims without departing from what is claimed.

Claims (24)

What is claimed is:
1. A method of trimming a feature patterned on a photoresist layer, the photoresist layer disposed over a substrate and the feature including a top portion and lateral surfaces, the method comprising the steps of:
modifying the top portion of the feature patterned on the photoresist layer in an ion-dominated environment to form a modified top portion by flood exposing the feature to ions or by fluorinating the top portion to undergo a reduction in reactivity; and
trimming the feature patterned on the photoresist layer to form a trimmed feature, wherein a vertical trim rate and a lateral trim rate are associated with the feature and the vertical trim rate is slower than the lateral trim rate due to the modified top portion.
2. The method of claim 1, wherein the modifying step includes flood exposing the feature to ions.
3. The method of claim 2, wherein the modifying step includes bombarding or fluorinating the top portion with the ions for the top portion to undergo a reduction in reactivity.
4. The method of claim 2, wherein the vertical trim rate is a function of at least one of a dose of the ions and a species of the ions.
5. The method of claim 2, wherein a vertical thickness of the modified top portion is a function of at least one of an energy of the ions and a mass of the ions.
6. The method of claim 1, wherein the trimmed feature has a sub-lithographic lateral dimension.
7. The method of claim 1, wherein the trimming step includes plasma etching the feature.
8. The method of claim 1, further comprising removing the modified top portion after the trimming step.
9. An integrated circuit fabrication process, the process comprising:
developing a patterned photoresist layer, the patterned photoresist layer including at least one feature;
modifying the patterned photoresist layer to form a top portion and a bottom portion of the at least one feature, the top portion having a top etch rate and the bottom portion having a bottom etch rate, wherein the top etch rate is different from the bottom etch rate; and
trimming the patterned photoresist layer by plasma etching to change the at least one feature to have a sub-lithographic lateral dimension, whereby a sufficient vertical thickness exists to maintain pattern integrity, wherein the modifying step is performed after the developing step and before the trimming step.
10. The process of claim 9, wherein modifying the patterned photoresist layer includes hardening the top portion.
11. The process of claim 10, wherein modifying the patterned photoresist layer includes flood exposing the patterned photoresist layer to a beam of ions.
12. The process of claim 11, wherein trimming the patterned photoresist layer includes minimally consuming the top portion and laterally etching the bottom portion.
13. The process of claim 12, wherein a majority of the top portion and a laterally trimmed bottom portion comprises the at least one feature upon completion of the modifying step, the laterally trimmed bottom portion having the sub-lithographic lateral dimension and the sufficient vertical thickness to maintain pattern integrity.
14. The process of claim 13, further comprising removing the majority of the top portion after the modifying step to form a trimmed feature.
15. The process of claim 12, further comprising selecting parameters associated with the beam of ions to configure at least one of a thickness and the top etch rate of the top portion.
16. A process of forming an integrated circuit having a feature of sub-lithographic dimension, the process comprising steps of:
patterning the feature on a photoresist layer disposed over a substrate, the feature patterned in accordance with a radiation at a lithographic wavelength and a pattern provided on a mask or a reticle;
developing the feature patterned on the photoresist layer;
changing at least a portion of the photoresist layer, wherein a top portion of the feature patterned on the photoresist layer is changed to have a different etch rate from a bottom portion of the feature patterned on the photoresist layer;
trimming the feature patterned on the photoresist layer to a sub-lithographic dimension wherein the trimming does not entirely remove the top portion;
removing the top portion from the feature; and
transferring the trimmed feature patterned on the photoresist layer to the substrate, wherein the feature in the substrate has the sub-lithographic dimension.
17. The process of claim 16, wherein the changing step includes ion implanting the photoresist layer to form the top portion.
18. The process of claim 17, wherein the top portion is removed in a separate reducing or oxidizing step.
19. The process of claim 18, wherein the top portion has an etch rate 15-70% slower than an untreated etch rate of the photoresist layer during the trimming step.
20. The process of claim 17, wherein the ion implantation comprises implanting at least one of Ar+, F+, and Kr+ ions.
21. The process of claim 20, wherein parameters associated with the ion implantation are Ar ions at an energy of approximately 1 keV and a dose of approximately 1×1013 ions/cm2.
22. The process of claim 16, further comprising removing the top portion after the trimming step and before the transferring step.
23. The process of claim 16, wherein the lithographic wavelength of the radiation is 193 nm and the sub-lithographic dimension of the feature is approximately 150 nm.
24. The process of claim 16, wherein the sub-lithographic dimension of the feature is in the range of 30-120 nm smaller than the feature developed on the photoresist layer.
US09/819,343 2001-03-28 2001-03-28 Selective photoresist hardening to facilitate lateral trimming Expired - Lifetime US6716571B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US09/819,343 US6716571B2 (en) 2001-03-28 2001-03-28 Selective photoresist hardening to facilitate lateral trimming
DE60230124T DE60230124D1 (en) 2001-03-28 2002-02-22 WITH INCREASED POWER BY ELECTRON RADIATION AND INTEGRATED CIRCUIT WITH THIS TRANSISTOR GATE
AU2002309493A AU2002309493A1 (en) 2001-03-28 2002-02-22 Method of forming enhanced transistor gate using e-beam radiation and integrated circuit including this transistor gate
JP2002576026A JP2004533110A (en) 2001-03-28 2002-02-22 Improved transistor gate using e-beam radiation
CNB028075102A CN1270353C (en) 2001-03-28 2002-02-22 Method of forming enhanced transistor gate using E-beam radiation and integrated circuit including this transistor gate
PCT/US2002/005640 WO2002078095A2 (en) 2001-03-28 2002-02-22 Method of forming enhanced transistor gate using e-beam radiation and integrated circuit including this transistor gate
EP02736491A EP1374289B1 (en) 2001-03-28 2002-02-22 Method of forming enhanced transistor gate using e-beam radiation and integrated circuit including this transistor gate
KR1020037012635A KR100847369B1 (en) 2001-03-28 2002-02-22 Method of forming enhanced transistor gate using e-beam radiation and integrated circuit including this transistor gate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/819,343 US6716571B2 (en) 2001-03-28 2001-03-28 Selective photoresist hardening to facilitate lateral trimming

Publications (2)

Publication Number Publication Date
US20020139773A1 US20020139773A1 (en) 2002-10-03
US6716571B2 true US6716571B2 (en) 2004-04-06

Family

ID=25227875

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/819,343 Expired - Lifetime US6716571B2 (en) 2001-03-28 2001-03-28 Selective photoresist hardening to facilitate lateral trimming

Country Status (1)

Country Link
US (1) US6716571B2 (en)

Cited By (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050032354A1 (en) * 2003-08-04 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US6884735B1 (en) * 2002-08-21 2005-04-26 Advanced Micro Devices, Inc. Materials and methods for sublithographic patterning of gate structures in integrated circuit devices
US20050181630A1 (en) * 2004-02-13 2005-08-18 Garza Cesar M. Method of making a semiconductor device using treated photoresist
US20060105568A1 (en) * 2004-11-12 2006-05-18 Shen Jin M Plasma treatment for surface of semiconductor device
US20060144815A1 (en) * 2005-01-06 2006-07-06 Kao-Su Huang Treatment method for surface of photoresist layer and method for forming patterned photoresist layer
US20060252269A1 (en) * 2005-05-04 2006-11-09 International Business Machines Corporation Silicon nitride etching methods
US20070141770A1 (en) * 2003-07-28 2007-06-21 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (arc) and method therefor
US20070287298A1 (en) * 2006-06-12 2007-12-13 Renesas Technology Corp. Manufacturing method of semiconductor device
US20080138986A1 (en) * 2006-12-06 2008-06-12 International Business Machines Corporation Mask layer trim method using charged particle beam exposure
US20100025805A1 (en) * 2008-07-30 2010-02-04 Hall Mark D Semiconductor devices with extended active regions
US20100116977A1 (en) * 2008-10-31 2010-05-13 Fei Company Measurement and endpointing of sample thickness
US20150118846A1 (en) * 2013-10-28 2015-04-30 Asm Ip Holding B.V. Method For Trimming Carbon-Containing Film At Reduced Trimming Rate
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US20200258886A1 (en) * 2019-02-07 2020-08-13 Micron Technology, Inc. Atom implantation for passivation of pillar material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10901317B2 (en) 2017-12-22 2021-01-26 International Business Machines Corporation Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
KR100390918B1 (en) * 2001-08-30 2003-07-12 주식회사 하이닉스반도체 a method for manufacturing of semiconductor memory device
JP3691780B2 (en) * 2001-11-01 2005-09-07 Tdk株式会社 Patterned thin film forming method and microdevice manufacturing method
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
US20060043536A1 (en) * 2004-08-31 2006-03-02 Chih-Chen Co Implanted photoresist to reduce etch erosion during the formation of a semiconductor device
KR101024638B1 (en) * 2008-08-05 2011-03-25 매그나칩 반도체 유한회사 Method for manufacturing semiconductor device
US9589811B2 (en) 2015-06-24 2017-03-07 Varian Semiconductor Equipment Associates, Inc. FinFET spacer etch with no fin recess and no gate-spacer pull-down

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3997367A (en) * 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US4394211A (en) * 1982-09-08 1983-07-19 Fujitsu Limited Method of manufacturing a semiconductor device having a layer of polymide resin
US4446222A (en) 1981-03-30 1984-05-01 Matrix Unlimited, Inc. Method of preparing printing surface formed of polymeric resin containing polyamide and dicarboxylic acid diester
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5242864A (en) 1992-06-05 1993-09-07 Intel Corporation Polyimide process for protecting integrated circuits
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5510216A (en) 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5658469A (en) * 1995-12-11 1997-08-19 Quantum Peripherals Colorado, Inc. Method for forming re-entrant photoresist lift-off profile for thin film device processing and a thin film device made thereby
US5747803A (en) 1996-11-26 1998-05-05 United Microelectronics Corporation Method for preventing charging effect and thermal damage in charged-particle microscopy
US5783366A (en) 1995-12-07 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for eliminating charging of photoresist on specimens during scanning electron microscope examination
US5876903A (en) * 1996-12-31 1999-03-02 Advanced Micro Devices Virtual hard mask for etching
JPH1197328A (en) 1997-09-17 1999-04-09 Toshiba Corp Method for forming resist pattern
US5928821A (en) 1995-12-22 1999-07-27 Thomson Consumer Electronics, Inc. Method of manufacturing a phosphor screen for a CRT
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US5965461A (en) * 1997-08-01 1999-10-12 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using a spin-on barc
US5994225A (en) 1996-09-10 1999-11-30 United Microelectronics Corp. Method of etching metal with increased etching selectivity
US6103457A (en) 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
US6107172A (en) * 1997-08-01 2000-08-22 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using an SiON BARC
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6143666A (en) 1998-03-30 2000-11-07 Vanguard International Seminconductor Company Plasma surface treatment method for forming patterned TEOS based silicon oxide layer with reliable via and interconnection formed therethrough
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6183937B1 (en) * 1998-05-06 2001-02-06 Taiwan Semiconductor Manufacturing Company Post photodevelopment isotropic radiation treatment method for forming patterned photoresist layer with attenuated linewidth
US6197687B1 (en) 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device
US6200903B1 (en) * 1998-12-29 2001-03-13 Samsung Electronics, Co., Ltd. Method of manufacturing semiconductor devices
US6232048B1 (en) 1996-12-31 2001-05-15 Advanced Micro Devices Method for preparing narrow photoresist lines
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6395447B1 (en) 1996-06-07 2002-05-28 Nippon Telegraph And Telephone Corporation Resist material and fabrication method thereof
US6420097B1 (en) 2000-05-02 2002-07-16 Advanced Micro Devices, Inc. Hardmask trim process
US6420702B1 (en) 2000-07-07 2002-07-16 Advanced Micro Devices, Inc. Non-charging critical dimension SEM metrology standard
US6444381B1 (en) 2000-10-24 2002-09-03 Advanced Micro Devices, Inc. Electron beam flood exposure technique to reduce the carbon contamination
US20030017711A1 (en) * 2001-07-12 2003-01-23 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
US6589709B1 (en) 2001-03-28 2003-07-08 Advanced Micro Devices, Inc. Process for preventing deformation of patterned photoresist features

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3997367A (en) * 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US4446222A (en) 1981-03-30 1984-05-01 Matrix Unlimited, Inc. Method of preparing printing surface formed of polymeric resin containing polyamide and dicarboxylic acid diester
US4394211A (en) * 1982-09-08 1983-07-19 Fujitsu Limited Method of manufacturing a semiconductor device having a layer of polymide resin
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5242864A (en) 1992-06-05 1993-09-07 Intel Corporation Polyimide process for protecting integrated circuits
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5510216A (en) 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5783366A (en) 1995-12-07 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for eliminating charging of photoresist on specimens during scanning electron microscope examination
US5658469A (en) * 1995-12-11 1997-08-19 Quantum Peripherals Colorado, Inc. Method for forming re-entrant photoresist lift-off profile for thin film device processing and a thin film device made thereby
US5928821A (en) 1995-12-22 1999-07-27 Thomson Consumer Electronics, Inc. Method of manufacturing a phosphor screen for a CRT
US6395447B1 (en) 1996-06-07 2002-05-28 Nippon Telegraph And Telephone Corporation Resist material and fabrication method thereof
US5994225A (en) 1996-09-10 1999-11-30 United Microelectronics Corp. Method of etching metal with increased etching selectivity
US5747803A (en) 1996-11-26 1998-05-05 United Microelectronics Corporation Method for preventing charging effect and thermal damage in charged-particle microscopy
US5876903A (en) * 1996-12-31 1999-03-02 Advanced Micro Devices Virtual hard mask for etching
US6232048B1 (en) 1996-12-31 2001-05-15 Advanced Micro Devices Method for preparing narrow photoresist lines
US5965461A (en) * 1997-08-01 1999-10-12 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using a spin-on barc
US6107172A (en) * 1997-08-01 2000-08-22 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using an SiON BARC
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
JPH1197328A (en) 1997-09-17 1999-04-09 Toshiba Corp Method for forming resist pattern
US6143666A (en) 1998-03-30 2000-11-07 Vanguard International Seminconductor Company Plasma surface treatment method for forming patterned TEOS based silicon oxide layer with reliable via and interconnection formed therethrough
US6183937B1 (en) * 1998-05-06 2001-02-06 Taiwan Semiconductor Manufacturing Company Post photodevelopment isotropic radiation treatment method for forming patterned photoresist layer with attenuated linewidth
US6103457A (en) 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6200903B1 (en) * 1998-12-29 2001-03-13 Samsung Electronics, Co., Ltd. Method of manufacturing semiconductor devices
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6197687B1 (en) 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6420097B1 (en) 2000-05-02 2002-07-16 Advanced Micro Devices, Inc. Hardmask trim process
US6420702B1 (en) 2000-07-07 2002-07-16 Advanced Micro Devices, Inc. Non-charging critical dimension SEM metrology standard
US6444381B1 (en) 2000-10-24 2002-09-03 Advanced Micro Devices, Inc. Electron beam flood exposure technique to reduce the carbon contamination
US6589709B1 (en) 2001-03-28 2003-07-08 Advanced Micro Devices, Inc. Process for preventing deformation of patterned photoresist features
US20030017711A1 (en) * 2001-07-12 2003-01-23 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
Chiong K.G. et al. "Resist Contrast Enhancement in High Resolution Electron Beam Lithography", Journal of Vacuum Science and Technology: Part B, American Institute of Physics, New York, US, vol. 7, No. 6.
Grün, Von A. E., "Lumineszenz-photometrische Messungen der Energieabsorption im Strahlungsfeld von Elektronenquellen Eindimensionaler Fall in Luft," Zeitschrift für Naturforschung, vol. 12a, 1957, pp. 89-95, Publisher: Zeitschrift für Naturforschung; full English Translation attached (11 pgs.).
Livesay, W. R., "Large-area electron-beam source," Journal of Vacuum Science & Technology B, vol. 11, No. 6, Nov./Dec. 1993, pp. 2304-2308, American Vacuum Society.
Patent Abstract of Japan, vol. 1999, No. 09, Jul. 30, 1999 & JP 11 097328 A (Toshiba Corp), Apr. 9, 1999 abstract.
Ross et al. "Plasma Etch Characteristics of Electron Beam Processed Photoresist," The Society of Photo-Optical Instrumentation Engineers, vol. 2438, 1995, pp. 803-816, SPIE-The International Society for Optical Engineering.
Yang, J. J. et al, "Electron Beam Processing for Spin-on Polymers and its Applications to Back-End-of-Line (BEOL) Integration," Materials Research Society Symposium Proceedings, vol. 511, 1998, pp. 49-55, Materials Research Society.

Cited By (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884735B1 (en) * 2002-08-21 2005-04-26 Advanced Micro Devices, Inc. Materials and methods for sublithographic patterning of gate structures in integrated circuit devices
US20070141770A1 (en) * 2003-07-28 2007-06-21 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (arc) and method therefor
US8039389B2 (en) 2003-07-28 2011-10-18 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US6972258B2 (en) * 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US20050032354A1 (en) * 2003-08-04 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US20050181630A1 (en) * 2004-02-13 2005-08-18 Garza Cesar M. Method of making a semiconductor device using treated photoresist
WO2005082122A3 (en) * 2004-02-13 2006-02-16 Freescale Semiconductor Inc Method of making a semiconductor device using treated photoresist
KR101128260B1 (en) * 2004-02-13 2012-03-26 프리스케일 세미컨덕터, 인크. Method of making a semiconductor device using treated photoresist
US7157377B2 (en) 2004-02-13 2007-01-02 Freescale Semiconductor, Inc. Method of making a semiconductor device using treated photoresist
US20060105568A1 (en) * 2004-11-12 2006-05-18 Shen Jin M Plasma treatment for surface of semiconductor device
US7176130B2 (en) * 2004-11-12 2007-02-13 Freescale Semiconductor, Inc. Plasma treatment for surface of semiconductor device
US7435354B2 (en) * 2005-01-06 2008-10-14 United Microelectronic Corp. Treatment method for surface of photoresist layer and method for forming patterned photoresist layer
US20060144815A1 (en) * 2005-01-06 2006-07-06 Kao-Su Huang Treatment method for surface of photoresist layer and method for forming patterned photoresist layer
US7288482B2 (en) * 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20060252269A1 (en) * 2005-05-04 2006-11-09 International Business Machines Corporation Silicon nitride etching methods
US20070287298A1 (en) * 2006-06-12 2007-12-13 Renesas Technology Corp. Manufacturing method of semiconductor device
US8092703B2 (en) * 2006-06-12 2012-01-10 Renesas Electronics Corporation Manufacturing method of semiconductor device
US20080138986A1 (en) * 2006-12-06 2008-06-12 International Business Machines Corporation Mask layer trim method using charged particle beam exposure
US20100025805A1 (en) * 2008-07-30 2010-02-04 Hall Mark D Semiconductor devices with extended active regions
US8062953B2 (en) * 2008-07-30 2011-11-22 Freescale Semiconductor, Inc. Semiconductor devices with extended active regions
US20100116977A1 (en) * 2008-10-31 2010-05-13 Fei Company Measurement and endpointing of sample thickness
US8170832B2 (en) 2008-10-31 2012-05-01 Fei Company Measurement and endpointing of sample thickness
US9184025B2 (en) 2008-10-31 2015-11-10 Fei Company Measurement and endpointing of sample thickness
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US20150118846A1 (en) * 2013-10-28 2015-04-30 Asm Ip Holding B.V. Method For Trimming Carbon-Containing Film At Reduced Trimming Rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10901317B2 (en) 2017-12-22 2021-01-26 International Business Machines Corporation Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11164876B2 (en) * 2019-02-07 2021-11-02 Micron Technology, Inc. Atom implantation for passivation of pillar material
US20200258886A1 (en) * 2019-02-07 2020-08-13 Micron Technology, Inc. Atom implantation for passivation of pillar material
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US20020139773A1 (en) 2002-10-03

Similar Documents

Publication Publication Date Title
US6716571B2 (en) Selective photoresist hardening to facilitate lateral trimming
US6630288B2 (en) Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6653231B2 (en) Process for reducing the critical dimensions of integrated circuit device features
US6716570B2 (en) Low temperature resist trimming process
US20080292991A1 (en) High fidelity multiple resist patterning
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
US20070037410A1 (en) Method for forming a lithography pattern
US7368226B2 (en) Method for forming fine patterns of semiconductor device
US7851136B2 (en) Stabilization of deep ultraviolet photoresist
US6815359B2 (en) Process for improving the etch stability of ultra-thin photoresist
US20030003725A1 (en) Manufacturing method for semiconductor apparatus
US8815496B2 (en) Method for patterning a photosensitive layer
US6589709B1 (en) Process for preventing deformation of patterned photoresist features
US7410748B2 (en) Method of etching materials patterned with a single layer 193nm resist
US6864144B2 (en) Method of stabilizing resist material through ion implantation
JPH0845906A (en) Pattern forming method and manufacture of semiconductor device using this method
JP2003133295A (en) Etching method using photoresist pattern as mask
US6448179B2 (en) Method for fabricating semiconductor device
JP2001358061A (en) Method for manufacturing semiconductor device
US20110059407A1 (en) Double patterning strategy for forming fine patterns in photolithography
US5322764A (en) Method for forming a patterned resist
US6709986B2 (en) Method for manufacturing semiconductor memory device by using photoresist pattern exposed with ArF laser beam
US5472826A (en) Semiconductor device fabrication method
KR20020043961A (en) Manufacturing method of fine pattern for a semiconductor device
US6627360B1 (en) Carbonization process for an etch mask

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GABRIEL, CALVIN T.;LEVINSON, HARRY J.;OKOROANYANWU, UZODINMA;REEL/FRAME:011660/0319

Effective date: 20010309

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023119/0083

Effective date: 20090630

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117