US6864151B2 - Method of forming shallow trench isolation using deep trench isolation - Google Patents

Method of forming shallow trench isolation using deep trench isolation Download PDF

Info

Publication number
US6864151B2
US6864151B2 US10/615,630 US61563003A US6864151B2 US 6864151 B2 US6864151 B2 US 6864151B2 US 61563003 A US61563003 A US 61563003A US 6864151 B2 US6864151 B2 US 6864151B2
Authority
US
United States
Prior art keywords
region
trench
over
forming
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/615,630
Other versions
US20050009290A1 (en
Inventor
Jiang Yan
Danny Pak-Chum Shum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORP. reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHUM, DANNY PAK-CHUM, YAN, JIANG
Priority to US10/615,630 priority Critical patent/US6864151B2/en
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
Priority to DE102004032703A priority patent/DE102004032703B4/en
Publication of US20050009290A1 publication Critical patent/US20050009290A1/en
Publication of US6864151B2 publication Critical patent/US6864151B2/en
Application granted granted Critical
Assigned to QIMONDA AG reassignment QIMONDA AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES AG
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: QIMONDA AG
Assigned to POLARIS INNOVATIONS LIMITED reassignment POLARIS INNOVATIONS LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES AG
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Definitions

  • the photoresist 232 could be used as a mask while another hard mask, such as a oxide layer (not shown), is etched in both the first region 207 and the second region 210 of the semiconductor device 200 , and the hard mask is used as a mask while the substrate 202 is etched in both the first region 207 and the second region 210 of the semiconductor device 200 .
  • another hard mask such as a oxide layer (not shown)
  • Preferred embodiments of the present invention provide a method of forming isolation regions in semiconductor devices having high voltage components and low voltage components wherein there is no alignment problem between the shallow trench isolation and deep trenches, and no lithographic constraints for forming narrow and long active regions in areas having high voltage components.
  • the deep trench pattern 414 from the hard mask is transferred to the pad nitride 406 , pad oxide 404 , and workpiece 402 , as shown in FIG. 10 .
  • the etchant gases may be changed during the patterning process to pattern the various material layers 406 , 404 and 402 , for example.
  • the hard mask is removed using a wet etch or other type of etch process.

Abstract

A method of isolating active areas of a semiconductor workpiece. Deep trenches are formed in a workpiece between adjacent first active areas, and an insulating layer and a semiconductive material are deposited in the deep trenches. The semiconductive material is recessed below a top surface of the workpiece. Shallow trenches are formed in the workpiece between adjacent second active areas, and an insulating material is deposited in the shallow trenches and in the semiconductive material recess. The deep trenches may also be formed between an adjacent first active area and second active area. The first active areas may be high voltage devices, and the second active areas may be low voltage devices. The shallow trench isolation over the recessed semiconductive material in the deep trenches is self-aligned.

Description

TECHNICAL FIELD
The present invention relates generally to semiconductor devices, and more particularly to a method of forming shallow trench isolation (STI) in semiconductor devices.
BACKGROUND
Semiconductor devices are used in a variety of electronic applications, such as personal computers and cellular phones, for example. One such semiconductor product widely used in electronic systems for storing data is a semiconductor memory device, and one common type of semiconductor memory device is a dynamic random access memory (DRAM). DRAM is volatile memory because it loses charge or “data” in the absence of electrical power.
Another type of semiconductor memory device is a read-only memory (ROM), which is non-volatile because it retains charge or “data” in the absence of electrical power. ROM typically has a similar structure to a DRAM but has no storage capacitor and does not need to be refreshed continuously, as in a DRAM. Common applications for ROM are very broad for its smallest cell size and no extra process cost. However, ROM is one-time programmable (set during processing steps), and does not offer re-programmability. End-users see a ROM device as read-only memory.
A more recent development in ROM is a flash memory device. The term “flash” is derived from the “lightning strike” for fast erase or high voltage that may be used to in-system bulk erase the entire chip or a sector. Flash memories are used often in System-on-Chip (SoC) such as cellular phones and security cards for example, and in mass-storage applications such as in digital cameras and MP3, for example. Flash memories offer the compromise of in-system reprogrammability and somewhat higher process cost or larger cell size, compared to traditional ROM.
A semiconductor memory device typically includes millions or billions of individual memory cells, with each cell storing one bit of data. A memory cell may include an access field effect transistor (FET), often called 2T cell, or use memory cell's own transistor, often called 1T cell, which is used to control the transfer of data charges to and from the storage floating gate (FG) during reading and writing operations. Memory devices are typically arranged in an array of memory cells. The storing and accessing of information into and from memory cells is achieved by selecting and applying voltages to the access FET or directly to the memory cell using selected wordlines and bitlines. Typical flash memories operate with relatively high voltages, e.g. greater than 10 V.
In fabricating semiconductor devices such as SoC, shallow trench isolation (STI) is a technique used to provide electrical isolation between various devices such as logic devices, mixed-signal, analog, as well as adjacent cells in a memory array configuration. In some semiconductor device such as in SoC designs, it is more advantageous to integrate a dual-isolation scheme since some devices need more electrical isolation from adjacent devices than others. When the memory cells comprise high voltage devices such as flash memory cells, for example, the high voltage devices as well as flash memories require deeper isolation regions within the substrate in order to electrically isolate them from adjacent devices, compared to logic devices that require STI for their low voltage operation. It is more difficult to pattern deep isolation regions for higher aspect ratio features (trench depth over its width); therefore it is not feasible to use deep trenches to isolate all active areas of a semiconductor device. Thus, some semiconductor device designs such as flash memories, for example, are required to utilize both deep and shallow isolation regions.
FIG. 1 illustrates a prior art semiconductor device 100 having deep trenches 116/118/124 for isolation proximate high voltage active areas 108 and shallow trenches 124 for isolation proximate logic (e.g., lower voltage) active areas 112. The semiconductor device 100 shown includes a workpiece 102 having a first region 107 comprising first active areas 108 and a second region 110 having second active areas 112. The first active areas 108 may comprise high voltage transistors or flash memory cells, for example, and thus they require deeper isolation structures 116/118/124 than second active areas 112 which may comprise low voltage devices, for example. The high voltage transistors in the first active areas 108 may require a voltage of 10 to 20 volts or even higher, whereas the low voltage devices in the second active areas 112 may require a voltage of 1 to 2 volts, for example. Thus, such a semiconductor device 100 would require shallow trench isolation 124 between adjacent first active areas 108 and/or second active areas 112, as shown. The high voltage first active areas 108 would also require deep trenches 116/118/124 to prevent electrically affecting the adjacent second active areas 112 and adjacent first active areas 108, if applicable.
FIG. 2 shows a first active area 108 comprising a high voltage component having a control gate CG and a floating gate FG. A flash memory device may have a structure shown in first active area 108, for example. The floating gate FG is separated from the substrate 102 or workpiece by a first insulating layer 126. A second insulating layer 128 is disposed between the floating gate FG and the control gate CG. In operation, the control gate CG, source S and drain D are exposed to a high voltage potential in order to charge and discharge the floating gate FG.
SUMMARY OF THE INVENTION
Preferred embodiments of the present invention provide technical advantages by providing a method of forming isolation regions in high voltage active areas and structure for same, as well as forming narrow active areas without an expensive mask scheme or complicated lithographic processes, wherein the shallow isolation regions in a top portion of the deep trenches are self-aligned with the deep trenches.
In accordance with a preferred embodiment of the present invention, a method of forming isolating regions of a semiconductor device includes providing a workpiece, the workpiece having at least one first region and at least one second region, the at least one first region comprising at least one first active area, and the second region comprising areas for at least one second active area. The first region is patterned with at least one first trench, the first trench having sidewalls, a bottom, and a first depth within the workpiece. A first insulating layer is formed over the at least one first trench sidewalls and bottom, and a semiconductive material is deposited or grown in the at least one first trench over the first insulating layer, wherein the semiconductive material is recessed beneath the workpiece top surface. The second region is patterned with at least one second trench, the second trench having a second depth within the workpiece, wherein the second depth is less than the first depth. An insulating material is deposited in the at least one second trench and in the semiconductive material recess of the at least one first trench. At least one second active area is then formed in the second region.
In accordance with another preferred embodiment of the present invention, a method of forming isolating regions of a semiconductor device includes providing a workpiece, the workpiece having at least one first region and at least one second region, the at least one first region comprising at least one high voltage active area, the second region comprising areas for at least one low voltage active area. The first region is patterned with at least one deep trench, the deep trench having sidewalls, a bottom, and a first depth within the workpiece, and a first insulating layer is formed over the at least one deep trench sidewalls and bottom. A semiconductive material is formed in the at least one deep trench over the first insulating layer, wherein the semiconductive material is recessed beneath the workpiece top surface. The at least one first region is masked, and the at least one second region is patterned with at least one shallow trench, the shallow trench having a second depth within the workpiece, wherein the second depth is less than the first depth. The mask is removed from over the at least one first region, an insulating material is deposited in the at least one shallow trench and in the semiconductive material recess of the at least one deep trench, and at least one low voltage active region is formed in the second region.
In accordance with yet another preferred embodiment of the present invention, a semiconductor device includes a workpiece, the workpiece having at least one first region including at least one high voltage active area and at least one second region including at least one low voltage active area. At least one deep trench is disposed within the at least one first region proximate a high voltage active area, the deep trench having sidewalls and a bottom. The deep trench includes a first insulating layer disposed over the sidewalls and bottom and a semiconductive material disposed over the first insulating layer. The semiconductive material is recessed beneath the workpiece top surface and a shallow isolation region is disposed within the semiconductive material recess at the same time the shallow isolation region is formed in the second region. At least one shallow isolation region is disposed within the at least one second region of the workpiece proximate a low voltage active area.
Advantages of preferred embodiments of the present invention include providing a method of self-aligning shallow isolation regions of deep isolation trenches so that the shallow isolation region over the deep trenches does not require patterning using lithography. The fill material of the deep trench is recessed below a top surface of the workpiece, and the recess is filled with insulating material to form a shallow isolation region over a deep isolation trench. Embodiments of the invention eliminate challenges that arise when attempting to overlay deep trenches with shallow trench isolation. Another advantage of embodiments of the present invention is providing a method of forming narrow and long active regions, particularly in the memory portion such as Flash, ROM or DRAM for scaling, without patterning to avoid resist profile constraints such as resist shorting, breaking, or fall-down.
The foregoing has outlined rather broadly the features and technical advantages of embodiments of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1 shows a cross-sectional view of a prior art semiconductor device with both deep isolation structures and shallow isolation structures between active areas;
FIG. 2 is a cross-sectional view of a flash memory cell which requires a relatively high voltage;
FIGS. 3 through 5 show cross-sectional views of a less-preferred method of forming isolation structures in a semiconductor device, wherein shallow trench isolation regions are aligned using lithography to underlying deep trenches;
FIGS. 6 through 9 illustrate cross-sectional views of a semiconductor device at various stages of manufacturing, wherein shallow trench isolation regions are automatically aligned with underlying deep trenches in accordance with a preferred embodiment of the present invention; and
FIGS. 10 through 14 illustrate cross-sectional views of a semiconductor device at various stages of manufacturing in accordance with another preferred embodiment of the present invention.
Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
The present invention will be described with respect to preferred embodiments in a specific context, namely a semiconductor flash memory device. The invention may also be applied, however, to other semiconductor memory device and other semiconductor device applications, for example.
FIGS. 3 through 5 illustrate a potential, less-preferred method that could be used to form isolation regions in a semiconductor device 200 having regions of both high voltage components and low voltage components. Referring first to FIG. 3, a substrate 202 or workpiece is provided, and first active areas 208 are formed within the substrate 202. The first active areas 208 may comprise high voltage components or transistors such as flash memory cells, as shown in FIG. 2, for example. The substrate 202 is patterned with a pattern 214 for at least one deep trench in the first region 207 of the substrate 202. The deep trenches 214 may comprise a depth of 2-3 μm or more, for example. The deep trenches 214 are formed proximate the first active areas 208 and areas where it is desired to isolate the first active areas 208 from other components such as 208 and 212 that have already been formed in the substrate 202, or alternatively, will later be formed. Next, an insulating layer 216 may be deposited over the patterned deep trenches 214, and a semiconductive material 218 may be deposited over the insulating layer 216 to fill the deep trenches 214.
A photoresist 232 is deposited over the surface of the substrate 202, as shown in FIG. 4. The photoresist 232 is patterned with a pattern 230 for shallow trench isolation in both the first region 207 and the second region 210. The pattern 230 of the photoresist 232 is then transferred to the substrate 202 using lithography. For example, the photoresist 232 may be used as a mask while the substrate 202 is directly etched in both the first region 207 and the second region 210 of the semiconductor device 200. Alternatively the photoresist 232 could be used as a mask while another hard mask, such as a oxide layer (not shown), is etched in both the first region 207 and the second region 210 of the semiconductor device 200, and the hard mask is used as a mask while the substrate 202 is etched in both the first region 207 and the second region 210 of the semiconductor device 200.
The semiconductive material 218 and insulating layer 216 of the deep trenches 214 are removed in the region of the shallow trench isolation pattern 230 in the first region 207. The shallow trench isolation pattern 230 is wider than the deep trench pattern 214. For example, an overlay t of about 50 nm or less may exist on both sides of each deep trench pattern 214.
The photoresist is removed, and an insulating material such as an oxide is deposited over the semiconductor device 200 to fill the shallow trench isolation pattern 230 and form shallow trench isolation regions 224, as shown in FIG. 5. The height h1 of the shallow trench isolation regions 224 and the second region 210 of the semiconductor device is equal to the height h2 of the shallow trench isolation regions 224 in the first region 207 of the semiconductor device because the shallow trench isolation regions 224 are patterned simultaneously for the first and second regions 207/210 of the semiconductor device. The second active areas 212 of the semiconductor device may then be formed within the top surface of substrate 202, as shown.
The method described of forming isolation regions for semiconductor device 200 is problematic because of the small overlay t that exists in the shallow trench isolation pattern 230, which can cause alignment problems. The overlay t is very small and makes it quite difficult to align the shallow trench isolation pattern 230 with the underlying deep trench 234. Another problem is forming narrow and long active regions as required in memory portions of the chip for sealing. Therefore, what is needed in the art is a method and structure for forming deep and shallow isolation structures in semiconductor devices having no alignment problems between the shallow trench isolation and underlying deep trenches, and no lithographic constraints to form narrow and long active regions.
Preferred embodiments of the present invention provide a method of forming isolation regions in semiconductor devices having high voltage components and low voltage components wherein there is no alignment problem between the shallow trench isolation and deep trenches, and no lithographic constraints for forming narrow and long active regions in areas having high voltage components.
FIGS. 6 through 9 show cross-sectional views of a semiconductor device 300 at various stages of fabrication in accordance with a preferred embodiment of the present invention. Referring first to FIG. 6, a workpiece 302 is provided. The workpiece 302 may include a semiconductor substrate comprising silicon or other semiconductor materials covered by an insulating layer, for example. The workpiece 302 may also include other active components or circuits formed in the front end of line (FEOL), not shown. The workpiece 302 may comprise silicon oxide over single-crystal silicon, for example. The workpiece 302 may include other conductive layers or other semiconductor elements, e.g. transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon.
The workpiece 302 preferably comprises at least one first region 307 and at least one second region 310. The at least one first region 307 is a region of the workpiece 302 in which first active areas 308, which may comprise high voltage devices such as flash memory cells, for example, will be formed. The second region 310 of the workpiece 302 comprises a region where second active areas 312 comprising low voltage devices, e.g., access FET's and other devices requiring a voltage lower than the high voltage devices 308, will later be formed.
First active areas 308 are formed within the workpiece 302. The first active areas 308 may comprise high voltage devices or transistors such as the one shown in FIG. 2. The first active areas 308 may alternatively comprise electrical devices that need increased isolation from other components, for example. Only two first active areas 308 are shown in FIG. 6; however, there may be a plurality of first active areas 308 formed in the at least one first region 307, not shown.
Preferably, second active areas 312 are formed in the workpiece 302 after the formation of deep trenches and shallow trench isolation, as shown in FIG. 9. However, alternatively, second active areas 308 may be formed within the second region 310 of the workpiece 302 at this stage of manufacturing (not shown in FIG. 6).
The workpiece 302 is patterned with a deep trench pattern 314. The deep trench pattern 314 may extend into the top surface of the workpiece by a depth of 2-3 μM or more, for example. Alternatively, the deep trench pattern 314 may comprise other depths, such as 1 to 6 μm, for example.
An insulating layer 316 is formed over the patterned deep trenches 314. The insulating layer 316 may comprise a thin oxide or nitride layer comprising 50 to 200 Angstroms of an oxide or silicon nitride, for example. In case the insulating layer 316 comprises a nitride layer, it may also further comprise a thin oxide layer formed over the thin nitride layer. The thin oxide layer may be formed by an oxidation step at a temperature of 900 to 1000 degrees C. for approximately 10 to 30 seconds, for example. The final insulating layer 316 thickness is preferably approximately 10 nm, as an example. The insulating layer 316 electrically isolates the workpiece 302 from the semiconductive material 318 that will be deposited.
A semiconductive material 318 is then deposited over the semiconductor device to fill the deep trenches 314. The semiconductive material 318 may also cover the top surface of the workpiece 302, not shown. The semiconductive material 318 preferably comprises a doped or undoped semiconductor material, such as doped polysilicon or undoped polysilicon, as examples. Alternatively, the semiconductive material 318 may comprise other semiconductive materials, for example.
The semiconductive material 318 is then recessed below the top surface of the workpiece 302 by an amount R. The semiconductive material 318 may be recessed using a dry etch, for example, although other etch processes may alternatively be used to recess the semiconductive material 318. The recess R comprises a depth of approximately 300 nm, for example, although alternatively, the recess R may range from 200 to 600 nm, as examples.
A hard mask 320 is deposited over the top surface of the workpiece 302 and over the recessed semiconductive material 318 and exposed insulating layer 316, as shown in FIG. 8. The hard mask 320 may comprise an insulator such as tetraethoxysilate (TEOS), as an example. The hard mask 320 may comprise a thickness of 100 nm, as an example. The hard mask 320 may alternatively comprise other oxides or nitrides, and may alternatively comprise a thickness of 50 nm to 250 nm, as examples.
The hard mask 320 is patterned with the pattern 330 for shallow trench isolation regions in the second region 310. In accordance with preferred embodiments of the present invention, the first region 307 is preferably not patterned with the shallow trench isolation pattern 330. Rather, the recess R of the deep trench semiconductive material 318 below the top surface of the workpiece 302 provides an area for the formation of a shallow trench isolation region 324 a that is self-aligned with the underlying deep trench material, to be described further herein.
The hard mask 320 is used as a mask to pattern the underlying workpiece 302 in the second region 310 with the shallow trench isolation pattern 330, as shown in FIG. 8. The shallow trenches 330 formed preferably have a height h1 (or d1 in FIG. 9) of approximately 300 to 500 nm within the workpiece 302, for example. The shallow trenches 330 have a width w1.
After patterning the workpiece 302 with the shallow trench isolation pattern 330, a portion of the hard mask 320, e.g., 400 Angstroms, may remain over the workpiece 302. The remaining hard mask 320 is removed, using a wet etch or another etch process, as examples, as shown in FIG. 9. An insulating material 324/324 a is deposited over the top surface of the workpiece 302 to fill the shallow trench isolation pattern 330 in the second region 310, and to also fill the recess R above the semiconductive material 318 and insulating layer 316 in the first region 307. The insulating material 324/324 a preferably comprises silicon dioxide and may comprise, for example, high density plasma (HDP) silicon dioxide, as examples. The insulating material 324/324 a may alternatively comprise other insulators such as a nitride or other insulators, for example. The insulating material 324/324 a forms shallow isolation trenches 324 a in the first region 307 and shallow isolation trenches 324 in the second region 310.
In one embodiment, the width w1 of the shallow isolation trenches 324 is greater than the width w2 of the deep trenches 334 and shallow isolation trenches 324 a over the deep trenches 334, as shown in FIG. 9. Also, in one embodiment, the height h1 of the shallow isolation trenches 324 is equal to the height h2 of the shallow isolation trench regions 324 a disposed over the deep trenches 316 and 318. Alternatively, in other embodiments, the height h1 of the shallow isolation trenches 324 may be less than the height h2 of the shallow isolation trench regions 324 a disposed over the deep trenches 316 and 318, or height h1 of the shallow isolation trenches 324 may be greater than the height h2 of the shallow isolation trench regions 324 a disposed over the deep trenches 316 and 318, as examples.
Further processing of the semiconductor device is continued. For example, second active areas 312 comprising low voltage devices, for example, may then be formed within the top surface of the workpiece 302 in the second region 310, as shown in FIG. 9.
Advantageously, in accordance with embodiments of the present invention, the shallow trench isolation 324 a disposed over the deep trenches 334 in the first region 307 of the semiconductor device 308 are self-aligned with the underlying deep trenches 334. Thus, there is no need to pattern the first region 307 with the shallow trench isolation pattern 330, and alignment problems and concerns with underlying deep trenches 334 are eliminated.
FIGS. 10 through 14 illustrate cross-sectional views of a semiconductor device 400 in various stages of manufacturing in accordance with another embodiment of the present invention. Referring to FIG. 10, a workpiece 402 is provided. The workpiece 402 preferably comprises a p-type non-epitaxial substrate, for example, although alternatively, the workpiece 402 may comprise other semiconductive materials, as described with reference to the embodiment shown in FIGS. 6 through 9.
A pad oxide 404 is formed over the workpiece 402. The pad oxide 404 preferably comprises approximately 50 Angstroms of silicon dioxide, for example. A pad nitride 406 is deposited over the pad oxide 404. The pad nitride preferably comprises silicon nitride deposited in a thickness of approximately 1800 Angstroms, for example, although alternatively, the pad nitride 406 may comprise other nitride materials and thickness.
A hard mask (not shown) is deposited over the pad nitride 406. The hard mask may comprise boron silicate glass (BSG) deposited in a thickness of approximately 5,000 Angstroms, as an example. Alternatively, the hard mask may comprise other materials and thicknesses. The hard mask is patterned with a deep trench pattern 414. The deep trench pattern 414 may comprise deep trenches that will be formed between adjacent high voltage active areas 408, or between adjacent high voltage active areas 408 and low voltage active areas 412 (see FIG. 14).
The deep trench pattern 414 from the hard mask is transferred to the pad nitride 406, pad oxide 404, and workpiece 402, as shown in FIG. 10. The etchant gases may be changed during the patterning process to pattern the various material layers 406, 404 and 402, for example. The hard mask is removed using a wet etch or other type of etch process.
An insulating layer 416 is formed over the sidewalls and bottoms of the deep trenches 414 formed within the workpiece 402, pad oxide 404 and pad nitride 406. The insulating layer 416 preferably comprises an oxide or thin nitride layer comprising approximately 50 to 200 Angstroms of oxide or silicon nitride. Alternatively, the thin nitride layer may comprise other nitrides formed over the deep trench 414 sidewalls and bottom surface, for example. In case the insulating layer 416 comprises a nitride layer, it may also comprise a thin oxide layer formed over the thin nitride layer. The thin oxide layer may be formed by an oxidation step at a temperature of 900 to 1000 degrees C. for approximately 10 to 30 seconds, as an example. The thickness of the final insulating layer 416 is preferably approximately 10 mm, as an example.
A semiconductive material 418 is deposited over the semiconductor device 400. The semiconductive material 418 fills the deep trench pattern 414 over the insulating layer 416 and also covers the top surface of the pad nitride 406, not shown. The semiconductive material 418 preferably comprises doped or undoped polysilicon, as an example. The semiconductive material 418 may alternatively comprise other semiconductor materials, as an example.
The semiconductive material 418 is removed from the top surface of the pad nitride 406 and is recessed by an amount R beneath the top surface of the workpiece 402. The total depth of the recess below the top surface of the pad nitride 406 preferably comprises approximately 300 nm, for example. The semiconductive material 418 is preferably recessed using a dry etch, for example, although alternatively, other etch processes may be used.
After the deep trench pattern 414 has been formed and partially filled in the first region 407 of the semiconductor device 400, next, shallow trench isolation regions 430 are formed in the second region 412. First, a hard mask 420 is deposited over the pad nitride 406, recessed semiconductive material 418 and exposed portions of the insulating layer 416, as shown in FIG. 11. The hard mask 420 fills the recess in the deep trench over the semiconductive material 418, as shown. The hard mask preferably comprises TEOS deposited in a thickness of approximately 100 nm, as an example. Alternatively, the hard mask 420 may comprise other insulating materials and thicknesses, for example.
An anti-reflective coating (ARC) 421 is deposited over the hard mask 420. A photoresist 422 is deposited over the ARC 421. The ARC 421 may comprise deep ultra-violet (DUV) 90 run ARC, and the photoresist 422 may comprise 625 run resist, as examples. Alternatively, other ARC materials and photoresist materials may be used.
The photoresist 422 is patterned with the shallow trench isolation pattern 430. The ARC 421 and hard mask 420 are opened, or patterned, with the shallow trench isolation pattern 430 of the photoresist 422. Using the photoresist 422 as a mask, the pad nitride 406 and the pad oxide 404 are also patterned with the shallow trench isolation pattern 430, as shown in FIG. 11.
The photoresist 422 and ARC 421 are removed, as shown in FIG. 12. The pattern 430 for the shallow trench isolation of the hard mask 420 is transferred to the workpiece 402 using a reactive ion etch (RIE), for example, although alternatively, other etch processes may be used to pattern the workpiece 402.
The hard mask 420 is removed, as shown in FIG. 13. The recess above the deep trench in the first region 407 is left exposed, along with the shallow trench isolation region pattern 430 and the second region 410 of the semiconductor device 400. The pad nitride 406 is pulled back: the top edge surfaces are angled slightly as shown, for example, by approximately 100 to 200 Angstroms to obtain a better fill in the subsequent insulating layer deposition.
A thin insulating layer 436 is formed over the bottom surface and sidewalls of the shallow trench isolation pattern 430, as shown in FIG. 14. The thin insulating layer 436 preferably comprises a thin layer of silicon dioxide, for example, formed in a thickness of about 13 run, and a thin nitride layer disposed over the thin silicon dioxide layer. The thin nitride layer preferably comprises 50 to 100 Angstroms of silicon nitride, for example. The thin insulating layer 436 is preferably also formed over the top surface of the semiconductive material 418 within the deep trench 434, for example, as shown. The thin insulating layer 436 may also reside over the sidewalls of the deep trench over the insulating layer 416, not shown.
An insulating material 438 is deposited over the pad nitride 406 and exposed surfaces of the workpiece 402, pad oxide 404, insulating layer 416, and semiconductive material 418. The insulating material 438 is then removed from the top surface of the pad nitride 406. The insulating material 438 preferably comprises silicon dioxide, and may comprise, for example, HDP silicon dioxide. Alternatively, the insulating material 438 may comprise other dielectric materials or insulators, for example. The excess insulating material 438 may be removed from the top surface of the pad nitride 406 using a chemical mechanical polish (CMP) process, for example. The pad nitride 406 and pad oxide 404 are also removed from above the top surface of the workpiece 402, leaving the structure 400 shown in FIG. 14.
Subsequent processing is then performed on the semiconductor device 400. For example, second active regions 412 comprising low voltage devices, for example, may be formed within the second region 410 of the semiconductor device 400, as shown. The height h1 of the shallow trench isolation region 424 which comprises thin insulating layer 436 and insulating material 438 in the second region 410 preferably in one embodiment comprises a greater height than the height h2 of the shallow trench isolation 424 formed over the deep trench 434 than the first region 407 of the semiconductor device 400. However, alternatively, in other embodiments, the height h1 may be equal to h2, and alternatively, the height h1 may be less than the height h2.
Advantages of embodiments of the invention include providing a method of self-aligning shallow isolation regions of deep isolation trenches so that the shallow isolation region over the deep trenches does not require patterning using lithography. The fill material of the deep trench is recessed below a top surface of the workpiece, and the recess is filled with insulating material to form a shallow isolation region over a deep isolation trench. Embodiments of the invention eliminate challenges that arise when attempting to overlay deep trenches with shallow trench isolation. Another advantage includes providing a method of forming narrow and long active regions, particularly in the memory portion such as Flash, ROM or DRAM for scaling, without patterning to avoid resist profile constraints such as resist shorting, breaking, or fall-down.
Although embodiments of the present invention and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present invention. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (16)

1. A method of forming isolating regions of a semiconductor device, the method comprising:
providing a workpiece, the workpiece having at least one first region and at least one second region, the workpiece having a top surface;
forming at least one first active area in said at least one first region;
patterning the first region with at least one first trench after said step of forming said first active area, the first trench having sidewalls, a bottom, and a first width and a first depth within the workpiece;
forming a first insulating layer over the at least one first trench sidewalls and bottom;
depositing a semiconductive material in the at least one first trench over the first insulating layer;
depositing a photo resist for patterning shallow second trenches over said first and second regions of said substrate;
patterning both said first and second regions, each region patterned with at least one second trench, the second trench having a second depth within the workpiece, that is less than the first depth and a second width or than said first width, said second trenches in said first region being located over said first trenches so as to recess said first insulating layer adding semiconductor material in said first trenches;
depositing an insulating material in the at least one second trench and in the semiconductive material recess of the at least one first trench; and then
forming at least one second active area in the second region.
2. The method according to claim 1, wherein the at least one first active area comprises at least one high voltage device, and wherein the at least one second active area comprise at least one low voltage device.
3. The method according to claim 1, wherein depositing a semiconductive material comprises depositing doped polysilicon or undoped polysilicon, wherein forming the insulating layer over the at least one first trench sidewalls and bottom comprises:
forming a thin nitride layer over the at least one first trench sidewalls and bottom; and
forming a thin oxide layer over the thin nitride layer.
4. The method according to claim 1, further comprising, before depositing an insulating material in the at least one second trench and in the semiconductive material recess of the at least one first trench, depositing a second insulating layer over the at least one second trench and over the semiconductive material recess of the at least one first trench.
5. The method according to claim 4, wherein depositing the second insulating layer comprises:
forming a thin silicon dioxide layer over the at least one second trench and over the semiconductive material recess of the at least one first trench; and
forming a thin silicon nitride layer over the thin silicon dioxide layer.
6. A method of forming isolating regions of a semiconductor device, the method comprising:
providing a workpiece, the workpiece having at least one first region and at least one second region, the workpiece having a top surface;
forming at least one high voltage active area in said at least one first region:
patterning the first region with at least one deep trench after forming said at least one high voltage active area, the deep trench having a first width, sidewalls, a bottom, and a first depth within the workpiece;
forming a first insulating layer over the at least one deep trench sidewalls and bottom;
depositing a semiconductive material in the at least one deep trench over the first insulating layer;
recessing said semiconductive material beneath the workpiece top surface;
forming a bard mask having portions over said at least one first region and over said at least one second region;
patterning the portion of said hard mask over said at least one second region and transferring said hard mask pattern to burn at least one shallow trench in said second region and leaving the portion of said hard mask over said first region in place such that said first region is not further patterned, the shallow trench having a second depth and a second width within the workpiece, wherein the second depth is less than the first depth and the second width is greater than said first width;
removing any remaining hard mask from over at least one first region and said at least one second region;
depositing an insulating material in the at least one shallow trench and in the semiconductive material recess of the at least one deep trench; and
forming at least one low voltage active region in the second region.
7. The method according to claim 6, wherein depositing an insulating material in the semiconductive material recess of the at least one deep trench comprises forming shallow trench isolation over the deep trenches.
8. The method according to claim 6, wherein depositing the semiconductive material comprises depositing doped polysilicon or undoped polysilicon, wherein forming the insulating layer over the at least one deep trench sidewalls and bottom comprises:
forming a thin nitride layer over the at least one deep trench sidewalls and bottom; and
forming a thin oxide layer over the thin nitride layer.
9. The method according to claim 6, further comprising, before depositing an insulating material in the at least one shallow trench and in the semiconductive material recess of the at least one deep trench, depositing a second insulating layer over the at least one shallow trench and over the semiconductive material recess of the at least one deep trench.
10. The method according to claim 9, wherein depositing the second insulating layer comprises:
forming a thin oxide layer over the at least one shallow trench and over the semiconductive material recess of the at least one deep trench; and
forming a thin nitride layer over the thin silicon dioxide layer.
11. The method according to claim 6, wherein patterning the first region with at least one deep trench comprises:
depositing a hard mask over the workpiece top surface;
patterning the hard mask with the deep trench pattern;
patterning the workpiece using the hard mask as a mask; and
removing the hard mask.
12. The method according to claim 11, wherein depositing the hard mask comprises depositing Boron-doped Silicon Glass (BSG).
13. The method according to claim 6, wherein said steps of forming a hard mask region and patterning the hard mask over said at least one second region with at least one shallow trench comprising the steps of:
depositing a photoresist over the hard mask;
patterning the photoresist with the at least one shallow trench pattern;
patterning the hard mask with the photoresist pattern;
removing the photoresist;
patterning the workpiece using the hard mask as a mask; and
removing the hard mask.
14. The method according to claim 13, wherein depositing the hard mask comprises depositing high density plasma (HDP) silicon dioxide.
15. The method according to claim 6 wherein said at least one low voltage active region in the second region is formed after said insulating material is deposited in the at least one shallow trench and the at least one deep trench.
16. The method according to claim 6 wherein said at least one low voltage region in the second region is formed prior to said step of patterning the first region with at least one deep trench.
US10/615,630 2003-07-09 2003-07-09 Method of forming shallow trench isolation using deep trench isolation Expired - Lifetime US6864151B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/615,630 US6864151B2 (en) 2003-07-09 2003-07-09 Method of forming shallow trench isolation using deep trench isolation
DE102004032703A DE102004032703B4 (en) 2003-07-09 2004-07-06 A method of forming isolation regions of a semiconductor device and semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/615,630 US6864151B2 (en) 2003-07-09 2003-07-09 Method of forming shallow trench isolation using deep trench isolation

Publications (2)

Publication Number Publication Date
US20050009290A1 US20050009290A1 (en) 2005-01-13
US6864151B2 true US6864151B2 (en) 2005-03-08

Family

ID=33564599

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/615,630 Expired - Lifetime US6864151B2 (en) 2003-07-09 2003-07-09 Method of forming shallow trench isolation using deep trench isolation

Country Status (2)

Country Link
US (1) US6864151B2 (en)
DE (1) DE102004032703B4 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050023634A1 (en) * 2003-07-29 2005-02-03 Yoon Byoung-Moon Method of fabricating shallow trench isolation structure and microelectronic device having the structure
US20050106836A1 (en) * 2002-02-22 2005-05-19 Tze-Chiang Chen Deep trench isolation of embedded dram for improved latch-up immunity
US20050112825A1 (en) * 2003-10-30 2005-05-26 Yoshikazu Kasuya Method for manufacturing a semiconductor device
US20050124134A1 (en) * 2003-12-08 2005-06-09 Nanya Technology Corporation STI forming method for improving STI step uniformity
US20050180215A1 (en) * 2003-06-27 2005-08-18 Danny Shum One transistor flash memory cell
US20050189609A1 (en) * 2004-02-26 2005-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded semiconductor product with dual depth isolation regions
US20060267134A1 (en) * 2005-05-10 2006-11-30 Armin Tilke Deep trench isolation structures and methods of formation thereof
US20070057307A1 (en) * 2005-09-09 2007-03-15 Shum Danny P Embedded flash memory devices on SOI substrates and methods of manufacture thereof
US20070087516A1 (en) * 2005-10-19 2007-04-19 Infineon Technologies Ag Method for forming an isolating trench with a dielectric material
KR100745954B1 (en) 2006-07-05 2007-08-02 주식회사 하이닉스반도체 Method for fabricating flash memory device
US20080112231A1 (en) * 2006-11-09 2008-05-15 Danny Pak-Chum Shum Semiconductor devices and methods of manufacture thereof
US20080157260A1 (en) * 2007-01-02 2008-07-03 David Michael Fried High-z structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080157404A1 (en) * 2007-01-02 2008-07-03 David Michael Fried Trench structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080290448A1 (en) * 2007-05-22 2008-11-27 Armin Tilke Semiconductor devices and methods of manufacture thereof
US20090016118A1 (en) * 2007-07-12 2009-01-15 Silicon Storage Technology, Inc. Non-volatile dram with floating gate and method of operation
US20090269897A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Methods of fabricating dual-depth trench isolation regions for a memory cell
US20090267156A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Device structures including dual-depth trench isolation regions and design structures for a static random access memory
US20100015765A1 (en) * 2008-07-18 2010-01-21 James William Adkisson Shallow and deep trench isolation structures in semiconductor integrated circuits
US20100181639A1 (en) * 2009-01-19 2010-07-22 Vanguard International Semiconductor Corporation Semiconductor devices and fabrication methods thereof
US20100193901A1 (en) * 2009-01-30 2010-08-05 Se-Aug Jang Semiconductor device and method for fabricating the same
US20100230779A1 (en) * 2009-03-13 2010-09-16 International Business Machines Corporation Trench generated device structures and design structures for radiofrequency and bicmos integrated circuits
US20100301419A1 (en) * 2009-05-28 2010-12-02 International Business Machines Corporation Integrated circuit device with deep trench isolation regions for all inter-well and intra-well isolation and with a shared contact to a junction between adjacent device diffusion regions andan underlying floating well section
US20110068398A1 (en) * 2009-09-18 2011-03-24 International Business Machines Corporation Trench-generated transistor structures, fabrication methods, device structures, and design structures
US11798948B2 (en) 2021-10-07 2023-10-24 Globalfoundries U.S. Inc. Semiconductor structure with shared well

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100475081B1 (en) * 2002-07-09 2005-03-10 삼성전자주식회사 SONOS type EEPROM and method for fabricating the same
US7812375B2 (en) * 2003-05-28 2010-10-12 Samsung Electronics Co., Ltd. Non-volatile memory device and method of fabricating the same
KR100843244B1 (en) * 2007-04-19 2008-07-02 삼성전자주식회사 Semiconductor device and method of fabricating the same
US20050014364A1 (en) * 2003-07-18 2005-01-20 Infineon Technologies North America Corp. Method of suppressing the effect of shining spots present at the edge of a wafer
US8936995B2 (en) * 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7358145B2 (en) * 2006-06-15 2008-04-15 Macronix International Co., Ltd. Method of fabricating shallow trench isolation structure
US7795109B2 (en) * 2008-06-23 2010-09-14 Qimonda Ag Isolation trenches with conductive plates
CN103227144B (en) * 2013-05-07 2015-04-29 上海华力微电子有限公司 Method of improving shallow trench isolating performance of high-voltage device
US10416471B2 (en) * 2016-10-17 2019-09-17 Cymer, Llc Spectral feature control apparatus
CN110383850B (en) * 2017-03-14 2022-05-17 索尼半导体解决方案公司 Receiving apparatus and data processing method
US10460982B1 (en) * 2018-06-14 2019-10-29 International Business Machines Corporation Formation of semiconductor devices with dual trench isolations

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4994406A (en) 1989-11-03 1991-02-19 Motorola Inc. Method of fabricating semiconductor devices having deep and shallow isolation structures
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US6284593B1 (en) * 2000-11-03 2001-09-04 International Business Machines Corporation Method for shallow trench isolated, contacted well, vertical MOSFET DRAM
US6297127B1 (en) 2000-06-22 2001-10-02 International Business Machines Corporation Self-aligned deep trench isolation to shallow trench isolation
US6667226B2 (en) * 2000-12-22 2003-12-23 Texas Instruments Incorporated Method and system for integrating shallow trench and deep trench isolation structures in a semiconductor device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0783045B2 (en) * 1985-04-02 1995-09-06 ソニー株式会社 Method for manufacturing semiconductor device
US5382541A (en) * 1992-08-26 1995-01-17 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
US5895253A (en) * 1997-08-22 1999-04-20 Micron Technology, Inc. Trench isolation for CMOS devices
TW409408B (en) * 1998-03-31 2000-10-21 Siemens Ag Method and apparatus having improved control of a buried strap in trench capacitors
US6255184B1 (en) * 1999-08-30 2001-07-03 Episil Technologies, Inc. Fabrication process for a three dimensional trench emitter bipolar transistor
US6448124B1 (en) * 1999-11-12 2002-09-10 International Business Machines Corporation Method for epitaxial bipolar BiCMOS

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4994406A (en) 1989-11-03 1991-02-19 Motorola Inc. Method of fabricating semiconductor devices having deep and shallow isolation structures
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US6297127B1 (en) 2000-06-22 2001-10-02 International Business Machines Corporation Self-aligned deep trench isolation to shallow trench isolation
US6284593B1 (en) * 2000-11-03 2001-09-04 International Business Machines Corporation Method for shallow trench isolated, contacted well, vertical MOSFET DRAM
US6667226B2 (en) * 2000-12-22 2003-12-23 Texas Instruments Incorporated Method and system for integrating shallow trench and deep trench isolation structures in a semiconductor device

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050106836A1 (en) * 2002-02-22 2005-05-19 Tze-Chiang Chen Deep trench isolation of embedded dram for improved latch-up immunity
US7138319B2 (en) * 2002-02-22 2006-11-21 International Business Machines Corporation Deep trench isolation of embedded DRAM for improved latch-up immunity
US7190022B2 (en) 2003-06-27 2007-03-13 Infineon Technologies Ag One transistor flash memory cell
US20050180215A1 (en) * 2003-06-27 2005-08-18 Danny Shum One transistor flash memory cell
US20050023634A1 (en) * 2003-07-29 2005-02-03 Yoon Byoung-Moon Method of fabricating shallow trench isolation structure and microelectronic device having the structure
US20050112825A1 (en) * 2003-10-30 2005-05-26 Yoshikazu Kasuya Method for manufacturing a semiconductor device
US20050124134A1 (en) * 2003-12-08 2005-06-09 Nanya Technology Corporation STI forming method for improving STI step uniformity
US7071075B2 (en) * 2003-12-08 2006-07-04 Nanya Technology Corporation STI forming method for improving STI step uniformity
US20050189609A1 (en) * 2004-02-26 2005-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded semiconductor product with dual depth isolation regions
US7019348B2 (en) * 2004-02-26 2006-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded semiconductor product with dual depth isolation regions
US7679130B2 (en) 2005-05-10 2010-03-16 Infineon Technologies Ag Deep trench isolation structures and methods of formation thereof
US8258028B2 (en) 2005-05-10 2012-09-04 Infineon Technologies Ag Deep trench isolation structures and methods of formation thereof
US20060267134A1 (en) * 2005-05-10 2006-11-30 Armin Tilke Deep trench isolation structures and methods of formation thereof
DE102006021070B4 (en) * 2005-05-10 2017-06-22 Infineon Technologies Ag Semiconductor devices with deep trench isolation structures and methods of making same
US7495279B2 (en) 2005-09-09 2009-02-24 Infineon Technologies Ag Embedded flash memory devices on SOI substrates and methods of manufacture thereof
US8031532B2 (en) 2005-09-09 2011-10-04 Infineon Technologies Ag Methods of operating embedded flash memory devices
US20100149882A1 (en) * 2005-09-09 2010-06-17 Danny Pak-Chum Shum Methods of Operating Embedded Flash Memory Devices
US7687347B2 (en) 2005-09-09 2010-03-30 Infineon Technologies Ag Embedded flash memory devices on SOI substrates and methods of manufacture thereof
US20090135655A1 (en) * 2005-09-09 2009-05-28 Danny Pak-Chum Shum Embedded Flash Memory Devices on SOI Substrates and Methods of Manufacture Thereof
US20070057307A1 (en) * 2005-09-09 2007-03-15 Shum Danny P Embedded flash memory devices on SOI substrates and methods of manufacture thereof
US7371657B2 (en) 2005-10-19 2008-05-13 Infineon Technologies Ag Method for forming an isolating trench with a dielectric material
US20070087516A1 (en) * 2005-10-19 2007-04-19 Infineon Technologies Ag Method for forming an isolating trench with a dielectric material
KR100745954B1 (en) 2006-07-05 2007-08-02 주식회사 하이닉스반도체 Method for fabricating flash memory device
US20080112231A1 (en) * 2006-11-09 2008-05-15 Danny Pak-Chum Shum Semiconductor devices and methods of manufacture thereof
US20090212388A1 (en) * 2007-01-02 2009-08-27 International Business Machines Corporation High-z structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US8120138B2 (en) * 2007-01-02 2012-02-21 International Business Machines Corporation High-Z structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
CN102176426B (en) * 2007-01-02 2013-10-02 国际商业机器公司 Method for manufacturing integrated circuit chip and integrated circuit structure
CN101573791B (en) * 2007-01-02 2011-06-15 国际商业机器公司 High-z structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
CN102176426A (en) * 2007-01-02 2011-09-07 国际商业机器公司 Method for manufacturing integrated circuit chip and integrated circuit structure
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080157260A1 (en) * 2007-01-02 2008-07-03 David Michael Fried High-z structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US7550361B2 (en) * 2007-01-02 2009-06-23 International Business Machines Corporation Trench structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080157404A1 (en) * 2007-01-02 2008-07-03 David Michael Fried Trench structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080290448A1 (en) * 2007-05-22 2008-11-27 Armin Tilke Semiconductor devices and methods of manufacture thereof
US7723818B2 (en) * 2007-05-22 2010-05-25 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20100197112A1 (en) * 2007-05-22 2010-08-05 Armin Tilke Semiconductor Devices and Methods of Manufacture Thereof
US7883987B2 (en) 2007-05-22 2011-02-08 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20090016118A1 (en) * 2007-07-12 2009-01-15 Silicon Storage Technology, Inc. Non-volatile dram with floating gate and method of operation
US20090267156A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Device structures including dual-depth trench isolation regions and design structures for a static random access memory
US20090269897A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Methods of fabricating dual-depth trench isolation regions for a memory cell
US7723178B2 (en) 2008-07-18 2010-05-25 International Business Machines Corporation Shallow and deep trench isolation structures in semiconductor integrated circuits
US20100015765A1 (en) * 2008-07-18 2010-01-21 James William Adkisson Shallow and deep trench isolation structures in semiconductor integrated circuits
US20100181639A1 (en) * 2009-01-19 2010-07-22 Vanguard International Semiconductor Corporation Semiconductor devices and fabrication methods thereof
US8736017B2 (en) * 2009-01-30 2014-05-27 SK Hynix Inc. Semiconductor device and method for fabricating the same
US20100193901A1 (en) * 2009-01-30 2010-08-05 Se-Aug Jang Semiconductor device and method for fabricating the same
US8497529B2 (en) 2009-03-13 2013-07-30 International Business Machines Corporation Trench generated device structures and design structures for radiofrequency and BiCMOS integrated circuits
US20100230779A1 (en) * 2009-03-13 2010-09-16 International Business Machines Corporation Trench generated device structures and design structures for radiofrequency and bicmos integrated circuits
US9059080B2 (en) 2009-03-13 2015-06-16 International Business Machines Corporation Methods of fabricating trench generated device structures
US7902608B2 (en) 2009-05-28 2011-03-08 International Business Machines Corporation Integrated circuit device with deep trench isolation regions for all inter-well and intra-well isolation and with a shared contact to a junction between adjacent device diffusion regions and an underlying floating well section
US20100301419A1 (en) * 2009-05-28 2010-12-02 International Business Machines Corporation Integrated circuit device with deep trench isolation regions for all inter-well and intra-well isolation and with a shared contact to a junction between adjacent device diffusion regions andan underlying floating well section
US8159008B2 (en) 2009-09-18 2012-04-17 International Business Machines Corporation Method of fabricating a trench-generated transistor structure
US8492841B2 (en) 2009-09-18 2013-07-23 International Business Machines Corporation Trench-generated transistor structures, device structures, and design structures
US20110068398A1 (en) * 2009-09-18 2011-03-24 International Business Machines Corporation Trench-generated transistor structures, fabrication methods, device structures, and design structures
US11798948B2 (en) 2021-10-07 2023-10-24 Globalfoundries U.S. Inc. Semiconductor structure with shared well

Also Published As

Publication number Publication date
DE102004032703B4 (en) 2013-08-22
DE102004032703A1 (en) 2005-03-24
US20050009290A1 (en) 2005-01-13

Similar Documents

Publication Publication Date Title
US6864151B2 (en) Method of forming shallow trench isolation using deep trench isolation
US6410391B1 (en) Method for producing an EEPROM memory cell with a trench capacitor
US7078286B1 (en) Process for fabricating a semiconductor device having electrically isolated low voltage and high voltage regions
KR100458772B1 (en) Embedded dram on silicon-on-insulator substrate
US7511332B2 (en) Vertical flash memory
CN100375271C (en) Novel dram access transistor
US7439134B1 (en) Method for process integration of non-volatile memory cell transistors with transistors of another type
KR101396124B1 (en) Method of forming a trench and method of manufacturing a semiconductor device using the same
KR100875349B1 (en) Isolation Structure for Memory Cells Using A₂₂O₃ Dielectric
KR100399269B1 (en) A trench capacitor with isolation collar and corresponding manufacturing method
US20070004149A1 (en) Method for producing a vertical field effect transistor
US20060003526A1 (en) Integrated circuit arrangement comprising a capacitor, and production method
US10763264B2 (en) Method for forming dynamic random access memory structure
US10032786B2 (en) Semiconductor device and manufacturing method thereof
KR20030003755A (en) System and method of forming a vertically oriented device in an integrated circuit
US20200373317A1 (en) Semiconductor device and manufacturing method thereof
US8361863B2 (en) Embedded DRAM with multiple gate oxide thicknesses
US7173302B2 (en) Integrated circuit arrangement having capacitors and having planar transistors and fabrication method
US7172939B1 (en) Method and structure for fabricating non volatile memory arrays
US20050156224A1 (en) Method to make minimal spacing between floating gates in split gate flash
US11424255B2 (en) Semiconductor device and manufacturing method thereof
US6566227B2 (en) Strap resistance using selective oxidation to cap DT poly before STI etch
JP4955880B2 (en) Method for fabricating an integrated circuit forming a trench in a substrate
US6995094B2 (en) Method for deep trench etching through a buried insulator layer
CN114695352A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAN, JIANG;SHUM, DANNY PAK-CHUM;REEL/FRAME:014303/0939

Effective date: 20030708

AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:014406/0384

Effective date: 20040303

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: QIMONDA AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES AG;REEL/FRAME:023821/0535

Effective date: 20060425

Owner name: QIMONDA AG,GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES AG;REEL/FRAME:023821/0535

Effective date: 20060425

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:QIMONDA AG;REEL/FRAME:035623/0001

Effective date: 20141009

AS Assignment

Owner name: POLARIS INNOVATIONS LIMITED, IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES AG;REEL/FRAME:036877/0513

Effective date: 20150708

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11