US6992013B1 - Method of forming a fine pattern using a silicon-oxide-based film, semiconductor device with a silicon-oxide-based film and method of manufacture thereof - Google Patents

Method of forming a fine pattern using a silicon-oxide-based film, semiconductor device with a silicon-oxide-based film and method of manufacture thereof Download PDF

Info

Publication number
US6992013B1
US6992013B1 US09/597,161 US59716100A US6992013B1 US 6992013 B1 US6992013 B1 US 6992013B1 US 59716100 A US59716100 A US 59716100A US 6992013 B1 US6992013 B1 US 6992013B1
Authority
US
United States
Prior art keywords
silicon
oxide
based film
pattern
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/597,161
Inventor
Ichiro Okabe
Hiroki Arai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Sharp Corp
Original Assignee
ASM Japan KK
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK, Semiconductor Leading Edge Technologies Inc filed Critical ASM Japan KK
Assigned to ASM JAPAN K.K., SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC. reassignment ASM JAPAN K.K. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ARAI, HIROKI, OKABE, ICHIRO
Assigned to SHARP KABUSHIKI KAISHA reassignment SHARP KABUSHIKI KAISHA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.
Application granted granted Critical
Publication of US6992013B1 publication Critical patent/US6992013B1/en
Assigned to SHARP KABUSHIKI KAISHA reassignment SHARP KABUSHIKI KAISHA ASSIGNEE'S ADDRESS CORRECTION Assignors: SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors

Definitions

  • the present invention relates to a method of forming a fine pattern in a semiconductor device, and a method of manufacturing a semiconductor device having a fine pattern.
  • a reduction-projection exposing technique has been widely used for fabricating a circuit pattern, such as an LSI.
  • An improvement in resolution ascribable to a reduction in the wavelength of exposing radiation has recently been fostered in the field of exposure techniques.
  • Resolution on the order of 0.1 ⁇ m is considered to become feasible as a result of employment of an ArF excimer laser (having a wavelength of 193 nm) in place of a KrF excimer laser (having a wavelength of 248 nm) which has been widely used.
  • use of the X-ray lithography technique or electron beam lithography technique is under study in an attempt to form a finer pattern.
  • a typical problems pertaining to a chemically-amplified resist is an anomaly in the cross section of each of patterns formed on various underlying films.
  • an underlying film is a film containing nitrogen atoms, such as silicon nitride or titanium nitride
  • a tapered portion appearing at the foot of a chemically-amplified resist pattern poses a serious problem.
  • a silicon nitride film has been widely used as an etching mask or an inorganic anti-reflection film. Patterning is indispensable for nitride-film-based material, and an anomaly of pattern geometry, such as a tapering pattern, must be avoided.
  • Such an anomaly of pattern geometry has been thought to be attributable to the phenomenon of acid being trapped by ammonium residing on the surface of a nitride film or by ammonium contaminated in the environment or being trapped by the lone pair of electrons of each nitrogen atom contained in a nitride film.
  • a method of oxidizing the surface of a silicon nitride film through use of oxygen plasma has been proposed as described, for example, in Japanese Patent Application Laid-Open Nos. 83786/1996 and 134867/1997, and a method of depositing a silicon oxide film on a silicon nitride film has been proposed as described in Japanese Patent Application Laid-Open No. 10-189441.
  • the experiments conducted by the inventor of the present invention has shown that the geometry of a resist pattern may be deteriorated even when the substrate laid immediately below a resist is subjected to the foregoing processing.
  • the present inventor has examined the cause of the anomaly. As a result, the inventor has found that in a case where a high-resolution chemically-amplified resist is adopted, the geometry of a resist pattern is deteriorated even when nitrogen atoms are present in a trace amount on the surface of a silicon oxide film. The deterioration is considered to stem from acid being trapped by the lone pair of electrons of each nitrogen atom which is present on the surface of a substrate.
  • nitrogen-containing components must be eliminated from a source gas during the course of a CVD operation.
  • a source gas For example, use of the plasma CVD technique and use of silane and oxygen as source gases are desirable.
  • silane and oxygen are used, reaction readily proceeds at ordinary temperatures even if no plasma exists, thereby producing a fine powder of SiO 2 in a chamber or a process gas inlet pipe. The resultant powder leads to the presence of particles on a wafer and is not desirable.
  • a silicon oxide film be formed in only a plasma generation area without involvement of generation of particles while using, as an oxidizing gas, N 2 O or NO which would induce reaction only with the assistance of energy, such as that provided by plasma.
  • the present invention has been conceived to solve drawbacks of the background art such as those mentioned previously.
  • the object of the present invention is to provide a method of forming a highly-accurate fine pattern in a lithography process.
  • Another object of the present invention is to provide a method of forming a highly-accurate fine pattern of a silicon-oxide-based film.
  • Still another object of the present invention is to provide a method of forming a fine pattern which can prevent generation of a tapering portion at the foot of the resist pattern, which would otherwise arise in a boundary surface between a resist and a substrate during formation of a chemically-amplified resist pattern.
  • Yet another object of the present invention is to provide a method of diminishing nitrogen present on the surface of an oxide film during the course of formation of a silicon-oxide-based film.
  • a silicon-oxide-based film is formed on a substrate directly or by way of another intermediate layer.
  • the nitrogen content of the surface of the silicon-oxide-based film is made to assume a value of 0.1 atm. % or less.
  • a chemically-amplified photoresist layer is formed on the silicon-oxide-based film.
  • a mask pattern of a mask is transferred onto the chemically-amplified photoresist layer upon exposure through a mask.
  • the underlying layer is etched byway of the fine resist pattern, to thereby form a fine pattern in the underlying layer.
  • a semiconductor device comprises a substrate or an underlying layer, and a silicon-oxide-based film formed on the substrate or the underlying layer directly or with an intermediate layer therebetween. Further, the nitrogen content of an upper boundary area of the silicon-oxide-based film assumes a value of 0.1 atm. % or less.
  • FIGS. 1A through 1C show a method of forming a fine pattern employed in a first embodiment of the present invention.
  • FIG. 2 shows definition of the accuracy of the resist pattern in the present invention.
  • FIGS. 3A and 3B respectively show cross sections of the resist patterns in the Examples and in the Comparative Examples in the first embodiment of the present invention.
  • FIGS. 4A through 4C show a method of forming a fine pattern employed in a second embodiment of the present invention.
  • FIGS. 1A through 3B and Table 1 A method of forming a fine pattern is described by reference to FIGS. 1A through 3B and Table 1.
  • FIGS. 1A through 1C are schematic representations for describing processes of a method of forming a fine pattern employed in Examples 1 through 3 of the first embodiment and in Comparative Examples 1 through 4. Further, Table 1 provides requirements and results of the method of forming a fine pattern employed in Examples 1 through 3 and in Comparative Examples 1 through 4.
  • reference numeral 1 designates a photoresist
  • 1 a designates a photoresist pattern
  • 2 designates an oxide silicon film
  • 3 designates a silicon nitride oxide film (SiON film)
  • 4 designates a silicon substrate
  • 5 designates a mask to be used for exposing the photoresist 1
  • 6 designates exposing radiation.
  • an SiON film 3 is deposited on the substrate 4 to a thickness of 25 nm through plasma CVD, and a silicon oxide film 2 is formed on the SiON film 3 to a thickness of 10 nm.
  • Table 1 shows requirements for producing silicon oxide films employed in Examples 1 through 3 and requirements for producing silicon oxide films employed in Comparative examples 1 through 4.
  • the present embodiment employs a parallel plate plasma enhanced CVD system (Eagle-10 by Japan ASM) and certain settings; that is, an RF frequency of 13.56 MHz, RF power of 350 W, and an internal chamber pressure of 380 Pa. Further, a prototype thermal CVD system (by TOKYO Electron) is used in the present embodiment.
  • the photoresist 1 was formed by means of spin coating after deposition of a silicon oxide film 2 .
  • the step of coating photoresist is as follows. A substrate having the silicon oxide film 2 formed thereon was exposed to a hexamethyldisilazane (HMDS) atmosphere at a temperature of 90° C. for 60 seconds. Subsequently, polyacryl-based positive ArF resist (AX-100, Clariant) was applied to the substrate to a thickness of 480 nm through spin-coating, and the substrate was heated on a hot plate at 115° C. for 90 seconds.
  • HMDS hexamethyldisilazane
  • the wafer was exposed to exposing radiation 6 through a mask 5 by means of a prototype ArF excimer laser stepper (by ISI), wherewith a pattern was transferred onto the wafer.
  • the exposure system has a numerical aperture of 0.6 and a ⁇ of 0.7.
  • the wafer was heated on a hot plate at 110° C. for 60 seconds and developed by means of the puddle developing technique while an organic alkaline solution, such as a tetramethylammoniumhydroxydo solution, was used as a developer solution.
  • an organic alkaline solution such as a tetramethylammoniumhydroxydo solution
  • FIGS. 3A and 3B are illustrations showing a difference in cross section between the resist patterns of 150 nm width formed in Examples 1 through 4 and those formed in Comparative Examples 1 through 4.
  • FIG. 3A shows the cross section of one of the resist patterns formed in Examples 1 through 3
  • FIG. 3B shows the cross section of one of the resist patterns formed in Comparative Examples 1 through 4.
  • the resist pattern according to Examples 1 through 3 forms a substantially-right-angle corner at the foot of the resist pattern with respect to the substrate, and it is understood that a resist pattern has been correctly formed.
  • the resist pattern according to Comparative Examples 1 through 4 shown in FIG. 3B forms a tapered corner or a curved corner at the foot of the resist pattern with respect to the substrate. Such a pattern is not desirable, because the dimensional accuracy of a resist pattern is deteriorated.
  • the present inventor has defined the degree of a tapered corner at the foot of the resist pattern as shown in FIG. 2 , thereby quantifying the accuracy of the resist pattern.
  • reference symbol Wb denotes the width of a resist line formed in the boundary surface between a resist and a substrate; and Wm denotes the width of the resist line at a position elevated H/4 from the boundary surface.
  • H denotes the height of the resist line.
  • Wm denotes the width of the resist line at a position elevated 120 nm from the boundary surface.
  • Table 1 shows results of measurement of a tapered corner of a resist pattern. As is evident from Table 1, so long as the concentration of nitrogen atoms which are present on the surface of a silicon oxide film is 0.1 atm. % or less, a tapered corner of a resist pattern is found to become small. In the present embodiment, the concentration of nitrogen atoms which are contained in a silicon oxide film and nitrogen atoms which are present on the surface of a silicon oxide film were measured through use of the Secondary Ion Mass Spectroscopy (SIMS) technique.
  • SIMS Secondary Ion Mass Spectroscopy
  • ArF excimer laser reduction exposure has been used for transferring a mask pattern through exposure
  • another exposure technique may be employed.
  • an X-ray exposure technique a KrF excimer laser contact exposure or reduction projection exposure technique, an F 2 excimer laser contact exposure or reduction projection exposure technique, a step-and-scan reflection-type reduction projection exposure technique using UV radiation as the light source, or a soft-X-ray exposure technique.
  • the value of numerical aperture and the value of ⁇ are not limited to those described previously.
  • a pattern to be exposed is not limited to a line pattern, and a hole pattern or a dot pattern may also be used as an object of exposure.
  • Examples 1 through 3 and in Comparative Examples 1 through 4 a wafer was exposed to an HMDS atmosphere immediately before coating with a resist film, thus forming a contacting layer. In a case where a resist film is in sufficient contact with a substrate, formation of a contacting layer may be omitted.
  • an silicon oxynitride film is deposited immediately beneath a silicon oxide film.
  • a silicon nitride film may be deposited immediately beneath the silicon oxide film.
  • the silicon oxide nitride film or the silicon nitride film may not be deposited.
  • silane was used as a source gas.
  • any gas containing silicon for example, Si 2 H 6 , SiH 3 (CH 3 ), SiH 2 (CH 3 ) 21 SiCl 2 H 2 ′ SiCl 4 , or SiH(CH 3 ) 3 .
  • N 2 O was used as another source gas in Examples 1 through 3 and in Comparative Examples 1 through 4.
  • any oxidizing gas for example, NO or CO.
  • a fine resist pattern 1 a has been formed as shown in FIG. 1C , the underlying silicon oxide film 2 or the silicon oxide film 2 and the SiON film 3 are etched by way of the resist pattern 1 a , thereby forming a fine pattern from an insulating film.
  • a fine pattern can be formed from a conductive film.
  • a semiconductor device can be manufactured through succeeding manufacturing processes, and explanation of manufacture of a semiconductor device is omitted.
  • a semiconductor device having a pattern on the order of 0.1 ⁇ m can be fabricated, and the present invention can be usually preferably applied to formation of a pattern of 0.18 ⁇ m or less.
  • a silicon-oxide-based film whose surface has a nitrogen content of 0.1 atm. % or less is formed, and a chemically-amplified photoresist is formed on the silicon-oxide-based film, thus constituting a resist pattern.
  • FIGS. 4A through 4C are schematic representations for describing processes for forming a fine pattern, the processes being employed in Examples 4 through 8 and Comparative Examples 5 through 7.
  • Table 2 provides requirements and results of the method of forming a fine pattern employed in Examples 4 through 8 and in Comparative Examples 5 through 7.
  • reference numerals 1 through 6 and 1 a are identical with those employed in FIG. 1 pertaining to the first embodiment, and hence repetition of their explanations is omitted.
  • reference numeral 7 designates a BPSG film
  • a substrate having the silicon oxide film 2 formed thereon was exposed to a hexamethyldisilazane (HMDS) atmosphere at a temperature of 90° C. for 60 seconds.
  • HMDS hexamethyldisilazane
  • PAR-101 polyacryl-based positive ArF resist
  • the wafer was exposed to exposing radiation 6 through a mask 5 and by means of a prototype ArF excimer laser stepper (by ISI), wherewith a pattern was transferred onto the wafer.
  • An exposure system has a numerical aperture of 0.6 and a ⁇ of 0.7.
  • the wafer was heated on a hot plate at 120° C. for 60 seconds and developed by means of the puddle developing technique while an organic alkaline solution, such as a tetramethylammoniumhydroxydo solution, was used as a developer solution.
  • an organic alkaline solution such as a tetramethylammoniumhydroxydo solution
  • FIGS. 3A and 3B are illustrations showing a difference in cross section between the resist patterns of 150 nm width formed in Examples 1 through 8 and those formed in Comparative Examples 1 through 7.
  • the resist pattern according to Examples 4 through 8 forms a substantially-right-angle corner with respect to the substrate in the vicinity of the area between the substrate and the resist pattern as shown in FIG. 3A , and it is understood that a resist pattern has been correctly formed.
  • the resist pattern according to Comparative Examples 5 through 7 forms a tapered corner with respect to the substrate as shown in FIG. 3B .
  • Such a pattern having a tapered corner is not desirable, because the dimensional accuracy of a resist pattern is deteriorated.
  • ArF excimer laser reduction exposure has been used for transferring a mask pattern through exposure
  • another exposure technique may be employed.
  • an X-ray exposure technique a KrF excimer laser contact exposure or reduction projection exposure technique, an F 2 excimer laser contact exposure or reduction projection exposure technique, a step-and-scan reflection-type reduction projection exposure technique using UV radiation as the light source, or a soft-X-ray exposure technique.
  • the value of numerical aperture and the value of ⁇ are not limited to those described previously.
  • a pattern to be exposed is not limited to a line pattern, and a hole pattern or a dot pattern may also be used as an object of exposure.
  • Examples 4 through 8 and in Comparative Examples 5 through 7 a wafer was exposed to an HMDS atmosphere immediately before coating with a resist film, thus forming a contacting layer. In a case where a resist film is in sufficient contact with a substrate, formation of a contacting layer may be omitted.
  • the BPSG film 7 and the silicon oxynitride film 3 are deposited immediately beneath the silicon oxide film 2 .
  • these layers may be replaced with another material; for example, a BPSG film or a TEOS film.
  • the SiON film may be replaced with a silicon-oxide-based film, or such layer may not be deposited.
  • silane was used as a source gas.
  • any gas containing silicon for example, Si 2 H 6 , SiH 3 (CH 3 ), SiH 2 (CH 3 ) 2 , SiCl 2 H 2 , SiCl 4 , or SiH(CH 3 ) 3 .
  • N 2 O was used as another source gas in Examples 4 through 8.
  • any oxidizing gas for example, NO or CO.
  • a silicon-oxide-based film whose surface has a nitrogen content of 0.1 atm. % or less is formed by means of setting a reaction temperature employed in the plasma CVD process to a value of 400° C. or more, and a chemically-amplified photoresist is formed on the silicon-oxide-based film, thus constituting a resist pattern.
  • FIGS. 1A through 1C are schematic representations for describing processes of a method of forming a fine pattern employed in Examples 9 through 14 of the third embodiment and in Comparative Examples 8 through 10. Further, Table 3 provides requirements and results of the method of forming a fine pattern employed in Examples 9 through 14 and Comparative Examples 8 through 10. Since FIGS. 1A through 1C have already been described in connection with the first embodiment, repetition of their explanations is omitted here.
  • Examples 9 through 14 are characterized by inserting, into the processes, a step of exposing the surface of a silicon oxide film to oxygen plasma or N 2 O plasma after formation of the silicon oxide film.
  • Comparative Examples 8 through 10 are characterized by means of omission, from the processes, of the step of exposing a silicon oxide film to plasma.
  • the SiON film 3 shown in FIG. 1 assumes a thickness of 100 nm, and the silicon oxide film 2 assumes a thickness of 30 nm.
  • the present embodiment employed a plasma CVD system (Eagle-10, by Japan ASM) and certain settings: an RF frequency of 13.56 MHz; an RF power of 400 W, and an internal chamber pressure of 400 Pa.
  • the surface of the silicon oxide film was subjected to treatment within the chamber that has been employed in the process of forming the silicon oxide film. More specifically, after completion of the process of forming a silicon oxide film, the gas to be introduced was changed to solely O 2 or N 2 O, and the processing operation was carried out continuously for about 60 seconds. At this time, a flow rate of N 2 O or O 2 was set to 1500 sccm, and the internal pressure of the chamber was set to 400 Pa.
  • a silicon oxide film was coated with the photoresist 1 .
  • a process of coating a silicon oxide film with photoresist will now be described in detail.
  • a substrate having the silicon oxide film 2 formed thereon was exposed to a hexamethyldisilazane (HMDS) atmosphere at a temperature of 90° for 60 seconds.
  • HMDS hexamethyldisilazane
  • a polynolbornane-based positive ArF resist by Shinetsu Chemical
  • was applied to the substrate to a thickness of 480 nm through spin-coating was heated on a hot plate at 130° C. for 60 seconds.
  • the wafer was exposed to exposing radiation 6 through a mask 5 and by means of a prototype ArF excimer laser stepper (NSR-S302A, by Nikon), wherewith a pattern was transferred onto the wafer.
  • An exposure system has a numerical aperture of 0.6 and a ⁇ of 0.7.
  • the wafer was heated on a hot plate at 110° C. for 60 seconds and developed by means of the puddle developing technique while an organic alkaline solution, such as a tetramethylammoniumhydroxydo solution, was used as a developer solution.
  • a resist pattern (line pattern) 1 a having a width of 150 nm was formed.
  • the thus-formed resist pattern 1 a was observed through use of a scanning electron microscope (S-5000, by Hitachi), and the degree of a tapered corner of a resist pattern was quantified through use of the definition illustrated in FIG. 2 .
  • Table 3 shows observation results. A nitrogen content of the surface of a silicon oxide film was diminished by means of the wafer being subjected to a plasma atmosphere of oxidizing gas of O 2 or N 2 O, wherewith the degree of a tapered corner could be diminished. Control of pattern geometry has been further improved as a result of a reduction in the degree of a tapered corner of the photoresist.
  • ArF excimer laser reduction exposure has been used for transferring a resist pattern through exposure
  • another exposure technique may be employed.
  • an X-ray exposure technique a KrF excimer laser contact exposure or reduction projection exposure technique, an F 2 excimer laser contact exposure or reduction projection exposure technique, a step-and-scan reflection-type reduction projection exposure technique using UV radiation as the light source, or a soft X-ray exposure technique.
  • the value of numerical aperture and the value of a are not limited to those described previously.
  • a pattern to be exposed is not limited to a line pattern, and a hole pattern or a dot pattern may also be used as an object of exposure.
  • Examples 9 through 14 a wafer was exposed to an HMDS atmosphere immediately before coating with a resist film, thus forming a contact layer. In a case where a resist film is in sufficient contact with a substrate, formation of a contact layer may be omitted.
  • a silicon oxynitride film is deposited immediately beneath a silicon oxide film.
  • a silicon nitride film may be deposited in place of a silicon oxynitride film. Further, deposition of a silicon oxynitride film may not be required.
  • Examples 9 through 14 Although in the present embodiment a parallel plate plasma enhanced CVD system was used in Examples 9 through 14, an ECR plasma CVD system or a bias ECR plasma CVD system may be used.
  • a parallel plate plasma enhanced CVD system was used for treating the surface of a silicon oxide film
  • an ECR plasma etching system or an asher may also be employed.
  • N 2 O and O 2 were used for treating the surface of a silicon oxide film
  • any oxidizing gas such as CO or NO, can be employed.
  • silane was used as a source gas.
  • any gas containing silicon for example, Si 2 H 6 , SiH 3 (CH 3 ), SiH 2 (CH 3 ) 2 , SiCl 2 H 2 , SiCl 4 , or SiH(CH 3 ) 3 .
  • a silicon-oxide-based film whose surface has a nitrogen content of 0.1 atm. % or less is formed, and the surface of the silicon-oxide-based film is exposed to plasma atmosphere of oxidizing gas, such as oxygen or N 2 O, after formation of the silicon-oxide-based film, to thereby diminish the nitrogen content of the surface of the silicon oxide film.
  • oxidizing gas such as oxygen or N 2 O
  • a chemically-amplified photoresist is applied to the silicon oxide film by means of coating, thus forming a resist pattern.
  • a silicon-oxide-based film is formed on a substrate.
  • the silicon-oxide-based film may be formed directly or indirectly on an underlying layer, and a fine pattern is ultimately formed in the underlying layer through a fine resist pattern.
  • a silicon-oxide-based film is directly formed on a substrate or by way of another layer on a substrate.
  • a chemically-amplified photoresist is formed on the silicon-oxide-based film.
  • a mask pattern is transferred onto the chemically-amplified photoresist upon exposure through a mask.
  • nitrogen content of the surface of the silicon-oxide-based film is made to assume a value of 0.1 atm. % or less. Therefore, a highly-accurate fine pattern can be formed in a lithography step.
  • plasma CVD is employed during the course of the step of depositing the silicon-oxide-based film, and the silicon-oxide-based film is formed while the temperature at which the substrate is to be disposed is set to 400° C. or more. Accordingly, nitrogen atoms contained in a silicon oxide film and those contained in the surface of the silicon oxide film can be reduced in amount. Deterioration in geometry of a resist pattern is prevented, thus enabling formation of a highly-accurate fine pattern.
  • a step of exposing the surface of the silicon oxide film to plasma atmosphere of O 2 or N 2 O is added so as to follow the step of depositing the silicon-oxide-based film, whereby nitrogen content of the surface of the silicon oxide film can be diminished. Therefore, deterioration in geometry of a resist pattern is prevented, thus enabling formation of a highly-accurate fine pattern.
  • a highly-accurate fine insulating or conductive pattern can be formed, and a semiconductor device having such a fine pattern can be fabricated.

Abstract

In a method of forming a fine pattern, a silicon-oxide-based film is formed directly or by way of another layer on a substrate or on an underlying layer. The silicon-oxide-based film is formed such that nitrogen content of the surface thereof assumes a value of 0.1 atm. % or less. A chemically-amplified photoresist layer is formed on the silicon-oxide-based film. A mask pattern of a mask is transferred onto the chemically-amplified photoresist layer upon exposure through the mask. Thus, there is prevented generation of a tapered corner in a portion of a resist pattern in the vicinity of a boundary area between the resist pattern and a substrate.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a method of forming a fine pattern in a semiconductor device, and a method of manufacturing a semiconductor device having a fine pattern.
2. Background Art
A reduction-projection exposing technique has been widely used for fabricating a circuit pattern, such as an LSI. An improvement in resolution ascribable to a reduction in the wavelength of exposing radiation has recently been fostered in the field of exposure techniques. Resolution on the order of 0.1 μm is considered to become feasible as a result of employment of an ArF excimer laser (having a wavelength of 193 nm) in place of a KrF excimer laser (having a wavelength of 248 nm) which has been widely used. Further, use of the X-ray lithography technique or electron beam lithography technique is under study in an attempt to form a finer pattern.
Exposure using short wavelength light, X-ray, or an electron beam encounters a problem of deterioration in pattern geometry and resist sensitivity, which is caused by an absorption of light by a resist. In order to solve the problem, a chemically-amplified resist which absorbs less light and has a high sensitivity has been developed, and practical use of the chemically-amplified resist has been the subject of various studies. The studies have revealed problems hindering commercial mass-production of a chemically-amplified resist.
A typical problems pertaining to a chemically-amplified resist is an anomaly in the cross section of each of patterns formed on various underlying films. Particularly, in a case where an underlying film is a film containing nitrogen atoms, such as silicon nitride or titanium nitride, a tapered portion appearing at the foot of a chemically-amplified resist pattern poses a serious problem. A silicon nitride film has been widely used as an etching mask or an inorganic anti-reflection film. Patterning is indispensable for nitride-film-based material, and an anomaly of pattern geometry, such as a tapering pattern, must be avoided.
Such an anomaly of pattern geometry has been thought to be attributable to the phenomenon of acid being trapped by ammonium residing on the surface of a nitride film or by ammonium contaminated in the environment or being trapped by the lone pair of electrons of each nitrogen atom contained in a nitride film. A method of oxidizing the surface of a silicon nitride film through use of oxygen plasma has been proposed as described, for example, in Japanese Patent Application Laid-Open Nos. 83786/1996 and 134867/1997, and a method of depositing a silicon oxide film on a silicon nitride film has been proposed as described in Japanese Patent Application Laid-Open No. 10-189441. However, the experiments conducted by the inventor of the present invention has shown that the geometry of a resist pattern may be deteriorated even when the substrate laid immediately below a resist is subjected to the foregoing processing.
In an effort to solve such an anomaly of the geometry of a resist pattern, the present inventor has examined the cause of the anomaly. As a result, the inventor has found that in a case where a high-resolution chemically-amplified resist is adopted, the geometry of a resist pattern is deteriorated even when nitrogen atoms are present in a trace amount on the surface of a silicon oxide film. The deterioration is considered to stem from acid being trapped by the lone pair of electrons of each nitrogen atom which is present on the surface of a substrate.
It is considered that, in order to prevent nitrogen components from being contained in an oxide film, nitrogen-containing components must be eliminated from a source gas during the course of a CVD operation. For example, use of the plasma CVD technique and use of silane and oxygen as source gases are desirable. In a case where silane and oxygen are used, reaction readily proceeds at ordinary temperatures even if no plasma exists, thereby producing a fine powder of SiO2 in a chamber or a process gas inlet pipe. The resultant powder leads to the presence of particles on a wafer and is not desirable.
Consequently, it is desirable that a silicon oxide film be formed in only a plasma generation area without involvement of generation of particles while using, as an oxidizing gas, N2O or NO which would induce reaction only with the assistance of energy, such as that provided by plasma.
SUMMARY OF THE INVENTION
The present invention has been conceived to solve drawbacks of the background art such as those mentioned previously. The object of the present invention is to provide a method of forming a highly-accurate fine pattern in a lithography process.
Another object of the present invention is to provide a method of forming a highly-accurate fine pattern of a silicon-oxide-based film.
Still another object of the present invention is to provide a method of forming a fine pattern which can prevent generation of a tapering portion at the foot of the resist pattern, which would otherwise arise in a boundary surface between a resist and a substrate during formation of a chemically-amplified resist pattern.
Yet another object of the present invention is to provide a method of diminishing nitrogen present on the surface of an oxide film during the course of formation of a silicon-oxide-based film.
According to one aspect of the present invention, in a method of forming a fine pattern, a silicon-oxide-based film is formed on a substrate directly or by way of another intermediate layer. The nitrogen content of the surface of the silicon-oxide-based film is made to assume a value of 0.1 atm. % or less. Then, a chemically-amplified photoresist layer is formed on the silicon-oxide-based film. Then, a mask pattern of a mask is transferred onto the chemically-amplified photoresist layer upon exposure through a mask.
In another aspect, in a method of manufacturing a semiconductor device, the underlying layer is etched byway of the fine resist pattern, to thereby form a fine pattern in the underlying layer.
According to another aspect, a semiconductor device comprises a substrate or an underlying layer, and a silicon-oxide-based film formed on the substrate or the underlying layer directly or with an intermediate layer therebetween. Further, the nitrogen content of an upper boundary area of the silicon-oxide-based film assumes a value of 0.1 atm. % or less.
Other and further objects, features and advantages of the invention will appear more fully from the following description.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A through 1C show a method of forming a fine pattern employed in a first embodiment of the present invention.
FIG. 2 shows definition of the accuracy of the resist pattern in the present invention.
FIGS. 3A and 3B respectively show cross sections of the resist patterns in the Examples and in the Comparative Examples in the first embodiment of the present invention.
FIGS. 4A through 4C show a method of forming a fine pattern employed in a second embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Preferred embodiments of the invention will be described hereinbelow by reference to the accompanying drawings.
First Embodiment EXAMPLES 1–3 AND COMPARATIVE EXAMPLES 1–4)
A method of forming a fine pattern is described by reference to FIGS. 1A through 3B and Table 1.
FIGS. 1A through 1C are schematic representations for describing processes of a method of forming a fine pattern employed in Examples 1 through 3 of the first embodiment and in Comparative Examples 1 through 4. Further, Table 1 provides requirements and results of the method of forming a fine pattern employed in Examples 1 through 3 and in Comparative Examples 1 through 4.
In FIG. 1, reference numeral 1 designates a photoresist; 1 a designates a photoresist pattern; 2 designates an oxide silicon film; 3 designates a silicon nitride oxide film (SiON film); 4 designates a silicon substrate; 5 designates a mask to be used for exposing the photoresist 1; and 6 designates exposing radiation.
As shown FIG. 1A, under the method of forming a fine pattern according to Examples 1 through 3 and Comparative Examples 1 through 4, an SiON film 3 is deposited on the substrate 4 to a thickness of 25 nm through plasma CVD, and a silicon oxide film 2 is formed on the SiON film 3 to a thickness of 10 nm.
Table 1 shows requirements for producing silicon oxide films employed in Examples 1 through 3 and requirements for producing silicon oxide films employed in Comparative examples 1 through 4.
The present embodiment employs a parallel plate plasma enhanced CVD system (Eagle-10 by Japan ASM) and certain settings; that is, an RF frequency of 13.56 MHz, RF power of 350 W, and an internal chamber pressure of 380 Pa. Further, a prototype thermal CVD system (by TOKYO Electron) is used in the present embodiment.
The photoresist 1 was formed by means of spin coating after deposition of a silicon oxide film 2. The step of coating photoresist is as follows. A substrate having the silicon oxide film 2 formed thereon was exposed to a hexamethyldisilazane (HMDS) atmosphere at a temperature of 90° C. for 60 seconds. Subsequently, polyacryl-based positive ArF resist (AX-100, Clariant) was applied to the substrate to a thickness of 480 nm through spin-coating, and the substrate was heated on a hot plate at 115° C. for 90 seconds.
Then, as shown in FIG. 1B, the wafer was exposed to exposing radiation 6 through a mask 5 by means of a prototype ArF excimer laser stepper (by ISI), wherewith a pattern was transferred onto the wafer. The exposure system has a numerical aperture of 0.6 and a σ of 0.7.
Then, the wafer was heated on a hot plate at 110° C. for 60 seconds and developed by means of the puddle developing technique while an organic alkaline solution, such as a tetramethylammoniumhydroxydo solution, was used as a developer solution. As shown in FIG. 1C, a resist pattern (line pattern) 1 a having a width of 150 nm was formed.
Observation of the thus-formed resist pattern 1 a through use of a scanning electron microscope (S-5000, by Hitachi) shows a clear difference between the resist patterns formed in Examples 1 through 3 and those formed in Comparative Examples 1 through 4.
FIGS. 3A and 3B are illustrations showing a difference in cross section between the resist patterns of 150 nm width formed in Examples 1 through 4 and those formed in Comparative Examples 1 through 4. FIG. 3A shows the cross section of one of the resist patterns formed in Examples 1 through 3, and FIG. 3B shows the cross section of one of the resist patterns formed in Comparative Examples 1 through 4. The resist pattern according to Examples 1 through 3 forms a substantially-right-angle corner at the foot of the resist pattern with respect to the substrate, and it is understood that a resist pattern has been correctly formed. In contrast, the resist pattern according to Comparative Examples 1 through 4 shown in FIG. 3B forms a tapered corner or a curved corner at the foot of the resist pattern with respect to the substrate. Such a pattern is not desirable, because the dimensional accuracy of a resist pattern is deteriorated.
The present inventor has defined the degree of a tapered corner at the foot of the resist pattern as shown in FIG. 2, thereby quantifying the accuracy of the resist pattern. As shown in FIG. 2, reference symbol Wb denotes the width of a resist line formed in the boundary surface between a resist and a substrate; and Wm denotes the width of the resist line at a position elevated H/4 from the boundary surface. Here, “H” denotes the height of the resist line. In the present embodiment, Wm denotes the width of the resist line at a position elevated 120 nm from the boundary surface. The test conducted by the present inventor shows that the dimensional accuracy of a resist pattern is not deteriorated if the degree of tapered corner, as expressed by Wb-Wm, is 20 nm or less.
Table 1 shows results of measurement of a tapered corner of a resist pattern. As is evident from Table 1, so long as the concentration of nitrogen atoms which are present on the surface of a silicon oxide film is 0.1 atm. % or less, a tapered corner of a resist pattern is found to become small. In the present embodiment, the concentration of nitrogen atoms which are contained in a silicon oxide film and nitrogen atoms which are present on the surface of a silicon oxide film were measured through use of the Secondary Ion Mass Spectroscopy (SIMS) technique.
TABLE 1
NITRO-
GEN
CONTENT
IN THE
SURFACE DEGREE
RE- OF A OF
ACTION SILICON TA-
DEPOSI- TEMPER- OXIDE PERED
TION SOURCE ATURE FILM CORNER
METHOD GAS (° C.) (atm. %) (nm)
EXAM- THERMAL SiH4, N2O 800 0.08 12
PLE 1 CVD
TECH-
NIQUE
EXAM- PLASMA SiH4, N2O 500 0.02 9
PLE 2 CVD
TECH-
NIQUE
EXAM- THERMAL TEOS 750 0.00 14
PLE 3 CVD
TECH-
NIQUE
COM- THERMAL SiH2Cl2, 740 0.30 26
PARA- CVD N2O
TIVE TECH-
EXAM- NIQUE
PLE
1
COM- PLASMA SiH4, N2O 250 1.00 60
PARA- CVD
TIVE TECH-
EXAM- NIQUE
PLE
2
COM- PLASMA SiH4, N2O 300 0.30 54
PARA- CVD
TIVE TECH-
EXAM- NIQUE
PLE
3
COM- PLASMA SiH4, N2O 350 0.14 38
PARA- CVD
TIVE TECH-
EXAM- NIQUE
PLE
4
Although in the present embodiment ArF excimer laser reduction exposure has been used for transferring a mask pattern through exposure, another exposure technique may be employed. For example, there may be employed an X-ray exposure technique, a KrF excimer laser contact exposure or reduction projection exposure technique, an F2 excimer laser contact exposure or reduction projection exposure technique, a step-and-scan reflection-type reduction projection exposure technique using UV radiation as the light source, or a soft-X-ray exposure technique. Further, the value of numerical aperture and the value of σ are not limited to those described previously. A pattern to be exposed is not limited to a line pattern, and a hole pattern or a dot pattern may also be used as an object of exposure.
In Examples 1 through 3 and in Comparative Examples 1 through 4, a wafer was exposed to an HMDS atmosphere immediately before coating with a resist film, thus forming a contacting layer. In a case where a resist film is in sufficient contact with a substrate, formation of a contacting layer may be omitted.
In the present embodiment, an silicon oxynitride film is deposited immediately beneath a silicon oxide film. However, a silicon nitride film may be deposited immediately beneath the silicon oxide film. Alternatively, the silicon oxide nitride film or the silicon nitride film may not be deposited.
In the present embodiment, silane was used as a source gas. However, there may be used any gas containing silicon; for example, Si2H6, SiH3(CH3), SiH2(CH3)21 SiCl2H2′ SiCl4, or SiH(CH3)3.
N2O was used as another source gas in Examples 1 through 3 and in Comparative Examples 1 through 4. However, there may be used any oxidizing gas; for example, NO or CO.
Although a parallel plate plasma enhanced CVD system was used in Examples 1 through 3 and in Comparative Examples 1 through 4, an ECR plasma CVD system or a bias ECR plasma CVD system may be used.
Various modifications, improvements, or combinations of the present invention will be evident to one versed in the art.
Further, in the processes for manufacturing a semiconductor device, after a fine resist pattern 1 a has been formed as shown in FIG. 1C, the underlying silicon oxide film 2 or the silicon oxide film 2 and the SiON film 3 are etched by way of the resist pattern 1 a, thereby forming a fine pattern from an insulating film. In a case where a substrate is formed from a conductive film, a fine pattern can be formed from a conductive film. A semiconductor device can be manufactured through succeeding manufacturing processes, and explanation of manufacture of a semiconductor device is omitted.
In the present embodiment, a semiconductor device having a pattern on the order of 0.1 μm can be fabricated, and the present invention can be usually preferably applied to formation of a pattern of 0.18 μm or less.
As mentioned previously, according to the present embodiment, a silicon-oxide-based film whose surface has a nitrogen content of 0.1 atm. % or less is formed, and a chemically-amplified photoresist is formed on the silicon-oxide-based film, thus constituting a resist pattern.
Thus, deterioration in pattern geometry of the boundary surface between the photoresist and the substrate, which would otherwise be caused in the course of formation of a chemically-amplified resist pattern, is prevented, wherewith a highly-accurate fine resist pattern can be formed. Further, a finer and more-accurate pattern of an insulating or conductive film can be formed, which in turn enables fabrication of a semiconductor device having such a fine pattern.
Second Embodiment EXAMPLES 4–8 AND COMPARATIVE EXAMPLES 5–7
Examples 4 through 8 and Comparative Examples 5 through 7 according to a second embodiment of the present invention will now be described by reference to FIGS. 4A through 4C and Table 2.
FIGS. 4A through 4C are schematic representations for describing processes for forming a fine pattern, the processes being employed in Examples 4 through 8 and Comparative Examples 5 through 7. Table 2 provides requirements and results of the method of forming a fine pattern employed in Examples 4 through 8 and in Comparative Examples 5 through 7.
In FIGS. 4A through 4C, reference numerals 1 through 6 and 1 a are identical with those employed in FIG. 1 pertaining to the first embodiment, and hence repetition of their explanations is omitted. Here, reference numeral 7 designates a BPSG film;
    • As shown in FIG. 4A, according to a method of forming a fine pattern, which is employed in Examples 4 through 8 and Comparative Examples 5 through 7 in connection with the present embodiment, a SiON film 3 is deposited to a thickness of 25 nm on the substrate 4 by means of the plasma CVD technique, and a BPSG film 7 was formed on the SiON film 3 to a thickness of 700 nm by means of the atmospheric pressure CVD technique. Subsequently, a silicon oxide film 2 was deposited on the BPSG film 7 to a thickness of 10 nm by means of the plasma CVD technique. The present embodiment employed a prototype plasma CVD system (of a parallel plate plasma enhanced CVD type, manufactured by Japan ASM).
In Examples 4 through 8 and in Comparative Examples 5 through 7, requirements for forming a silicon oxide film are changed, as shown in Table 2.
After deposition of a silicon oxide film 2, the silicon oxide film was coated with the photoresist 1. A process of coating a silicon oxide film with photoresist will now be described in detail. First, a substrate having the silicon oxide film 2 formed thereon was exposed to a hexamethyldisilazane (HMDS) atmosphere at a temperature of 90° C. for 60 seconds. Subsequently, polyacryl-based positive ArF resist (PAR-101, by Sumitomo Chemical) was applied to the substrate to a thickness of 480 nm through spin-coating, and the substrate was heated on a hot plate at 120° C. for 60 seconds.
As shown in FIG. 4B, the wafer was exposed to exposing radiation 6 through a mask 5 and by means of a prototype ArF excimer laser stepper (by ISI), wherewith a pattern was transferred onto the wafer. An exposure system has a numerical aperture of 0.6 and a σ of 0.7.
The wafer was heated on a hot plate at 120° C. for 60 seconds and developed by means of the puddle developing technique while an organic alkaline solution, such as a tetramethylammoniumhydroxydo solution, was used as a developer solution. As shown in FIG. 4C, a resist pattern (line pattern) 1 a having a width of 150 nm was formed.
Observation of the thus-formed resist pattern 1 a through use of a scanning electron microscope (S-5000, by Hitachi) shows a clear difference between the resist patterns formed in Examples 4 through 8 and those formed in Comparative Examples 5 through 7.
As mentioned previously, FIGS. 3A and 3B are illustrations showing a difference in cross section between the resist patterns of 150 nm width formed in Examples 1 through 8 and those formed in Comparative Examples 1 through 7.
The resist pattern according to Examples 4 through 8 forms a substantially-right-angle corner with respect to the substrate in the vicinity of the area between the substrate and the resist pattern as shown in FIG. 3A, and it is understood that a resist pattern has been correctly formed. In contrast, the resist pattern according to Comparative Examples 5 through 7 forms a tapered corner with respect to the substrate as shown in FIG. 3B. Such a pattern having a tapered corner is not desirable, because the dimensional accuracy of a resist pattern is deteriorated.
The degree of a tapered corner of each of the resist patterns formed in respective Examples 4 through 8 and the resist patterns formed in respective Comparative Examples 5 through 8 is qualified through use of the definition illustrated in FIG. 2. Qualification results are shown in Table 2. From the results, it is understood that setting a reaction temperature required in the plasma CVD process to a temperature of 400° C. or more reduces nitrogen content of the surface of a silicon oxide film to 0.1 atm. %, and a tapered corner of the resist pattern can be diminished to 20 nm or less.
Although in the present embodiment ArF excimer laser reduction exposure has been used for transferring a mask pattern through exposure, another exposure technique may be employed. For example, there may be employed an X-ray exposure technique, a KrF excimer laser contact exposure or reduction projection exposure technique, an F2 excimer laser contact exposure or reduction projection exposure technique, a step-and-scan reflection-type reduction projection exposure technique using UV radiation as the light source, or a soft-X-ray exposure technique. Further, the value of numerical aperture and the value of σare not limited to those described previously. A pattern to be exposed is not limited to a line pattern, and a hole pattern or a dot pattern may also be used as an object of exposure.
In Examples 4 through 8 and in Comparative Examples 5 through 7, a wafer was exposed to an HMDS atmosphere immediately before coating with a resist film, thus forming a contacting layer. In a case where a resist film is in sufficient contact with a substrate, formation of a contacting layer may be omitted.
In the present embodiment, the BPSG film 7 and the silicon oxynitride film 3 are deposited immediately beneath the silicon oxide film 2. However, these layers may be replaced with another material; for example, a BPSG film or a TEOS film. Further, the SiON film may be replaced with a silicon-oxide-based film, or such layer may not be deposited.
Although in the present embodiment a parallel plate plasma enhanced CVD system was used in Examples 4 through 8 and in Comparative Examples 4 through 7, an ECR plasma CVD system or a bias ECR plasma CVD system may be used.
In the present embodiment, silane was used as a source gas. However, there may be used any gas containing silicon; for example, Si2H6, SiH3(CH3), SiH2(CH3)2, SiCl2H2, SiCl4, or SiH(CH3)3.
N2O was used as another source gas in Examples 4 through 8. However, there may be used any oxidizing gas; for example, NO or CO.
Various modifications, improvements, or combinations of the present embodiment will be evident to one versed in the art.
TABLE 2
NITRO-
GEN
CONTENT
IN THE
SURFACE DEGREE
RE- OF A OF
ACTION SILICON TA-
DEPOSI- TEMPER- OXIDE PERED
TION SOURCE ATURE FILM CORNER
METHOD GAS (° C.) (atm. %) (nm)
EXAM- THERMAL SiH4, N2O 400 0.1 18
PLE 4 CVD
TECH-
NIQUE
EXAM- PLASMA SiH4, N2O 450 0.08 9
PLE 5 CVD
TECH-
NIQUE
EXAM- PLASMA SiH4, N2O 500 0.02 17
PLE 6 CVD
TECH-
NIQUE
EXAM- PLASMA SiH4, N2O 600 0.03 12
PLE 7 CVD
TECH-
NIQUE
EXAM- PLASMA SiH4, N2O 700 0.01 10
PLE 8 CVD
TECH-
NIQUE
COM- PLASMA SiH4, N2O 200 0.40 50
PARA- CVD
TIVE TECH-
EXAM- NIQUE
PLE
5
COM- PLASMA SiH4, N2O 300 0.32 34
PARA- CVD
TIVE TECH-
EXAM- NIQUE
PLE
6
COM- PLASMA SiH4, N2O 360 0.16 40
PARA- CVD
TIVE TECH-
EXAM- NIQUE
PLE
7
As mentioned previously, according to the present embodiment, a silicon-oxide-based film whose surface has a nitrogen content of 0.1 atm. % or less is formed by means of setting a reaction temperature employed in the plasma CVD process to a value of 400° C. or more, and a chemically-amplified photoresist is formed on the silicon-oxide-based film, thus constituting a resist pattern.
Thus, deterioration in pattern geometry of the boundary surface between the photoresist and the substrate, which would otherwise be caused in the course of formation of a chemically-amplified resist pattern, is prevented, wherewith a highly-accurate minute resist pattern can be formed. Further, a finer and more-accurate pattern of an insulating or conductive film can be formed, which in turn enables fabrication of a semiconductor device having such a fine pattern.
Third Embodiment EXAMPLES 9–14, AND COMPARATIVE EXAMPLES 8–10
Examples 9 through 14 according to a third embodiment of the present invention will now be described in comparison with Comparative Examples 8 through 10, through use of FIGS. 1A through 1C and Table 3.
FIGS. 1A through 1C are schematic representations for describing processes of a method of forming a fine pattern employed in Examples 9 through 14 of the third embodiment and in Comparative Examples 8 through 10. Further, Table 3 provides requirements and results of the method of forming a fine pattern employed in Examples 9 through 14 and Comparative Examples 8 through 10. Since FIGS. 1A through 1C have already been described in connection with the first embodiment, repetition of their explanations is omitted here.
The method of forming a fine pattern employed in Examples 9 through 14 and in Comparative Examples 8 through 10 according to the present embodiment is identical with that employed in Examples 1 through 3 and in Comparative Examples 1 through 4 according to the first embodiment. Here, Examples 9 through 14 are characterized by inserting, into the processes, a step of exposing the surface of a silicon oxide film to oxygen plasma or N2O plasma after formation of the silicon oxide film. Further, Comparative Examples 8 through 10 are characterized by means of omission, from the processes, of the step of exposing a silicon oxide film to plasma.
In Examples 9 through 14 and in Comparative Examples 8 through 10, the SiON film 3 shown in FIG. 1 assumes a thickness of 100 nm, and the silicon oxide film 2 assumes a thickness of 30 nm. The present embodiment employed a plasma CVD system (Eagle-10, by Japan ASM) and certain settings: an RF frequency of 13.56 MHz; an RF power of 400 W, and an internal chamber pressure of 400 Pa.
In Examples 9 through 14 and in Comparative Examples 8 through 10, the requirements for forming a silicon oxide film and requirements for processing the surface of a silicon oxide film were changed, as shown in Table 3.
After formation of a silicon oxide film, the surface of the silicon oxide film was subjected to treatment within the chamber that has been employed in the process of forming the silicon oxide film. More specifically, after completion of the process of forming a silicon oxide film, the gas to be introduced was changed to solely O2 or N2O, and the processing operation was carried out continuously for about 60 seconds. At this time, a flow rate of N2O or O2 was set to 1500 sccm, and the internal pressure of the chamber was set to 400 Pa.
After deposition of a silicon oxide film, the silicon oxide film was coated with the photoresist 1. A process of coating a silicon oxide film with photoresist will now be described in detail. First, a substrate having the silicon oxide film 2 formed thereon was exposed to a hexamethyldisilazane (HMDS) atmosphere at a temperature of 90° for 60 seconds. Subsequently, a polynolbornane-based positive ArF resist (by Shinetsu Chemical) was applied to the substrate to a thickness of 480 nm through spin-coating, and the substrate was heated on a hot plate at 130° C. for 60 seconds.
As shown in FIG. 1B, the wafer was exposed to exposing radiation 6 through a mask 5 and by means of a prototype ArF excimer laser stepper (NSR-S302A, by Nikon), wherewith a pattern was transferred onto the wafer. An exposure system has a numerical aperture of 0.6 and a σ of 0.7. The wafer was heated on a hot plate at 110° C. for 60 seconds and developed by means of the puddle developing technique while an organic alkaline solution, such as a tetramethylammoniumhydroxydo solution, was used as a developer solution. As shown in FIG. 1C, a resist pattern (line pattern) 1 a having a width of 150 nm was formed.
The thus-formed resist pattern 1 a was observed through use of a scanning electron microscope (S-5000, by Hitachi), and the degree of a tapered corner of a resist pattern was quantified through use of the definition illustrated in FIG. 2. Table 3 shows observation results. A nitrogen content of the surface of a silicon oxide film was diminished by means of the wafer being subjected to a plasma atmosphere of oxidizing gas of O2 or N2O, wherewith the degree of a tapered corner could be diminished. Control of pattern geometry has been further improved as a result of a reduction in the degree of a tapered corner of the photoresist.
Although in the present embodiment ArF excimer laser reduction exposure has been used for transferring a resist pattern through exposure, another exposure technique may be employed. For example, there may be employed an X-ray exposure technique, a KrF excimer laser contact exposure or reduction projection exposure technique, an F2 excimer laser contact exposure or reduction projection exposure technique, a step-and-scan reflection-type reduction projection exposure technique using UV radiation as the light source, or a soft X-ray exposure technique. Further, the value of numerical aperture and the value of a are not limited to those described previously. A pattern to be exposed is not limited to a line pattern, and a hole pattern or a dot pattern may also be used as an object of exposure.
In Examples 9 through 14, a wafer was exposed to an HMDS atmosphere immediately before coating with a resist film, thus forming a contact layer. In a case where a resist film is in sufficient contact with a substrate, formation of a contact layer may be omitted.
In the present embodiment, a silicon oxynitride film is deposited immediately beneath a silicon oxide film. However, a silicon nitride film may be deposited in place of a silicon oxynitride film. Further, deposition of a silicon oxynitride film may not be required.
Although in the present embodiment a parallel plate plasma enhanced CVD system was used in Examples 9 through 14, an ECR plasma CVD system or a bias ECR plasma CVD system may be used.
Further, although in the present embodiment a parallel plate plasma enhanced CVD system was used for treating the surface of a silicon oxide film, an ECR plasma etching system or an asher may also be employed.
Although in the present embodiment N2O and O2 were used for treating the surface of a silicon oxide film, any oxidizing gas, such as CO or NO, can be employed.
In the present embodiment, silane was used as a source gas. However, there may be used any gas containing silicon; for example, Si2H6, SiH3(CH3), SiH2(CH3)2, SiCl2H2, SiCl4, or SiH(CH3)3.
Various modifications, improvements, or combinations of the present embodiment will be evident to one versed in the art.
TABLE 3
NITROGEN CONTENT DEGREE
METHOD FOR SURFACE IN THE SURFACE OF
DEPOSITING REACTION TREATMENT OF A SILICON TAPERED
SILICON SOURCE TEMPERATURE OF SILICON OXIDE FILM CORNER
OXIDE FILM GAS (° C.) OXIDE FILM (atm. %) (nm)
EXAMPLE 9 THERMAL SiH2Cl2, 800 N2O PLASMA 0.06 12
D N2O
CHNIQUE
EXAMPLE 10 THERMAL SiH2Cl2, 800 O2 PLASMA 0.08 14
CVD N2O
TECHNIQUE
COMPARATIVE THERMAL SiH2Cl2, 800 NONE 0.15 22
EXAMPLE 8 CVD N2O
TECHNIQUE
EXAMPLE 11 PLASMA CVD SiH4, N2O 300 N2O PLASMA 0.05 18
TECHNIQUE
EXAMPLE 12 PLASMA CVD SiH4, N2O 300 O2 PLASMA 0.09 20
TECHNIQUE
COMPARATIVE PLASMA CVD SiH4, N2O 300 NONE 0.25 50
EXAMPLE 9 TECHNIQUE
EXAMPLE 13 PLASMA CVD SiH4, N2O 500 N2O PLASMA 0.01  8
TECHNIQUE
EXAMPLE 14 PLASMA CVD SiH4, N2O 500 O2 PLASMA 0.03 10
TECHNIQUE
COMPARATIVE PLASMA CVD SiH4, N2O 500 NONE 0.07 18
EXAMPLE 10 TECHNIQUE
As mentioned previously, according to the present embodiment, a silicon-oxide-based film whose surface has a nitrogen content of 0.1 atm. % or less is formed, and the surface of the silicon-oxide-based film is exposed to plasma atmosphere of oxidizing gas, such as oxygen or N2O, after formation of the silicon-oxide-based film, to thereby diminish the nitrogen content of the surface of the silicon oxide film. A chemically-amplified photoresist is applied to the silicon oxide film by means of coating, thus forming a resist pattern.
Thus, deterioration in pattern geometry of the boundary surface between the photoresist and the substrate, which would otherwise be caused in the course of formation of a chemically-amplified resist pattern, is prevented, wherewith a highly-accurate minute resist pattern can be formed. Further, a finer and more-accurate pattern of an insulating or conductive film can be formed, which in turn enables fabrication of a semiconductor device having such a fine pattern.
In the above embodiments, it is so described that a silicon-oxide-based film is formed on a substrate. However, in the present invention, the silicon-oxide-based film may be formed directly or indirectly on an underlying layer, and a fine pattern is ultimately formed in the underlying layer through a fine resist pattern.
The features and the advantages of the present invention as embodied above may be summarized as follows.
According to one aspect, in a method of forming a fine pattern, a silicon-oxide-based film is directly formed on a substrate or by way of another layer on a substrate. A chemically-amplified photoresist is formed on the silicon-oxide-based film. A mask pattern is transferred onto the chemically-amplified photoresist upon exposure through a mask. Further, nitrogen content of the surface of the silicon-oxide-based film is made to assume a value of 0.1 atm. % or less. Therefore, a highly-accurate fine pattern can be formed in a lithography step.
Preferably, plasma CVD is employed during the course of the step of depositing the silicon-oxide-based film, and the silicon-oxide-based film is formed while the temperature at which the substrate is to be disposed is set to 400° C. or more. Accordingly, nitrogen atoms contained in a silicon oxide film and those contained in the surface of the silicon oxide film can be reduced in amount. Deterioration in geometry of a resist pattern is prevented, thus enabling formation of a highly-accurate fine pattern.
In another aspect, a step of exposing the surface of the silicon oxide film to plasma atmosphere of O2 or N2O is added so as to follow the step of depositing the silicon-oxide-based film, whereby nitrogen content of the surface of the silicon oxide film can be diminished. Therefore, deterioration in geometry of a resist pattern is prevented, thus enabling formation of a highly-accurate fine pattern.
In another aspect, a highly-accurate fine insulating or conductive pattern can be formed, and a semiconductor device having such a fine pattern can be fabricated.
It is further understood that the foregoing description is a preferred embodiment of the disclosed device and that various changes and modifications may be made in the invention without departing from the spirit and scope thereof.
The entire disclosure of a Japanese Patent Application No. 11-174761, filed on Jun. 21, 1999 including specification, claims, drawings and summary, are incorporated herein by reference in its entirety.

Claims (5)

1. A method of forming a fine pattern comprising the steps of:
forming a silicon-oxide-based film over a substrate by using SiH4 and N2O as material gases at a reaction temperature of over 400° C., wherein, in the step of forming the silicon-oxide-based film, a nitrogen content of a surface of the silicon-oxide-based film is made to about a value of 0.01 atm % to 0.08 atm %;
forming a chemically-amplified photoresist layer on the silicon-oxide-based film;
transferring a mask pattern onto the chemically-amplified photoresist layer upon exposure through a mask; and
exposing the surface of the silicon-oxide-based film to plasma atmosphere of O2 or N2O between the step of forming the silicon-oxide-based film and the step of forming the chemically-amplified photoresist layer.
2. The method of forming a fine pattern according to claim 1, wherein the silicon-oxide-based film is deposited by means of a plasma CVD technique.
3. The method of forming a fine pattern according to claim 1, wherein the silicon-oxide-based film is formed at a reaction temperature of 450° C. or more.
4. A method of manufacturing a semiconductor device, comprising the steps of:
forming a silicon-oxide-based film over an underlying layer, wherein the silicon-oxide-based film is formed by using SiH4 and N2O as material gases at a reaction temperature of over 400° C. such that a surface of the silicon-oxide-based film has a nitrogen content of about 0.01 atm % to 0.08 atm %:
exposing a surface of the silicon-oxide-based film to plasma atmosphere of O2 or N2O;
forming a chemically-amplified photoresist layer on the silicon-oxide-based film;
transferring a mask pattern onto the chemically-amplified photoresist layer upon exposure through a mask; and
etching the underlying layer by way of a resist pattern, to thereby form a fine pattern in the underlying layer.
5. The method of manufacturing a semiconductor device according to claim 4, wherein the silicon-oxide-based film is formed at a reaction temperature of 450° C. or more.
US09/597,161 1999-06-21 2000-06-20 Method of forming a fine pattern using a silicon-oxide-based film, semiconductor device with a silicon-oxide-based film and method of manufacture thereof Expired - Lifetime US6992013B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17476199A JP3354901B2 (en) 1999-06-21 1999-06-21 Method of forming fine pattern, semiconductor device and method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US6992013B1 true US6992013B1 (en) 2006-01-31

Family

ID=15984220

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/597,161 Expired - Lifetime US6992013B1 (en) 1999-06-21 2000-06-20 Method of forming a fine pattern using a silicon-oxide-based film, semiconductor device with a silicon-oxide-based film and method of manufacture thereof

Country Status (6)

Country Link
US (1) US6992013B1 (en)
EP (1) EP1063568B1 (en)
JP (1) JP3354901B2 (en)
KR (1) KR100596606B1 (en)
DE (1) DE60036155T2 (en)
TW (1) TW521302B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4778660B2 (en) * 2001-11-27 2011-09-21 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5001083A (en) * 1988-07-12 1991-03-19 Microwave Modules & Devices Inc. Method of priming semiconductor substrate for subsequent photoresist masking and etching
US5486267A (en) 1994-02-28 1996-01-23 International Business Machines Corporation Method for applying photoresist
JPH0883786A (en) 1994-09-12 1996-03-26 Fujitsu Ltd Manufacture of semiconductor device
JPH08162460A (en) 1994-12-09 1996-06-21 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
US5674356A (en) * 1994-04-05 1997-10-07 Sony Corporation Method for forming a semiconductor device in which an anti reflective layer is formed by varying the composition thereof
US5719072A (en) * 1993-04-15 1998-02-17 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor using multi-layer antireflective layer
WO1998008143A1 (en) 1996-08-20 1998-02-26 Mke-Quantum Components Colorado Llc Method for forming photoresist features having reentrant profiles using a basic agent
EP0840361A2 (en) 1996-11-04 1998-05-06 Applied Materials, Inc. Method and apparatus for depositing a film over a substrate
JPH10189410A (en) * 1996-12-20 1998-07-21 Sony Corp Method for manufacturing semiconductor device
US5783365A (en) 1994-12-16 1998-07-21 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device
US5789141A (en) 1994-07-07 1998-08-04 Fujitsu Limited Photolithography of chemically amplified resist utilizing 200°C minimum heat treatment of uncoated substrate
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
JPH1197442A (en) 1997-09-24 1999-04-09 Sony Corp Patterning method, manufacture of semiconductor device using the same and semiconductor device thereof
JPH11317395A (en) 1998-05-01 1999-11-16 Nec Corp Method for manufacturing semiconductor device
US6004853A (en) * 1999-05-27 1999-12-21 Vanguard International Semiconductor Corporation Method to improve uniformity and the critical dimensions of a DRAM gate structure
US6093973A (en) * 1998-09-30 2000-07-25 Advanced Micro Devices, Inc. Hard mask for metal patterning
US6103630A (en) * 1998-02-09 2000-08-15 Taiwan Semiconductor Manufacturing Company Adding SF6 gas to improve metal undercut for hardmask metal etching
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer
US6156485A (en) * 1999-01-19 2000-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Film scheme to solve high aspect ratio metal etch masking layer selectivity and improve photo I-line PR resolution capability in quarter-micron technology
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6255717B1 (en) * 1998-11-25 2001-07-03 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6586163B1 (en) * 1999-06-02 2003-07-01 Semiconductor Leading Edge Technologies Inc. Method of forming fine pattern
US6683010B1 (en) * 1997-06-27 2004-01-27 Samsung Electronics Co., Ltd. Method for forming silicon-oxynitride layer on semiconductor device

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5001083A (en) * 1988-07-12 1991-03-19 Microwave Modules & Devices Inc. Method of priming semiconductor substrate for subsequent photoresist masking and etching
US5719072A (en) * 1993-04-15 1998-02-17 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor using multi-layer antireflective layer
US5486267A (en) 1994-02-28 1996-01-23 International Business Machines Corporation Method for applying photoresist
US5674356A (en) * 1994-04-05 1997-10-07 Sony Corporation Method for forming a semiconductor device in which an anti reflective layer is formed by varying the composition thereof
US5789141A (en) 1994-07-07 1998-08-04 Fujitsu Limited Photolithography of chemically amplified resist utilizing 200°C minimum heat treatment of uncoated substrate
JPH0883786A (en) 1994-09-12 1996-03-26 Fujitsu Ltd Manufacture of semiconductor device
JPH08162460A (en) 1994-12-09 1996-06-21 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
US5783365A (en) 1994-12-16 1998-07-21 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device
WO1998008143A1 (en) 1996-08-20 1998-02-26 Mke-Quantum Components Colorado Llc Method for forming photoresist features having reentrant profiles using a basic agent
EP0840361A2 (en) 1996-11-04 1998-05-06 Applied Materials, Inc. Method and apparatus for depositing a film over a substrate
JPH10189410A (en) * 1996-12-20 1998-07-21 Sony Corp Method for manufacturing semiconductor device
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
US6683010B1 (en) * 1997-06-27 2004-01-27 Samsung Electronics Co., Ltd. Method for forming silicon-oxynitride layer on semiconductor device
JPH1197442A (en) 1997-09-24 1999-04-09 Sony Corp Patterning method, manufacture of semiconductor device using the same and semiconductor device thereof
US6103630A (en) * 1998-02-09 2000-08-15 Taiwan Semiconductor Manufacturing Company Adding SF6 gas to improve metal undercut for hardmask metal etching
JPH11317395A (en) 1998-05-01 1999-11-16 Nec Corp Method for manufacturing semiconductor device
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6093973A (en) * 1998-09-30 2000-07-25 Advanced Micro Devices, Inc. Hard mask for metal patterning
US6429141B1 (en) * 1998-09-30 2002-08-06 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with improved line width accuracy
US6255717B1 (en) * 1998-11-25 2001-07-03 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6156485A (en) * 1999-01-19 2000-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Film scheme to solve high aspect ratio metal etch masking layer selectivity and improve photo I-line PR resolution capability in quarter-micron technology
US6004853A (en) * 1999-05-27 1999-12-21 Vanguard International Semiconductor Corporation Method to improve uniformity and the critical dimensions of a DRAM gate structure
US6586163B1 (en) * 1999-06-02 2003-07-01 Semiconductor Leading Edge Technologies Inc. Method of forming fine pattern
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Okada et al. , "Furnace grown Gate Oxynitride Using Nitric Oxide (NO)", IEEE Transactions on Electron Devices, vol.: 41 Issue: 9, Sep. 1994, Page(s): 1608-1613. *
Shigeyasu Mori et al., "Investigation of Substrate-Effect in Chemically Amplified Resist.", Proceedings of the SPIE- The International Society for Optical Engineering, 1996, SPIE-Int. Soc. Opt. Eng, USA; vol. 2724, pp. 131-138, XP000956033.
Streetman et al., Solid State Electronic Device, 2000, Prentice Hall, 5<SUP>th </SUP>Ed., pp. 151-155. *

Also Published As

Publication number Publication date
JP3354901B2 (en) 2002-12-09
JP2001007115A (en) 2001-01-12
EP1063568B1 (en) 2007-08-29
TW521302B (en) 2003-02-21
DE60036155D1 (en) 2007-10-11
DE60036155T2 (en) 2008-01-03
KR20010007441A (en) 2001-01-26
EP1063568A1 (en) 2000-12-27
KR100596606B1 (en) 2006-07-06

Similar Documents

Publication Publication Date Title
US6586163B1 (en) Method of forming fine pattern
US20080009138A1 (en) Method for forming pattern of a semiconductor device
JP2004153125A (en) Method for forming processing mask and method for manufacturing semiconductor device
JP5295968B2 (en) Method and apparatus for manufacturing semiconductor device
JPH0955351A (en) Manufacture of semiconductor device
US20040142576A1 (en) Semiconductor fabrication method for making small features
US20050260527A1 (en) Methods of patterning photoresist
JP4389242B2 (en) Etching method using photoresist pattern as mask
US6864556B1 (en) CVD organic polymer film for advanced gate patterning
US6992013B1 (en) Method of forming a fine pattern using a silicon-oxide-based film, semiconductor device with a silicon-oxide-based film and method of manufacture thereof
US5322764A (en) Method for forming a patterned resist
US20090123878A1 (en) Patterning method
US5866302A (en) Pattern formation method
US20040121594A1 (en) Process for forming a pattern
JPH10261628A (en) Formation of contact hole of semiconductor device
KR100571657B1 (en) Method of forming contact hole in semiconductor device
KR100720473B1 (en) Method for manufacturing semiconductor transistor
JP2001326173A (en) Pattern-forming method
US20050148193A1 (en) Photolithographic method for forming a structure in a semiconductor substrate
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
KR950004910B1 (en) Photoetching method using multilayerresist
JPH09260246A (en) Formation of pattern and film-forming device
US7105279B2 (en) Method for fabricating a patterned layer on a semiconductor substrate
US20020168838A1 (en) Method for performing lithographic process to a multi-layered photoresist layer
JP3151732B2 (en) Defect correction method for phase shift photomask

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC., JAP

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OKABE, ICHIRO;ARAI, HIROKI;REEL/FRAME:010889/0143;SIGNING DATES FROM 20000530 TO 20000602

Owner name: ASM JAPAN K.K., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OKABE, ICHIRO;ARAI, HIROKI;REEL/FRAME:010889/0143;SIGNING DATES FROM 20000530 TO 20000602

AS Assignment

Owner name: SHARP KABUSHIKI KAISHA, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.;REEL/FRAME:016891/0741

Effective date: 20050915

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: SHARP KABUSHIKI KAISHA, JAPAN

Free format text: ASSIGNEE'S ADDRESS CORRECTION;ASSIGNOR:SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.;REEL/FRAME:017262/0569

Effective date: 20050915

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12