US7518188B2 - P-channel MOS transistor and fabrication process thereof - Google Patents

P-channel MOS transistor and fabrication process thereof Download PDF

Info

Publication number
US7518188B2
US7518188B2 US11/180,791 US18079105A US7518188B2 US 7518188 B2 US7518188 B2 US 7518188B2 US 18079105 A US18079105 A US 18079105A US 7518188 B2 US7518188 B2 US 7518188B2
Authority
US
United States
Prior art keywords
mos transistor
channel mos
region
polycrystal
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US11/180,791
Other versions
US20060202280A1 (en
Inventor
Masashi Shima
Yosuke Shimamune
Akiyoshi Hatada
Akira Katakami
Naoyoshi Tamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Assigned to FUJITSU LIMITED reassignment FUJITSU LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HATADA, AKIYOSHI, KATAKAMI, AKIRA, SHIMA, MASASHI, SHIMAMUNE, YOSUKE, TAMURA, NAOYOSHI
Publication of US20060202280A1 publication Critical patent/US20060202280A1/en
Assigned to FUJITSU MICROELECTRONICS LIMITED reassignment FUJITSU MICROELECTRONICS LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU LIMITED
Priority to US12/379,832 priority Critical patent/US8158498B2/en
Application granted granted Critical
Publication of US7518188B2 publication Critical patent/US7518188B2/en
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU MICROELECTRONICS LIMITED
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED CHANGE OF ADDRESS Assignors: FUJITSU SEMICONDUCTOR LIMITED
Assigned to AIZU FUJITSU SEMICONDUCTOR LIMITED reassignment AIZU FUJITSU SEMICONDUCTOR LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU SEMICONDUCTOR LIMITED
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED CHANGE OF NAME AND CHANGE OF ADDRESS Assignors: AIZU FUJITSU SEMICONDUCTOR LIMITED
Assigned to FUJITSU LIMITED reassignment FUJITSU LIMITED MERGER (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU SEMICONDUCTOR LIMITED
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention generally relates to semiconductor devices and more particularly to a semiconductor integrated circuit device including a stressed semiconductor device for improved operational speed and fabrication process thereof.
  • the area of the channel region right underneath the gate electrode is extremely reduced as compared with conventional semiconductor devices, and thus, the mobility of electrons or holes traveling through the channel region is influenced heavily by the stress applied to such a channel region.
  • an n-channel MOS transistor to improve the operational speed thereof, by forming a stressor film accumulating therein a tensile stress such as an SiN film in the device region of the n-channel MOS transistor so as to include the gate electrode for the purpose of increasing the electron mobility in the channel region right underneath the gate electrode.
  • FIG. 1 shows the construction of an n-channel MOS transistor formed on a silicon substrate 1 with such a stressor film.
  • a device region 1 A on the silicon substrate 1 as the device region of the n-channel MOS transistor in the form of p-well such that the device region 1 A is defined by an STI device isolation region 1 I, and a gate electrode 3 is formed on the silicon substrate 1 in correspondence to a channel region in the device region 1 A via a gate insulation film 2 . Further, source and drain extension regions 1 a and 1 b are formed in the silicon substrate 1 at both lateral sides of the gate electrode 3 .
  • sidewall insulation films 3 A and 3 B are formed on respective sidewall surfaces of the gate electrode 3 , and diffusion regions 1 c and 1 d of n + -type are formed in the silicon substrate 1 at respective outer regions of the sidewall insulation films 3 A and 3 B with overlapping relationship with the source and drain extension regions 1 a and 1 b.
  • silicide layers 4 A and 4 B are formed on the respective surfaces of the source and drain diffusion regions 1 c and 1 d , and a silicide layer 4 C is formed on the gate electrode 3 .
  • FIG. 1 there is formed an SiN film 5 accumulating therein a tensile stress on the silicon substrate 1 so as to cover a gate structure including the gate electrode 3 , the sidewall insulation films 3 A and 3 B and the silicide layer 4 C.
  • such a tensile stressor film 5 urges the gate electrode 3 to the silicon substrate 1 , and as a result, a compressive stress is applied to the channel region right underneath the gate electrode 3 in the direction perpendicular to the substrate surface, while such a compressive stress induces a strain equivalent to the case in which a tensile stress (in-plane tensile stress) is applied to the substrate 1 in the direction parallel to the substrate surface.
  • Non-Patent Reference 4 it is known that the mobility of carriers is improved in a p-channel MOS transistor that uses holes for the carriers by applying a uniaxial compressive stress to the channel region, and there is proposed a construction shown in FIG. 2 as the means of applying such a compressive stress to the channel region (Non-Patent Reference 4).
  • a gate electrode 13 on the silicon substrate 11 in correspondence to the channel region via a gate insulation film 12 , and p-type diffusion regions 11 a and 11 b are formed in the silicon substrate 11 at respective lateral sides of the gate electrode 13 so as to define the channel region. Further, sidewall insulation films 13 A and 13 B are formed on respective sidewall surfaces of the gate electrode 13 .
  • the diffusion regions 11 a and 11 b function respectively as the source extension region and the drain extension region of the MOS transistor and the flow of the holes transported through the channel region right underneath the gate electrode 13 from the diffusion region 11 a to the diffusion region 11 b is controlled by a gate voltage applied to the gate electrode 13 .
  • SiGe mixed crystal layers 11 A and 11 B are further formed in the silicon substrate 11 at respective outer sides of the sidewall insulation films 13 A and 13 B in an epitaxial relationship with the silicon substrate 1 , and source and drain regions of p-type are formed in the SiGe mixed crystal layers 11 A and 11 B respectively in continuation to the diffusion regions 11 a and 11 b.
  • the SiGe mixed crystal layers 11 A and 11 B have a lattice constant larger than that of the silicon substrate 11 , and thus, there is induced a compressive stress shown by an arrow a in the SiGe mixed crystal layers 11 A and 11 B, and as a result, the SiGe mixed crystal layers 11 A and 11 B undergo straining such that the SiGe mixed crystal layers 11 A and 11 B expand in the direction generally perpendicular to the surface of the silicon substrate 11 indicated by an arrow b.
  • the present invention provides further increase of operational speed in such a stressed semiconductor device, particularly a p-channel MOS transistor having improved operational speed as a result of stressing, by increasing the stress applied to the channel region further.
  • the present invention provides low-cost method of fabricating such a stressed semiconductor device having improved operational speed as a result of stressing, as well as a semiconductor device fabricated according to such a method.
  • the present invention provides a p-channel MOS transistor, comprising:
  • a gate electrode formed on said silicon substrate in correspondence to a channel region therein via a gate insulation film, said gate electrode carrying sidewall insulation films on respective sidewall surfaces thereof;
  • each of said source and drain regions enclosing therein a polycrystal region of p-type, said polycrystal region accumulating therein a compressive stress.
  • the present invention provides a fabrication method of a p-channel MOS transistor, comprising the steps of:
  • said step of forming said source region and drain region of p-type comprising the steps of:
  • the present invention provides a method of fabricating a p-channel MOS transistor, comprising the steps of:
  • said step of forming said source and drain regions of p-type comprising the step of forming first and second regions so as to be enclosed respectively in said source and drain regions in the form of a polycrystalline state accumulating therein a compressive stress.
  • the present invention it becomes possible to increase the compressive stress applied to the channel region of the p-channel MOS transistor in the channel direction, by forming a polycrystalline region accumulating therein a compressive stress with regard to the silicon substrate, such that the polycrystalline region is formed in the silicon substrate on which the p-channel MOS transistor is formed in such a manner that the polycrystalline region is enclosed in the source and drain regions of the p-channel MOS transistor.
  • a polycrystalline region accumulating therein a compressive stress with regard to the silicon substrate, such that the polycrystalline region is formed in the silicon substrate on which the p-channel MOS transistor is formed in such a manner that the polycrystalline region is enclosed in the source and drain regions of the p-channel MOS transistor.
  • the present invention that uses a polycrystalline region as the stressor to the channel region, it becomes possible to introduce an impurity element of large atomic radius such as In, which could not be used for the stressor in the conventional art, in which the stressor is formed in a single crystal (monocrystalline) state. Thereby, it becomes possible with the present invention to introduce the impurity element with a concentration not possible with conventional single crystal stressor region. It should be noted that such impurity element may be introduced by using a cluster ion beam. With this, it becomes possible to accumulate a larger compressive stress in the polycrystalline region.
  • the present invention it becomes possible to reduce the cost of the semiconductor device by forming the polycrystalline region by way of ion implantation process.
  • FIG. 1 is a diagram showing the principle of a conventional stressed n-channel MOS transistor
  • FIG. 2 is a diagram showing the principle of a conventional stressed p-channel MOS transistor
  • FIG. 3 is a diagram showing the construction of a CMOS integrated circuit device according to a first embodiment of the present invention
  • FIGS. 4-8 are diagrams showing the fabrication process of a CMOS integrated circuit device according to a second embodiment of the present invention.
  • FIGS. 9-12 are diagrams showing the fabrication process of a CMOS integrated circuit device according to a third embodiment of the present invention.
  • FIG. 3 is a diagram showing the construction of a semiconductor integrated circuit device 20 according to a first embodiment of the present invention.
  • source and drain extension regions 21 a and 21 b of n-type are formed in the silicon substrate 21 in correspondence to the device region 21 A at both lateral sides of the polysilicon gate electrode 23 A.
  • the polysilicon gate electrode 23 A carries sidewall insulation films 23 A on the respective sidewall surfaces thereof, and diffusion regions 21 c and 21 d of n + -type are formed in the silicon substrate 21 at respective outer sides of the sidewall insulation films 23 WA as the source and drain regions of the n-channel MOS transistor. Further, in the construction of FIG. 3 , a silicide layer 21 SA is formed on the surface of the source and drain regions 21 c and 21 d , and a similar silicide layer 23 SA is formed further on the polysilicon gate electrode 23 A.
  • the polysilicon gate electrode 23 is doped with As or Ge after formation of the sidewall insulation films 23 WA by an ion implantation process typically with a high concentration level of 5 ⁇ 10 18 cm ⁇ 3 . Thereby, the gate electrode 23 A undergoes dilatation, and the channel region of the n-channel MOS transistor is applied with a compressive stress in the direction perpendicular to the surface of the silicon substrate 11 as represented in FIG. 3 with an arrow.
  • the Si crystal constituting the channel region causes expansion in the channel direction according to the mechanism similar to the one explained previously with reference to FIG. 1 , while such deformation of the Si crystal causes local modulation in the symmetry of the Si crystal, and such local modulation of symmetry of the Si crystal causes increase of electron mobility in the channel region. Thereby the operational speed of the n-channel MOS transistor is improved.
  • the device region 21 B there is formed a polysilicon gate electrode 23 B on the silicon substrate 21 in correspondence to the channel region of the p-channel MOS transistor via a gate insulation film 22 B of SiON, or the like, and source and drain extension regions 21 e and 21 f of p-type are formed in the silicon substrate 21 at respective lateral sides of the polysilicon gate electrode 23 B in correspondence to the device region 21 B.
  • the polysilicon gate electrode 23 B carries on the respective sidewall surfaces thereof sidewall insulation films 23 WB, and diffusion regions 21 g and 21 h of p + -type are formed in the silicon substrate 21 at respective outer sides of the sidewall insulation films 23 WB as the source and drain regions of the p-channel MOS transistor. Further, a silicide layer 21 SB is formed on the surface of the source regions 21 g and 21 h , and a similar silicide layer 23 SB is formed also on the polysilicon gate electrode 23 B.
  • the polycrystalline regions 21 G in the silicon substrate 21 so as to be enclosed in the source and drain regions 21 g and 21 h respectively, such that the polycrystalline regions 21 G contains an impurity element having an atomic radius larger than that of Si such as In, Ge, or the like, with a concentration level close to the solubility limit for maintaining the monocrystalline state or beyond such a solubility limit, such as 1 ⁇ 10 19 cm ⁇ 3 or more.
  • the polycrystalline regions 21 SG are formed of a SiGe mixed crystal.
  • the Si crystal constituting such a polycrystalline region 21 SG contains the impurity element not only at the lattice sites but also in the interstitial sites, and each crystal grains causes dilatation with regard to the Si crystal constituting the silicon substrate 21 .
  • a compressive stress in such regions 21 SG as represented in FIG. 3 by arrows there is caused increase of hole mobility in the channel region according to the mechanism similar to that explained with reference to FIG. 2 .
  • the operational speed of the p-channel MOS transistor is increased.
  • the source and drain regions 21 g and 21 h of p + -type Si so as to enclose the polycrystalline region 21 SG except for the substrate surface as represented in FIG. 3 , occurrence of junction leak current between such source/drain region and the n-type well constituting the device region 21 B is suppressed.
  • the silicon substrate 21 is already formed with the n-channel MOS transistor of FIG. 3 in the device region 21 A, and thus, the device region 21 B is formed with the gate insulation film 22 B, the gate electrode 23 B, the sidewall insulation films 23 WB and the source and drain extension regions 21 e and 21 f.
  • the gate electrode 23 A in the device region 21 A is introduced with As or Ga with high concentration level, and as a result, the gate electrode 23 A is formed to have amorphous state.
  • the device region 21 A is covered with a resist film R, and In + or Ge + is introduced into the substrate 21 under the acceleration voltage of 10-40 keV with a dose of 1 ⁇ 10 14 -2 ⁇ 10 15 cm ⁇ 2 while using the gate electrode 23 B and the sidewall insulation films 23 WB as a self-alignment mask.
  • a highly doped region 21 SG′ is formed in the silicon substrate 21 in correspondence to the device region 21 B at the respective outer sides of the sidewall insulation films 23 WB.
  • a silicon oxide film 31 having a rigidity is formed on the structure of FIG. 5 by a CVD process so as to cover the gate electrode 23 A of the n-channel MOS transistor while exposing the gate electrode 23 B of the p-channel MOS transistor, and crystallization is made in the foregoing doped regions 21 SG′ by annealing the structure thus obtained at 1000° C. for several seconds. With this, there are formed polycrystalline regions 21 SG in correspondence to the foregoing doped regions 21 SG′.
  • the doped regions 21 SG′ are injected with the impurity element with the concentration level near or beyond the solubility limit of Si crystal, the foregoing regions 21 SG do not form an epitaxial monocrystalline region to the silicon substrate 21 anymore when the recrystallization process of FIG. 6 is applied.
  • the polycrystalline region 21 SG doped heavily with the impurity element of large atomic radius, undergoes dilatation as represented in FIG. 6 , and as a result thereof, a uniaxial compressive stress is applied to the channel region of the p-channel MOS transistor in the channel direction according to the mechanism explained with reference to FIG. 2 .
  • the gate electrode 23 A of the n-channel MOS transistor undergoes crystallization at the same time to the foregoing crystallization of the polycrystalline regions 21 SG, while such crystallization of the gate electrode 23 A, caused in the state in which the gate electrode 23 A is covered by the CVD oxide film 31 in the device region 21 A, does not allow relaxation of the stress accumulated therein, and a large compressive stress is applied to the channel region of the n-channel MOS transistor in the direction perpendicular to the substrate surface with the dilatation of the gate electrode 23 A associated the crystallization thereof. Thereby, a large in-plane tensile stress is applied to the channel region of the n-channel MOS transistor.
  • the CVD oxide film 31 is formed so as to expose the gate electrode 23 B of the p-channel MOS transistor. Because of this, the dilatational stress induced in the polysilicon gate electrode 23 B in the crystallization step of FIG. 6 is effectively relaxed, and no effective compressive stress is applied to the channel region of the p-channel MOS transistor in spite of the fact that the impurity element of large atomic radius is introduced into the polysilicon gate electrode 23 B. Thereby, there is caused no cancellation in the improvement of the operational speed of the p-channel MOS transistor with the in-plane compressive stress induced by the polycrystalline regions 21 SG.
  • a p-type impurity element such as As is introduced into the device region 21 B of the p-channel MOS transistor typically under an acceleration voltage of 100 keV with the dose of 3 ⁇ 10 13 cm ⁇ 2 by way of an ion implantation process, and with this, the source and drain regions 21 g and 21 h of p + -type are formed so as to enclose the polycrystalline regions 21 SG except for the substrate surface.
  • the silicide layers 21 SA on the source and drain regions 21 c and 21 d of n + -type, the silicide layers 21 SB on the source and drain regions 21 g and 21 h of p + -type, the silicide layer 23 SA on the polysilicon gate electrode 23 A of n + -type, and further the silicide layer 23 SB on the polysilicon gate electrode 23 B of p + -type the CMOS device explained with reference to FIG. 3 is obtained.
  • an SiN film 24 A accumulating therein a tensile stress is formed on the structure of FIG. 3 so as to cover the silicon substrate 21 and the gate electrode 23 A continuously in the device region 21 A, while an SiN film 24 B free from stress or accumulating therein a compressive stress is formed on the device region 21 B so as to cover the silicon substrate 21 and the gate electrode 23 B continuously.
  • an interlayer insulation film 25 is formed so as to cover the SiN films 24 A and 23 B, and contact holes 25 A and 25 B are formed in the interlayer insulation film 25 so as to expose the silicide layers 21 SA covering the source and drain regions 21 c and 21 d respectively, while using the SiN film 24 A as a contact etching stopper.
  • contact holes 25 C and 25 D in the interlayer insulation film 25 so as to expose the silicide layers 21 SB covering the source and drain regions 21 g and 12 h respectively, while using the SiN film 24 B as a contact etching stopper.
  • the contact holes 25 A- 25 D are filled respectively with W plugs 26 A- 24 D, and a CMOS device having an interconnection structure on the structure of FIG. 3 is obtained.
  • cluster ions of p-type dopant such as the cluster ions of B 2 H 6 as the impurity element in place of In or Ge.
  • cluster ions a large number of atoms such as 1000 atoms are injected into the region 21 SG in the form of atomic group or cluster, and the lattice of the Si crystal undergoes heavy deformation even when the individual atoms have a small atomic radius. Thereby the regions 21 SG cause substantial dilatation.
  • FIGS. 9-13 show the fabrication process of a semiconductor device according to a third embodiment of the present invention, wherein those parts corresponding to the parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • the present embodiment covers the device region 21 A, after the step of FIG. 4 explained before, with a resist pattern R 2 , and applies a wet etching process to the part of the silicon substrate 21 corresponding to the device region 21 B for the part located at respective outer sides of the sidewall insulation films 23 WB, to form trenches 21 T in correspondence to the regions 21 SG′.
  • the entire surface of the device region 21 A and a part of the device region 21 B corresponding to the gate electrode 23 B are covered by a CVD oxide pattern 32 , such that the foregoing trenches 21 T are exposed, and the trenches 21 T are filled with an amorphous SiGe layer by conducting a CVD process that uses SiH 4 and GeH 4 as a source gas. Thereby, the regions 21 SG′ are formed.
  • the foregoing CVD oxide film pattern 32 is removed, and a CVD oxide mask identical with the CVD oxide mask 31 used with the step of FIG. 6 is formed. Further, by applying a rapid thermal annealing process in this state at about 1000° C. for several seconds, the SiGe regions 21 SG′ and the gate electrode 23 A are crystallized, and the amorphous SiGe regions 21 SG′ are converted to polycrystal regions 21 SiGe. With this, desired stresses are induced respectively in the device regions 21 A and 21 B.
  • impurity ions of p-type are introduced into the device region 21 B by way of ion implantation, and the source and drain regions 21 g and 21 h of p + -type are formed so as to enclose the respective SiGe polycrystalline regions 21 SG except for the substrate surface.

Abstract

A p-channel MOS transistor includes a gate electrode formed on a silicon substrate in correspondence to a channel region therein via a gate insulation film, the gate electrode carrying sidewall insulation films on respective sidewall surfaces thereof, and source and drain regions of p-type are formed in the substrate at respective outer sides of the sidewall insulation films, wherein each of the source and drain regions encloses a polycrystal region of p-type accumulating therein a compressive stress.

Description

CROSS-REFERENCE TO RELATED APPLICATION
The present application is based on Japanese priority application No. 2005-066029 filed on Mar. 9, 2005, the entire contents of which are hereby incorporated by reference.
BACKGROUND OF THE INVENTION
The present invention generally relates to semiconductor devices and more particularly to a semiconductor integrated circuit device including a stressed semiconductor device for improved operational speed and fabrication process thereof.
With progress in the art of device miniaturization, it is now possible to fabricate ultrafine and ultra high-speed semiconductor devices having a gate length of less than 100 nm.
With such ultrafine and ultra high-speed transistors, the area of the channel region right underneath the gate electrode is extremely reduced as compared with conventional semiconductor devices, and thus, the mobility of electrons or holes traveling through the channel region is influenced heavily by the stress applied to such a channel region.
Thus, various attempts have been undertaken to improve the operational speed of semiconductor devices by optimizing the stress applied to such a channel region.
REFERENCES
PATENT REFERENCE 1 Japanese Laid-Open Patent Application 2002-329864
NON-PATENT REFERENCE 1 Shimizu. A., et al. IEDM2001 Tech. Dig. p. 433, 2001
NON-PATENT REFERENCE 2 Nakahara, Y., et al. IEDM2003 Tech. Dig. p. 281, 2003
NON-PATENT REFERENCE 3 Chen, C., et al., 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 56-57
NON-PATENT REFERENCE 4 Ghani, T., t al., IEDM2003, 978-980, Jun. 10, 2003
NON-PATENT REFERENCE 5 Ota, K., IEDM Tech. Dig. p. 27, 2003
SUMMARY OF THE INVENTION
Conventionally, there is a known construction of an n-channel MOS transistor to improve the operational speed thereof, by forming a stressor film accumulating therein a tensile stress such as an SiN film in the device region of the n-channel MOS transistor so as to include the gate electrode for the purpose of increasing the electron mobility in the channel region right underneath the gate electrode.
FIG. 1 shows the construction of an n-channel MOS transistor formed on a silicon substrate 1 with such a stressor film.
Referring to FIG. 1, there is formed a device region 1A on the silicon substrate 1 as the device region of the n-channel MOS transistor in the form of p-well such that the device region 1A is defined by an STI device isolation region 1I, and a gate electrode 3 is formed on the silicon substrate 1 in correspondence to a channel region in the device region 1A via a gate insulation film 2. Further, source and drain extension regions 1 a and 1 b are formed in the silicon substrate 1 at both lateral sides of the gate electrode 3.
Further, sidewall insulation films 3A and 3B are formed on respective sidewall surfaces of the gate electrode 3, and diffusion regions 1 c and 1 d of n+-type are formed in the silicon substrate 1 at respective outer regions of the sidewall insulation films 3A and 3B with overlapping relationship with the source and drain extension regions 1 a and 1 b.
Further, silicide layers 4A and 4B are formed on the respective surfaces of the source and drain diffusion regions 1 c and 1 d, and a silicide layer 4C is formed on the gate electrode 3.
Further, in the construction of FIG. 1, there is formed an SiN film 5 accumulating therein a tensile stress on the silicon substrate 1 so as to cover a gate structure including the gate electrode 3, the sidewall insulation films 3A and 3B and the silicide layer 4C.
It should be noted that such a tensile stressor film 5 urges the gate electrode 3 to the silicon substrate 1, and as a result, a compressive stress is applied to the channel region right underneath the gate electrode 3 in the direction perpendicular to the substrate surface, while such a compressive stress induces a strain equivalent to the case in which a tensile stress (in-plane tensile stress) is applied to the substrate 1 in the direction parallel to the substrate surface.
With such a construction, symmetry of the Si crystal constituting the channel region is locally modulated, and scattering of electrons between crystallographically equivalent states is suppressed. Thereby, the electron mobility is improved in the channel region and the operational speed of the n-channel MOS transistor is improved.
Meanwhile, it is known that the mobility of carriers is improved in a p-channel MOS transistor that uses holes for the carriers by applying a uniaxial compressive stress to the channel region, and there is proposed a construction shown in FIG. 2 as the means of applying such a compressive stress to the channel region (Non-Patent Reference 4).
Referring to FIG. 2, there is formed a gate electrode 13 on the silicon substrate 11 in correspondence to the channel region via a gate insulation film 12, and p- type diffusion regions 11 a and 11 b are formed in the silicon substrate 11 at respective lateral sides of the gate electrode 13 so as to define the channel region. Further, sidewall insulation films 13A and 13B are formed on respective sidewall surfaces of the gate electrode 13.
It should be noted that the diffusion regions 11 a and 11 b function respectively as the source extension region and the drain extension region of the MOS transistor and the flow of the holes transported through the channel region right underneath the gate electrode 13 from the diffusion region 11 a to the diffusion region 11 b is controlled by a gate voltage applied to the gate electrode 13.
In the construction of FIG. 2, there are further formed SiGe mixed crystal layers 11A and 11B in the silicon substrate 11 at respective outer sides of the sidewall insulation films 13A and 13B in an epitaxial relationship with the silicon substrate 1, and source and drain regions of p-type are formed in the SiGe mixed crystal layers 11A and 11B respectively in continuation to the diffusion regions 11 a and 11 b.
In the p-channel MOS transistor of the construction of FIG. 2, the SiGe mixed crystal layers 11A and 11B have a lattice constant larger than that of the silicon substrate 11, and thus, there is induced a compressive stress shown by an arrow a in the SiGe mixed crystal layers 11A and 11B, and as a result, the SiGe mixed crystal layers 11A and 11B undergo straining such that the SiGe mixed crystal layers 11A and 11B expand in the direction generally perpendicular to the surface of the silicon substrate 11 indicated by an arrow b.
Because the SiGe mixed crystal layers 11A and 11B are formed epitaxially with respect to the silicon substrate 11, such a straining of the SiGe mixed crystal layers 11A and 11B indicated by the arrow b induces a corresponding strain in the channel region of the silicon substrate 11 as indicated by an arrow c, while the channel region of the silicon substrate 11 undergoes contraction in the channel direction as shown by arrows d as a result of such a straining. Thereby, there is inducted a state in the channel region equivalent to the case in which a uniaxial compressive stress is applied as represented by arrows d.
In the case of the p-channel MOS transistor of FIG. 2, symmetry of the Si crystal constituting the channel region is modulated locally as a result of such a deformation of the channel region corresponding to such a uniaxial compressive stress, while such a change of symmetry causes resolves degeneration of heavy holes and light holes in the valence band. Thereby, there is caused increase of hole mobility in the channel region, while this leads to improvement of the operational speed of the p-channel MOS transistor. It should be noted that such increase of hole mobility, caused by the locally induced stress in the channel region and associated improvement of the transistor operational speed, appears particularly conspicuously in the ultrafine semiconductor devices having a gate length of 100 nm or less.
The present invention provides further increase of operational speed in such a stressed semiconductor device, particularly a p-channel MOS transistor having improved operational speed as a result of stressing, by increasing the stress applied to the channel region further.
Further, the present invention provides low-cost method of fabricating such a stressed semiconductor device having improved operational speed as a result of stressing, as well as a semiconductor device fabricated according to such a method.
In a first aspect, the present invention provides a p-channel MOS transistor, comprising:
a silicon substrate;
a gate electrode formed on said silicon substrate in correspondence to a channel region therein via a gate insulation film, said gate electrode carrying sidewall insulation films on respective sidewall surfaces thereof; and
source and drain regions of p-type formed in said substrate at respective outer sides of said sidewall insulation films,
each of said source and drain regions enclosing therein a polycrystal region of p-type, said polycrystal region accumulating therein a compressive stress.
In another aspect, the present invention provides a fabrication method of a p-channel MOS transistor, comprising the steps of:
forming a gate electrode on a silicon substrate in correspondence to a channel region via a gate insulation film;
forming sidewall insulation films on respective sidewall surfaces of said gate electrode; and
forming a source region and a drain region of p-type in said silicon substrate at respective outer sides of said sidewall insulation films,
said step of forming said source region and drain region of p-type comprising the steps of:
forming first and second regions respectively enclosed in said source and drain regions in an amorphous state; and
crystallizing said first and second regions to convert said first and second regions to a polycrystalline state accumulating therein a compressive stress.
In another aspect, the present invention provides a method of fabricating a p-channel MOS transistor, comprising the steps of:
forming a gate electrode on a silicon substrate in correspondence to a channel region via a gate insulation film;
forming sidewall insulation films on respective sidewall surfaces of said gate electrode; and
forming source and drain regions of p-type in said silicon substrate at respective outer sides of said sidewall insulation films,
said step of forming said source and drain regions of p-type comprising the step of forming first and second regions so as to be enclosed respectively in said source and drain regions in the form of a polycrystalline state accumulating therein a compressive stress.
According to the present invention, it becomes possible to increase the compressive stress applied to the channel region of the p-channel MOS transistor in the channel direction, by forming a polycrystalline region accumulating therein a compressive stress with regard to the silicon substrate, such that the polycrystalline region is formed in the silicon substrate on which the p-channel MOS transistor is formed in such a manner that the polycrystalline region is enclosed in the source and drain regions of the p-channel MOS transistor. Thereby, it becomes possible to increase the operational speed of the p-channel MOS transistor further.
With the present invention that uses a polycrystalline region as the stressor to the channel region, it becomes possible to introduce an impurity element of large atomic radius such as In, which could not be used for the stressor in the conventional art, in which the stressor is formed in a single crystal (monocrystalline) state. Thereby, it becomes possible with the present invention to introduce the impurity element with a concentration not possible with conventional single crystal stressor region. It should be noted that such impurity element may be introduced by using a cluster ion beam. With this, it becomes possible to accumulate a larger compressive stress in the polycrystalline region.
Further, according to the present invention, it becomes possible to reduce the cost of the semiconductor device by forming the polycrystalline region by way of ion implantation process.
Other objects and further features of the present invention will become apparent from the following detailed description when read in conjunction with the attached drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a diagram showing the principle of a conventional stressed n-channel MOS transistor;
FIG. 2 is a diagram showing the principle of a conventional stressed p-channel MOS transistor;
FIG. 3 is a diagram showing the construction of a CMOS integrated circuit device according to a first embodiment of the present invention;
FIGS. 4-8 are diagrams showing the fabrication process of a CMOS integrated circuit device according to a second embodiment of the present invention; and
FIGS. 9-12 are diagrams showing the fabrication process of a CMOS integrated circuit device according to a third embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION First Embodiment
FIG. 3 is a diagram showing the construction of a semiconductor integrated circuit device 20 according to a first embodiment of the present invention.
Referring to FIG. 3, there is formed a device region 21A of an n-channel MOS transistor and a device region 21B of a p-channel MOS transistor on a silicon substrate 21 by a device isolation region 21I, wherein there is formed a polysilicon gate electrode 23A on the silicon substrate 21 in correspondence to the channel region of the n-channel MOS transistor in the device region 21A via a gate insulation film 22A of SiON, or the like.
Further, source and drain extension regions 21 a and 21 b of n-type are formed in the silicon substrate 21 in correspondence to the device region 21A at both lateral sides of the polysilicon gate electrode 23A.
The polysilicon gate electrode 23A carries sidewall insulation films 23A on the respective sidewall surfaces thereof, and diffusion regions 21 c and 21 d of n+-type are formed in the silicon substrate 21 at respective outer sides of the sidewall insulation films 23WA as the source and drain regions of the n-channel MOS transistor. Further, in the construction of FIG. 3, a silicide layer 21SA is formed on the surface of the source and drain regions 21 c and 21 d, and a similar silicide layer 23SA is formed further on the polysilicon gate electrode 23A.
The polysilicon gate electrode 23 is doped with As or Ge after formation of the sidewall insulation films 23WA by an ion implantation process typically with a high concentration level of 5×1018 cm−3. Thereby, the gate electrode 23A undergoes dilatation, and the channel region of the n-channel MOS transistor is applied with a compressive stress in the direction perpendicular to the surface of the silicon substrate 11 as represented in FIG. 3 with an arrow.
With this, the Si crystal constituting the channel region causes expansion in the channel direction according to the mechanism similar to the one explained previously with reference to FIG. 1, while such deformation of the Si crystal causes local modulation in the symmetry of the Si crystal, and such local modulation of symmetry of the Si crystal causes increase of electron mobility in the channel region. Thereby the operational speed of the n-channel MOS transistor is improved.
In the device region 21B, on the other hand, there is formed a polysilicon gate electrode 23B on the silicon substrate 21 in correspondence to the channel region of the p-channel MOS transistor via a gate insulation film 22B of SiON, or the like, and source and drain extension regions 21 e and 21 f of p-type are formed in the silicon substrate 21 at respective lateral sides of the polysilicon gate electrode 23B in correspondence to the device region 21B.
The polysilicon gate electrode 23B carries on the respective sidewall surfaces thereof sidewall insulation films 23WB, and diffusion regions 21 g and 21 h of p+-type are formed in the silicon substrate 21 at respective outer sides of the sidewall insulation films 23WB as the source and drain regions of the p-channel MOS transistor. Further, a silicide layer 21SB is formed on the surface of the source regions 21 g and 21 h, and a similar silicide layer 23SB is formed also on the polysilicon gate electrode 23B.
Further, in the p-channel MOS transistor formed in the device region 21B, there are formed a polycrystalline regions 21G in the silicon substrate 21 so as to be enclosed in the source and drain regions 21 g and 21 h respectively, such that the polycrystalline regions 21G contains an impurity element having an atomic radius larger than that of Si such as In, Ge, or the like, with a concentration level close to the solubility limit for maintaining the monocrystalline state or beyond such a solubility limit, such as 1×1019 cm−3 or more. Particularly, in the case Ge is used for the impurity element, the polycrystalline regions 21SG are formed of a SiGe mixed crystal.
The Si crystal constituting such a polycrystalline region 21SG contains the impurity element not only at the lattice sites but also in the interstitial sites, and each crystal grains causes dilatation with regard to the Si crystal constituting the silicon substrate 21. Thus, there is accumulated a compressive stress in such regions 21SG as represented in FIG. 3 by arrows, and as a result, there is caused increase of hole mobility in the channel region according to the mechanism similar to that explained with reference to FIG. 2. Thereby, the operational speed of the p-channel MOS transistor is increased.
By forming the source and drain regions 21 g and 21 h of p+-type Si so as to enclose the polycrystalline region 21SG except for the substrate surface as represented in FIG. 3, occurrence of junction leak current between such source/drain region and the n-type well constituting the device region 21B is suppressed.
Second Embodiment
Hereinafter, the fabrication process of a semiconductor integrated circuit device 20 of FIG. 3 will be explained with reference to FIGS. 4-8.
Referring to FIG. 4, the silicon substrate 21 is already formed with the n-channel MOS transistor of FIG. 3 in the device region 21A, and thus, the device region 21B is formed with the gate insulation film 22B, the gate electrode 23B, the sidewall insulation films 23WB and the source and drain extension regions 21 e and 21 f.
In the state of FIG. 4, it should be noted that the gate electrode 23A in the device region 21A is introduced with As or Ga with high concentration level, and as a result, the gate electrode 23A is formed to have amorphous state.
Next, in the step of FIG. 5, the device region 21A is covered with a resist film R, and In+ or Ge+ is introduced into the substrate 21 under the acceleration voltage of 10-40 keV with a dose of 1×1014-2×1015 cm−2 while using the gate electrode 23B and the sidewall insulation films 23WB as a self-alignment mask. With this, a highly doped region 21SG′ is formed in the silicon substrate 21 in correspondence to the device region 21B at the respective outer sides of the sidewall insulation films 23WB. In this ion implantation step of FIG. 5, it is possible to protect the gate insulation film 23B by a resist pattern.
Next, in the step of FIG. 6, a silicon oxide film 31 having a rigidity is formed on the structure of FIG. 5 by a CVD process so as to cover the gate electrode 23A of the n-channel MOS transistor while exposing the gate electrode 23B of the p-channel MOS transistor, and crystallization is made in the foregoing doped regions 21SG′ by annealing the structure thus obtained at 1000° C. for several seconds. With this, there are formed polycrystalline regions 21SG in correspondence to the foregoing doped regions 21SG′. Thereby, it should be noted that, because the doped regions 21SG′ are injected with the impurity element with the concentration level near or beyond the solubility limit of Si crystal, the foregoing regions 21SG do not form an epitaxial monocrystalline region to the silicon substrate 21 anymore when the recrystallization process of FIG. 6 is applied.
As a result of such crystallization, the polycrystalline region 21SG, doped heavily with the impurity element of large atomic radius, undergoes dilatation as represented in FIG. 6, and as a result thereof, a uniaxial compressive stress is applied to the channel region of the p-channel MOS transistor in the channel direction according to the mechanism explained with reference to FIG. 2.
In the step of FIG. 6, it should be noted that the gate electrode 23A of the n-channel MOS transistor undergoes crystallization at the same time to the foregoing crystallization of the polycrystalline regions 21SG, while such crystallization of the gate electrode 23A, caused in the state in which the gate electrode 23A is covered by the CVD oxide film 31 in the device region 21A, does not allow relaxation of the stress accumulated therein, and a large compressive stress is applied to the channel region of the n-channel MOS transistor in the direction perpendicular to the substrate surface with the dilatation of the gate electrode 23A associated the crystallization thereof. Thereby, a large in-plane tensile stress is applied to the channel region of the n-channel MOS transistor.
On the other hand, in the step of FIG. 6, it should be noted that the CVD oxide film 31 is formed so as to expose the gate electrode 23B of the p-channel MOS transistor. Because of this, the dilatational stress induced in the polysilicon gate electrode 23B in the crystallization step of FIG. 6 is effectively relaxed, and no effective compressive stress is applied to the channel region of the p-channel MOS transistor in spite of the fact that the impurity element of large atomic radius is introduced into the polysilicon gate electrode 23B. Thereby, there is caused no cancellation in the improvement of the operational speed of the p-channel MOS transistor with the in-plane compressive stress induced by the polycrystalline regions 21SG.
Next, in the step of FIG. 7, a p-type impurity element such as As is introduced into the device region 21B of the p-channel MOS transistor typically under an acceleration voltage of 100 keV with the dose of 3×1013 cm−2 by way of an ion implantation process, and with this, the source and drain regions 21 g and 21 h of p+-type are formed so as to enclose the polycrystalline regions 21SG except for the substrate surface.
Further, by forming the silicide layers 21SA on the source and drain regions 21 c and 21 d of n+-type, the silicide layers 21SB on the source and drain regions 21 g and 21 h of p+-type, the silicide layer 23SA on the polysilicon gate electrode 23A of n+-type, and further the silicide layer 23SB on the polysilicon gate electrode 23B of p+-type, the CMOS device explained with reference to FIG. 3 is obtained.
Next, in the step of FIG. 8, an SiN film 24A accumulating therein a tensile stress is formed on the structure of FIG. 3 so as to cover the silicon substrate 21 and the gate electrode 23A continuously in the device region 21A, while an SiN film 24B free from stress or accumulating therein a compressive stress is formed on the device region 21B so as to cover the silicon substrate 21 and the gate electrode 23B continuously.
Further, an interlayer insulation film 25 is formed so as to cover the SiN films 24A and 23B, and contact holes 25A and 25B are formed in the interlayer insulation film 25 so as to expose the silicide layers 21SA covering the source and drain regions 21 c and 21 d respectively, while using the SiN film 24A as a contact etching stopper. Similarly, there are formed contact holes 25C and 25D in the interlayer insulation film 25 so as to expose the silicide layers 21SB covering the source and drain regions 21 g and 12 h respectively, while using the SiN film 24B as a contact etching stopper. Further, the contact holes 25A-25D are filled respectively with W plugs 26A-24D, and a CMOS device having an interconnection structure on the structure of FIG. 3 is obtained.
In the ion implantation process of FIG. 5 explained before, it is also possible to inject cluster ions of p-type dopant such as the cluster ions of B2H6 as the impurity element in place of In or Ge. With the use of such cluster ions, a large number of atoms such as 1000 atoms are injected into the region 21SG in the form of atomic group or cluster, and the lattice of the Si crystal undergoes heavy deformation even when the individual atoms have a small atomic radius. Thereby the regions 21SG cause substantial dilatation.
Third Embodiment
FIGS. 9-13 show the fabrication process of a semiconductor device according to a third embodiment of the present invention, wherein those parts corresponding to the parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
Referring to FIG. 9, the present embodiment covers the device region 21A, after the step of FIG. 4 explained before, with a resist pattern R2, and applies a wet etching process to the part of the silicon substrate 21 corresponding to the device region 21B for the part located at respective outer sides of the sidewall insulation films 23WB, to form trenches 21T in correspondence to the regions 21SG′.
Further, in the step of FIG. 10, the entire surface of the device region 21A and a part of the device region 21B corresponding to the gate electrode 23B are covered by a CVD oxide pattern 32, such that the foregoing trenches 21T are exposed, and the trenches 21T are filled with an amorphous SiGe layer by conducting a CVD process that uses SiH4 and GeH4 as a source gas. Thereby, the regions 21SG′ are formed.
Further, in the step of FIG. 11, the foregoing CVD oxide film pattern 32 is removed, and a CVD oxide mask identical with the CVD oxide mask 31 used with the step of FIG. 6 is formed. Further, by applying a rapid thermal annealing process in this state at about 1000° C. for several seconds, the SiGe regions 21SG′ and the gate electrode 23A are crystallized, and the amorphous SiGe regions 21SG′ are converted to polycrystal regions 21SiGe. With this, desired stresses are induced respectively in the device regions 21A and 21B.
Further, in the step of FIG. 12 corresponding to the step of FIG. 7, impurity ions of p-type are introduced into the device region 21B by way of ion implantation, and the source and drain regions 21 g and 21 h of p+-type are formed so as to enclose the respective SiGe polycrystalline regions 21SG except for the substrate surface.
In the present embodiment, it is also possible to carry out ion implantation of In or cluster ions in the step of FIG. 10 while using the CVD oxide film 32 as a mask, similarly to the step of FIG. 5.
Further, the present invention is not limited to the embodiments described heretofore, but various variations and modifications may be made without departing from the scope of the invention.

Claims (9)

1. A p-channel MOS transistor, comprising:
a monocrystalline silicon substrate;
a gate electrode formed on said monocrystalline silicon substrate in correspondence to a channel region therein via a gate insulation film, said gate electrode carrying sidewall insulation films on respective sidewall surfaces thereof; and
monocrystalline source and drain regions of p-type formed in said monocrystalline substrate at respective outer sides of said sidewall insulation films,
each of said source and drain regions enclosing a polycrystal region of p-type SiGe mixed crystal, said polycrystal region of SiGe mixed crystal accumulating therein a compressive stress,
wherein said polycrystal regions of p-type SiGe mixed crystal in said source and drain regions apply a compressive stress to said channel region,
said polycrystal regions being formed at respective outer sides of said sidewall insulation films,
each of said source and drain regions enclosing said polycrystal region of p-type SiGe mixed crystal being monocrystalline.
2. The p-channel MOS transistor as claimed in claim 1, wherein said polycrystal region comprises polysilicon containing an impurity element having an atomic radius larger than an atomic radius of Si.
3. The p-channel MOS transistor as claimed in claim 1, wherein said polycrystal region comprises polysilicon containing an impurity element in the form of a cluster of atoms constituting said impurity element.
4. The p-channel MOS transistor as claimed in claim 1, wherein said polycrystal region comprises a SiGe polycrystal.
5. The p-channel MOS transistor as claimed in claim 1, wherein said SiGe polycrystal region contains Ge with a concentration near a solubility limit of Ge in a Si crystal.
6. The p-channel MOS transistor as claimed in claim 1, wherein said SiGe polycrystal region contains Ge with a concentration exceeding a solubility limit of Ge in a Si crystal.
7. The p-channel MOS transistor as claimed in claim 2, wherein said polycrystal region contains said impurity element with a concentration near a solubility limit of said impurity element in a Si crystal.
8. The p-channel MOS transistor as claimed in claim 2, wherein said polycrystal region contains said impurity element with a concentration exceeding a solubility limit of said impurity element in a Si crystal.
9. The p-channel MOS transistor as claimed in claim 2, wherein said impurity element comprises any of In and Ge.
US11/180,791 2005-03-09 2005-07-14 P-channel MOS transistor and fabrication process thereof Active US7518188B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/379,832 US8158498B2 (en) 2005-03-09 2009-03-03 P-channel MOS transistor and fabrication process thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-066029 2005-03-09
JP2005066029A JP4426988B2 (en) 2005-03-09 2005-03-09 Method for manufacturing p-channel MOS transistor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/379,832 Division US8158498B2 (en) 2005-03-09 2009-03-03 P-channel MOS transistor and fabrication process thereof

Publications (2)

Publication Number Publication Date
US20060202280A1 US20060202280A1 (en) 2006-09-14
US7518188B2 true US7518188B2 (en) 2009-04-14

Family

ID=36969940

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/180,791 Active US7518188B2 (en) 2005-03-09 2005-07-14 P-channel MOS transistor and fabrication process thereof
US12/379,832 Active 2026-02-16 US8158498B2 (en) 2005-03-09 2009-03-03 P-channel MOS transistor and fabrication process thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/379,832 Active 2026-02-16 US8158498B2 (en) 2005-03-09 2009-03-03 P-channel MOS transistor and fabrication process thereof

Country Status (2)

Country Link
US (2) US7518188B2 (en)
JP (1) JP4426988B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090085122A1 (en) * 2007-10-01 2009-04-02 Vincent Ho Poly profile engineering to modulate spacer induced stress for device enhancement
US20090302395A1 (en) * 2006-10-26 2009-12-10 Fujitsu Microelectronics Limited Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US10269801B2 (en) * 2007-03-20 2019-04-23 Sony Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005041225B3 (en) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Method for producing recessed, deformed drain / source regions in NMOS and PMOS transistors
US7221024B1 (en) * 2005-10-27 2007-05-22 International Business Machines Corporation Transistor having dielectric stressor elements for applying in-plane shear stress
JP5018780B2 (en) * 2006-09-27 2012-09-05 富士通株式会社 Semiconductor device and manufacturing method thereof
KR101007242B1 (en) 2007-02-22 2011-01-13 후지쯔 세미컨덕터 가부시키가이샤 Semiconductor device and process for producing the same
JP5195747B2 (en) * 2007-03-27 2013-05-15 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
CN101641770B (en) * 2007-03-28 2012-03-07 富士通半导体股份有限公司 Semiconductor device, and its manufacturing method
JP2009088069A (en) * 2007-09-28 2009-04-23 Panasonic Corp Semiconductor device and manufacturing method thereof
US7776699B2 (en) * 2008-02-05 2010-08-17 Chartered Semiconductor Manufacturing, Ltd. Strained channel transistor structure and method
US7935601B1 (en) * 2009-09-04 2011-05-03 The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration Method for providing semiconductors having self-aligned ion implant

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5733793A (en) * 1994-12-19 1998-03-31 Electronics And Telecommunications Research Institute Process formation of a thin film transistor
US6255214B1 (en) * 1999-02-24 2001-07-03 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal silicide in a semiconductor wafer by amorphization of source and drain regions
JP2002329864A (en) 2001-03-02 2002-11-15 Fujitsu Ltd Semiconductor device and its manufacturing method
US6872642B2 (en) * 2002-11-22 2005-03-29 Renesas Technology Corp. Manufacturing method of semiconductor device
US6900667B2 (en) * 2003-03-11 2005-05-31 Micron Technology, Inc. Logic constructions and electronic devices
US7118979B2 (en) * 2003-11-05 2006-10-10 Texas Instruments Incorporated Method of manufacturing transistor having germanium implant region on the sidewalls of the polysilicon gate electrode

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06181219A (en) * 1992-12-15 1994-06-28 Kawasaki Steel Corp Manufacture of semiconductor device
JP3761918B2 (en) * 1994-09-13 2006-03-29 株式会社東芝 Manufacturing method of semiconductor device
US6326219B2 (en) * 1999-04-05 2001-12-04 Ultratech Stepper, Inc. Methods for determining wavelength and pulse length of radiant energy used for annealing
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
JP2003229568A (en) 2002-02-04 2003-08-15 Hitachi Ltd Manufacturing method for semiconductor device and semiconductor device
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
JP2004172389A (en) 2002-11-20 2004-06-17 Renesas Technology Corp Semiconductor device and method for manufacturing the same
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5733793A (en) * 1994-12-19 1998-03-31 Electronics And Telecommunications Research Institute Process formation of a thin film transistor
US6255214B1 (en) * 1999-02-24 2001-07-03 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal silicide in a semiconductor wafer by amorphization of source and drain regions
JP2002329864A (en) 2001-03-02 2002-11-15 Fujitsu Ltd Semiconductor device and its manufacturing method
US6872642B2 (en) * 2002-11-22 2005-03-29 Renesas Technology Corp. Manufacturing method of semiconductor device
US6900667B2 (en) * 2003-03-11 2005-05-31 Micron Technology, Inc. Logic constructions and electronic devices
US7118979B2 (en) * 2003-11-05 2006-10-10 Texas Instruments Incorporated Method of manufacturing transistor having germanium implant region on the sidewalls of the polysilicon gate electrode

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
A. Shimizu et al., Local Mechanical-Stress Control (LMC): A New Technique for CMOS-Performance Enhancement, IEDM 2001 Tech. Dig. p. 433 (2001).
Chien-Hao Chen et al., Stress Memorization Technique (SMT) by Selectively Strained-Nitride Capping for Sub-65 nm . . . , 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 56-57.
K. Ota et al., Novel Locally Strained Channel Technique for High Performance 55nm CMOS, IEDM Tech. Dig. p. 27 (2003).
T. Ghani et al., A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained . . . , IEDM 2003, pp. 978-980, Jun. 10, 2003.
Y. Nakahara et al., A Robust 65-nm Node CMOS Technology for Wide-range Vdd Operation, IEDM 2001 Tech. Dig. p. 281 (2003).

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090302395A1 (en) * 2006-10-26 2009-12-10 Fujitsu Microelectronics Limited Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US8258576B2 (en) 2006-10-26 2012-09-04 Fujitsu Semiconductor Limited Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US10269801B2 (en) * 2007-03-20 2019-04-23 Sony Corporation Semiconductor device and method of manufacturing the same
US10559567B2 (en) 2007-03-20 2020-02-11 Sony Corporation Semiconductor device and method of manufacturing the same
US11011518B2 (en) 2007-03-20 2021-05-18 Sony Corporation Semiconductor device and method of manufacturing the same
US11664376B2 (en) 2007-03-20 2023-05-30 Sony Group Corporation Semiconductor device and method of manufacturing the same
US20090085122A1 (en) * 2007-10-01 2009-04-02 Vincent Ho Poly profile engineering to modulate spacer induced stress for device enhancement
US7993997B2 (en) * 2007-10-01 2011-08-09 Globalfoundries Singapore Pte. Ltd. Poly profile engineering to modulate spacer induced stress for device enhancement
US8519445B2 (en) 2007-10-01 2013-08-27 Globalfoundries Singapore Pte. Ltd. Poly profile engineering to modulate spacer induced stress for device enhancement

Also Published As

Publication number Publication date
JP4426988B2 (en) 2010-03-03
US20060202280A1 (en) 2006-09-14
US8158498B2 (en) 2012-04-17
US20090176343A1 (en) 2009-07-09
JP2006253318A (en) 2006-09-21

Similar Documents

Publication Publication Date Title
US7518188B2 (en) P-channel MOS transistor and fabrication process thereof
US7405436B2 (en) Stressed field effect transistors on hybrid orientation substrate
US7473608B2 (en) N-channel MOSFETs comprising dual stressors, and methods for forming the same
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7029994B2 (en) Strained channel on insulator device
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US7675055B2 (en) Strained complementary metal oxide semiconductor (CMOS) on rotated wafers and methods thereof
US20060202278A1 (en) Semiconductor integrated circuit and cmos transistor
US9076867B2 (en) Semiconductor device structures including strained transistor channels
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
US20070018252A1 (en) Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US20140103366A1 (en) Silicon device on si:c-oi and sgoi and method of manufacture
US20060060925A1 (en) Semiconductor device structure with active regions having different surface directions and methods
KR20110123733A (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
US7335544B2 (en) Method of making MOSFET device with localized stressor
US7615418B2 (en) High performance stress-enhance MOSFET and method of manufacture
US20060099763A1 (en) Method of manufacturing semiconductor mos transistor device
US20090142892A1 (en) Method of fabricating semiconductor device having thin strained relaxation buffer pattern and related device
US8440539B2 (en) Isolation trench processing for strain control
KR100760912B1 (en) Semiconductor Device and Method for Fabricating The Same

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUJITSU LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIMA, MASASHI;SHIMAMUNE, YOSUKE;HATADA, AKIYOSHI;AND OTHERS;REEL/FRAME:016778/0563

Effective date: 20050627

AS Assignment

Owner name: FUJITSU MICROELECTRONICS LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU LIMITED;REEL/FRAME:021976/0089

Effective date: 20081104

Owner name: FUJITSU MICROELECTRONICS LIMITED,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU LIMITED;REEL/FRAME:021976/0089

Effective date: 20081104

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:FUJITSU MICROELECTRONICS LIMITED;REEL/FRAME:024651/0744

Effective date: 20100401

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: CHANGE OF ADDRESS;ASSIGNOR:FUJITSU SEMICONDUCTOR LIMITED;REEL/FRAME:041188/0401

Effective date: 20160909

AS Assignment

Owner name: AIZU FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU SEMICONDUCTOR LIMITED;REEL/FRAME:053209/0468

Effective date: 20200331

AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: CHANGE OF NAME AND CHANGE OF ADDRESS;ASSIGNOR:AIZU FUJITSU SEMICONDUCTOR LIMITED;REEL/FRAME:053481/0962

Effective date: 20200410

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12

AS Assignment

Owner name: FUJITSU LIMITED, JAPAN

Free format text: MERGER;ASSIGNOR:FUJITSU SEMICONDUCTOR LIMITED;REEL/FRAME:064221/0545

Effective date: 20230401