US8097932B2 - Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality - Google Patents

Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality Download PDF

Info

Publication number
US8097932B2
US8097932B2 US12/371,180 US37118009A US8097932B2 US 8097932 B2 US8097932 B2 US 8097932B2 US 37118009 A US37118009 A US 37118009A US 8097932 B2 US8097932 B2 US 8097932B2
Authority
US
United States
Prior art keywords
dielectric
film
layer
sicoh
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US12/371,180
Other versions
US20090146265A1 (en
Inventor
Son Van Nguyen
Stephen McConnell Gates
Deborah A. Neumayer
Alfred Grill
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/371,180 priority Critical patent/US8097932B2/en
Publication of US20090146265A1 publication Critical patent/US20090146265A1/en
Application granted granted Critical
Publication of US8097932B2 publication Critical patent/US8097932B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component

Definitions

  • the present invention generally relates to a method of fabricating a dielectric material that has an ultralow dielectric constant (or ultralow k) using a plasma enhanced chemical vapor deposition (PECVD) process in which a single organosilicon precursor containing a built-in organic porogen is employed as well as a method of fabricating electronic devices containing such a dielectric material.
  • PECVD plasma enhanced chemical vapor deposition
  • the use of a single precursor in a PECVD process enables easier control of the process, better control of film thickness and compositional uniformity and simplifies the manufacturing process.
  • the deposition of a dielectric film from a single precursor enables better control of the final porosity in the film and a narrower pore size distribution resulting in better mechanical properties at the same value of dielectric constant.
  • the present invention relates to a method of fabricating a thermally stable ultralow k dielectric film for use as an intralevel or interlevel dielectric in an ultra large scale integration (ULSI) back-end-of-the-line (BEOL) wiring structure and an electronic structure formed by such a method.
  • ULSI ultra large scale integration
  • BEOL back-end-of-the-line
  • U.S. Pat. Nos. 6,312,793, 6,441,491, 6,541,398 and 6,479,110 B2 describe a multiphase low k dielectric material that consists of a matrix phase composed of elements of Si, C, O and H and another phase composed mainly of C and H.
  • the dielectric materials disclosed in the foregoing patents have a dielectric constant of not more than 3.2.
  • U.S. Pat. No. 6,437,443 describes a low k dielectric material that has two or more phases wherein the first phase is formed of a SiCOH material.
  • the low k dielectric material is provided by reacting a first precursor gas containing atoms of Si, C, O, and H and at least a second precursor gas containing mainly atoms of C, H, and optionally F, N and O in a plasma enhanced chemical vapor deposition chamber.
  • SiCOH dielectric material having a lower internal stress, improved thermal stability, lower cost and better process control within processing temperatures used in current ULSI technologies are all needed.
  • SiCOH dielectric materials made in the prior art from two or more separate organosilicon and/or porogen precursors are not uniform in atomic and structural composition, both when measured across the substrate diameter, and through the depth of the layer.
  • the use of 300 mm Si wafers has made this problem of chemical uniformity across the wafer more pronounced.
  • SiCOH dielectric materials made from two or more separate organosilicon and/or porogen precursors exhibit process variation or process instability due to small changes in the flow rate of one of the two precursors, known as drift in the flow rate.
  • the present invention also provides a method for fabricating a SiCOH dielectric material comprising Si, C, O and H atoms from a single organosilicon precursor with a built-in organic porogen.
  • the single organosilicon precursor with a built-in organic porogen is selected from silane (SiH 4 ) derivatives having the molecular formula SiRR 1 R 2 R 3 , disiloxane derivatives having the molecular formula R 4 R 5 R 6 Si—O—Si—R 7 R 8 R 9 , and trisiloxane derivatives having the molecular formula R 10 R 11 R 12 —Si—O—Si—R 13 R 14 —O—Si—R 15 R 16 R 17 where R and R 1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen
  • the present invention provides a PECVD process for fabricating a layer of a SiCOH dielectric material having improved film properties, that is uniform in atomic and structural composition, both when measured across the substrate diameter, and through the depth of the layer, which does not exhibit variation in the process or process instability.
  • uniform in atomic composition it is meant that the dielectric material has a substantially constant atom distributed throughout the film in both the vertical and horizontal direction.
  • uniform in structural composition it is meant a substantially constant arrangement of atoms within the film in both the vertical and horizontal directions.
  • the use of a single organosilicon precursor in a PECVD process enables easier control of the process, better control of film thickness and compositional uniformity and simplifies the manufacturing process. Furthermore, the deposition of a film from a single organosilicon precursor enables better control of the final porosity in the film and a narrower pore size distribution, potentially resulting in better mechanical properties at the same values of dielectric constant. Furthermore, the deposition of a film from a single organosilicon precursor enables better control of the mechanical properties of the final SiCOH dielectric because the bonding in the final film is closely related to the bonding in the single organosilicon precursor with a built-in organic porogen.
  • the method (or process) of the present invention comprises the steps of:
  • the present invention also provides a SiCOH dielectric film which is prepared using the method described above.
  • the dielectric film of the present invention comprises a dielectric material comprising atoms of Si, C, O and H, said dielectric material having a covalently bonded tri-dimensional network structure, a dielectric constant of not more than 2.7, a controlled porosity having molecular scale voids from about 0.5 to about 20 nanometers in diameter, and preferably from about 0.5 to about 5 nm in diameter.
  • the molecular scale voids occupy a volume of between about 5% and about 60%.
  • the dielectric material of this invention contains molecular scale voids that are characterized by a pore size distribution and said size distribution has a maximum (in the distribution) between 0.7 and 3 nm, and preferably between 0.7 and 2.5 nm.
  • the present invention also relates to electronic structures that include at least one insulating material that comprises the SiCOH dielectric film of the present invention.
  • the at least one dielectric film comprising the inventive SiCOH dielectric may comprise an interlevel and/or intralevel dielectric layer, a capping layer, and/or a hard mask/polish-stop layer in an electronic structure.
  • FIGS. 1A-1B are pictorial representations (through cross sectional views) illustrating the basic processing steps of the present invention.
  • FIG. 2 is an enlarged, cross-sectional view of an electronic device of the present invention that includes the inventive SiCOH dielectric film as both the intralevel dielectric layer and the interlevel dielectric layer.
  • FIG. 3 is an enlarged, cross-sectional view of the electronic structure of FIG. 2 having an additional diffusion barrier dielectric cap layer deposited on top of the inventive SiCOH dielectric film.
  • FIG. 4 is an enlarged, cross-sectional view of the electronic structure of FIG. 3 having an additional RIE hard mask/polish-stop dielectric cap layer and a dielectric cap diffusion barrier layer deposited on top of the polish-stop layer.
  • FIG. 5 is an enlarged, cross-sectional view of the electronic structure of FIG. 4 having additional RIE hard mask/polish-stop dielectric layers deposited on top of the SiCOH dielectric film of the present invention.
  • the present invention which describes a method of fabricating a SiCOH dielectric material using a single organosilicon precursor containing a built-in organic porogen, a SiCOH dielectric film formed by the inventive method and electronic structures containing the same, will now be described in greater detail.
  • an as deposited dielectric film 12 is formed on a surface of a substrate 10 such as shown, for example, in FIG. 1A .
  • substrate when used in conjunction with substrate 10 includes, a semiconducting material, an insulating material, a conductive material or any combination thereof, including multilayered structures.
  • substrate 10 can be a semiconducting material such as Si, SiGe, SiGeC, SiC, GaAs, InAs, InP and other III/V or II/VI compound semiconductors.
  • the semiconductor substrate 10 can also include a layered substrate such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • a layered substrate such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers.
  • the substrate 10 is a conductive material
  • the substrate 10 may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride and combinations thereof, including multilayers.
  • the substrate 10 includes a combination of a semiconducting material and an insulating material, a combination of a semiconducting material and a conductive material or a combination of a semiconducting material, an insulating material and a conductive material.
  • An example of a substrate that includes a combination of the above is an interconnect structure.
  • the as deposited dielectric film 12 is formed in the present invention utilizing a plasma enhanced chemical vapor deposition (PECVD) reactor in which a single organosilicon precursor containing a built-in organic porogen is employed.
  • PECVD plasma enhanced chemical vapor deposition
  • the thickness of the as deposited dielectric film 12 may vary; typical ranges for the deposited dielectric film 12 are from about 50 nm to about 1 ⁇ m, with a thickness from 100 to about 500 nm being more typical.
  • the as deposited dielectric film 12 is formed by providing the single organosilicon precursor containing a build-in organic porogen and an optional inert carrier such as He, Ne, or Ar, into a reactor, preferably the reactor is a PECVD reactor, and then depositing a film derived from said precursor onto a suitable substrate utilizing conditions that are effective in forming a dielectric material.
  • the substrate is positioned within the PECVD reactor on top of a substrate holder.
  • the present invention yet further provides for mixing the precursor with an oxidizing agent such as O 2 , CO 2 or a combination thereof, thereby stabilizing the reactants in the reactor and improving the uniformity of the dielectric film 12 deposited on the substrate 10 .
  • the single organosilicon precursor with a built-in organic porogen is selected from silane (SiH 4 ) derivatives having the molecular formula SiRR 1 R 2 R 3 , disiloxane derivatives having the molecular formula R 4 R 5 R 6 —Si—O—Si—R 7 R 8 R 9 , and trisiloxane derivatives having the molecular formula R 10 R 11 R 12 Si—O—SiR 13 R 14 —O—Si—R 15 R 16 R 17 where R and R 1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents.
  • Preferred single organosilicon precursor with a built-in organic porogen include, but are not limited to: allylazadimethoxysilacyclopentane, allylaminopropyltrimethoxysilane, allyldimethoxysilane, allyldimethylsilane, allyloxy-t-butyldimethylsilane, allyloxytrimethylsilane, allyltetramethyldisiloxane, allyltriethoxysilane, allyltrimethoxysilane, bicycloheptenylethyltrimethoxysilane, bicycloheptenyltriethoxysilane, bisallyloxymethyltrimethylsiloxybutane, bisbicycloheptenylethyltetramethyldisiloxane, bisepoxycyclohexylethyltetramethyldisiloxane, bistrimethylsiloxycyclobutene, bis(trime
  • trivinylcyclotrisiloxane trivinyltrisiloxane, trivinyltrimethylcyclotrisiloxane, trivinylpentamethyltrisiloxane, glycidoxypropyltrimethoxysilane, glycidoxypropylmethyldiethoxysilane, tetraethoxydimethyldisiloxane, tetraisopropyldisiloxane, trivinylmethoxysilane, trivinylethoxysilane, trivinylsilane, vinyldimethylethoxysilane, vinylmethyldiethoxysilane, vinylmethyldimethoxysilane, vinylmethylethoxysilane, vinylmethyldiethoxysilane, vinylmethyldimethoxysilane, vinylpentamethyldisiloxane, vinyltetramethyldisiloxane, vinyltri-t-butoxysilane, vinyltriethoxysilane, vinyltriisoprop
  • the organosilicon precursor with a built-in organic porogen is vinylmethyldiethoxysilane, vinyltriethoxysilane, vinyldimethylethoxysilane, cyclohexenylethyltriethoxysilane, 1,1-diethoxy-1-silacyclopent-3-ene, divinyltetramethyldisiloxane, 2-(3,4-epoxycyclohexyl)ethyltriethoxysilane, 2-(3,4-epoxycyclohexyl)ethyltrimethoxysilane, epoxyhexyltriethoxysilane, hexavinyldisiloxane, trivinylmethoxysilane, trivinylethoxysilane, vinylmethylethoxysilane, vinylmethyldiethoxysilane, vinylmethyldimethoxysilane, vinylpentamethyldisiloxane, vinyltetramethyldisiloxan
  • the as deposited dielectric film 12 may be deposited using a method the includes the step of providing a parallel plate reactor, which has a conductive area of a substrate chuck between about 85 cm 2 and about 750 cm 2 , and a gap between the substrate and a top electrode between about 1 cm and about 12 cm.
  • a high frequency RF power is applied to one of the electrodes at a frequency between about 0.45 MHz and about 200 MHz.
  • an additional low frequency power can be applied to one of the electrodes.
  • the conditions used for the deposition step may vary depending on the desired final dielectric constant of the dielectric film.
  • the conditions used for providing a stable dielectric material comprising elements of Si, C, O, H that has a dielectric constant of about 2.7 or less include: setting the substrate temperature at between about 200° C.
  • the flow rate is between about 20 sccm to about 3000 sccm with the same reactor pressure between about 1000 mTorr and about 10000 mTorr.
  • an oxidizing agent When employed in the present invention, it is flown into the PECVD reactor at a flow rate between about 10 sccm to about 1000 sccm.
  • the as deposited dielectric film 12 can be deposited from a high density plasma reactor.
  • the dielectric film 12 formed at this point of the present invention is comprised of two components.
  • the first component is a SiCOH matrix and the second component is the organic porogen.
  • the organic porogen component is interconnected with the SiCOH matrix.
  • the SiCOH matrix is comprised of a hydrogenated oxidized silicon carbon material (SiCOH) comprising atoms of Si, C, O and H in a covalently bonded tri-dimensional network and having a dielectric constant of not more than about 2.8.
  • the tri-bonded network may include a covalently bonded tri-dimensional ring structure comprising Si—O, Si—C, Si—H, C—H and C—C bonds. More preferably, the dielectric constant of the as deposited film 12 is from about 1.5 to about 2.6, and most preferably from about 1.8 to about 2.5.
  • the SiCOH matrix of the as deposited dielectric film 12 comprises between about 5 and about 40 atomic percent of Si; between about 5 and about 45 atomic percent of C; between 0 and about 50 atomic percent of 0; and between about 10 and about 55 atomic percent of H.
  • the structure shown, for example, in FIG. 1A is subjected to a treatment step that is capable of substantially removing the organic porogen component from the as deposited film 12 thereby forming a SiCOH dielectric material 14 having a dielectric constant that is not greater than 2.7, preferably from about 1.5 to about 2.6, and more preferably from about 1.8 to about 2.5.
  • the structure formed after this treatment step has been performed is shown, for example, in FIG. 13 . Note that the dielectric constant of the SiCOH dielectric material 14 after this treatment step is slightly less than the original dielectric constant of the as deposited dielectric film 12 .
  • the treatment step described herein can be implemented after a single layer deposition or after several deposition steps (multi layer deposition).
  • the treatment step performed at this point of the present invention requires sufficient energy to break the organic porogen component present in the as deposited dielectric film 12 from the SiCOH matrix and to remove the porogen from the final film 14 .
  • the energy source used for the dissociation may be thermal, electron beam, plasma or optical radiation such as UV or laser. Combinations of the aforementioned energy sources can also be used in the present invention.
  • the removal of the organic porogen is typically associated with additional cross linking of the film.
  • the thermal energy source includes any source such as, for example, a heating element or a lamp, that can heat the deposited dielectric material to a temperature up to 450° C. More preferably, the thermal energy source is capable of heating the deposited dielectric material to a temperature from about 200° to about 450° C., with a temperature from about 350° C. to about 425° C. being even more preferred.
  • This thermal treatment process can be carried out for various time periods, with a time period from about 0.5 minutes to about 300 minutes being typical.
  • the thermal treatment step is typically performed in the presence of an inert gas such as He, Ar, Ne, Xe, N 2 or a mixture thereof.
  • the thermal treatment step may be referred to as an anneal step in which rapid thermal anneal, furnace anneal, laser anneal or spike anneal conditions are employed.
  • the thermal treatment step can be performed in the presence of a gas mixture containing a hydrogen source gas such as, for example, H 2 or a hydrocarbon.
  • the thermal treatment step can be performed in the presence of a gas mixture containing a very low partial pressure of O 2 and H 2 O, in the range below 1000 parts per million. Curing in an O 2 and H 2 O ambient is one option to improve the low k film mechanical properties, but it will increase the k value.
  • the UV light treatment step is performed utilizing a source that can generate light having a wavelength from about 500 to about 150 nm, to irradiate the substrate while the wafer temperature is maintained at up to 450° C., with temperatures from 200° C.-450° C. being preferred and a temperature from 350° C. to 425° C. being even more highly preferred. Radiation with >370 nm is of insufficient energy to dissociate or activate important bonds, so the wavelength range 150-370 nm is a preferred range. Using literature data and absorbance spectra measured on as deposited films, the inventors have found that ⁇ 170 nm radiation may not be favored due to degradation of the SiCOH film.
  • the energy range 310-370 nm is less useful than the range 150-310 nm, due to the relatively low energy per photon from 310-370 nm.
  • optimum overlap with the absorbance spectrum of the as deposited film and minimum degradation of the film properties may be optionally used to select a most effective region of the UV spectrum for changing the SiCOH properties.
  • the UV light treatment step may be performed in an inert gas, a hydrogen source gas or a gas mixture of O 2 and H 2 O using the partial pressure range mentioned above.
  • the electron beam treatment step is performed utilizing a source that is capable of generating a uniform electron flux over the wafer, with energies from 0.5 to 25 keV and current densities from 0.1 to 100 microAmp/cm 2 (preferably 1 to 5 microAmp/cm 2 ), while the wafer temperature is maintained at a temperature up to 450° C., with temperatures from 200°-450° C. being preferred, and temperature from 350° to 425° being even more highly preferred.
  • the preferred dose of electrons used in the electron beam treatment step is from 50 to 500 microcoulombs/cm 2 , with 100 to 300 microcoulombs/cm 2 range being preferred.
  • the electron beam treatment step may be performed in an inert gas, a hydrogen source gas or a gas mixture of O 2 and H 2 O using the partial pressure range mentioned above.
  • the plasma treatment step is performed utilizing a source that is capable of generating atomic hydrogen (H), and optionally CH 3 or other hydrocarbon radicals. Downstream plasma sources are preferred over direct plasma exposure.
  • the wafer temperature is maintained at a temperature up to 450° C., with temperatures from 200° C.-450° C. being preferred and temperatures from 350° C. to 425° C. being more highly preferred.
  • the plasma treatment step is performed by introducing a gas into a reactor that can generate a plasma and thereafter it is converted into a plasma.
  • the gas that can be used for the plasma treatment includes inert gases such as Ar, N, He, Xe or Kr, with He being preferred; hydrogen or related sources of atomic hydrogen, methane, methylsilane, related sources of CH 3 groups, and mixtures thereof.
  • the flow rate of the plasma treatment gas may vary depending on the reactor system being used.
  • the chamber pressure can range anywhere from 0.05 to 20 torr, but the preferred range of pressure operation is 1 to 10 torr.
  • the plasma treatment step occurs for a period of time, which is typically from about 1 ⁇ 2 to about 10 minutes, although longer times may be used within the invention.
  • the RF power source may operate at either a high frequency range (on the order of about 100 W or greater); a low frequency range (less than 250 W) or a combination thereof may be employed.
  • the high frequency power density can range anywhere from 0.1 to 2.0 W/cm 2 but the preferred range of operation is 0.2 to 1.0 W/m 2 .
  • the low frequency power density can range anywhere from 0.1 to 1.0 W/cm 2 but the preferred range of operation is 0.2 to 0.5 W/cm 2 .
  • the chosen power levels must be low enough to avoid significant sputter etching of the exposed dielectric surface ( ⁇ 5 nanometers removal).
  • a deep ultra-violet (DUV) laser source can also be employed to cause the dissociation of the porogen from the as deposited dielectric film 12 .
  • the laser source used to treat the deposited dielectric film 12 is typically an excimer laser which operates at one of several DUV wavelengths depending on the laser gas mixture.
  • a XeF laser which produces 308 nm radiation can be employed.
  • a KrF laser that produces 248 nm radiation, or a ArF laser that produces 193 nm radiation can be employed in the present invention.
  • Excimer lasers can operate at several hundred pulses per second with pulse energies up to a Joule (J) resulting in several hundred Watt (W) output.
  • the laser employed in treating the as deposited dielectric film 12 preferably operates under a pulse mode.
  • the laser beam can be expanded to expose the entire sample. Alternatively, and for larger samples, the laser exposure area can be raster scanned across the sample to provide uniform dose.
  • the fluence is limited to less than 5 mJ/cm 2 per pulse to ensure ablation will not occur.
  • the short pulse duration of about 10 ns for the excimer laser can cause material ablation at fluence levels greater than 20 mJ/cm 2 .
  • laser fluence levels of 0.1-5 mJ/cm 2 per pulse are employed.
  • the total dose can vary from 1 to 10000 Joules/m 2 , preferably 500-2000 J/cm 2 .
  • a dose of 1000 J/cm 2 can be obtained using a fluence of 1 mJ/cm 2 for a duration of 10 6 pulses.
  • Excimer laser normally operates at a few hundreds pulses per second.
  • the overall exposure time period for the DUV laser treatment for a several seconds to hours.
  • a typical 500 J/cm 2 dose is achieved in less than 15 min using a 200 Hz laser operating at a fluence level of 3 mJ/cm 2 per pulse.
  • the SiCOH dielectric film is also characterized as having between about 5 and about 40 atomic percent of Si; between about 5 and about 45 atomic percent of C; between 0 and about 50 atomic percent of O; and between about 10 and about 55 atomic percent of H.
  • the compositional ranges of the atoms in the final film may be slightly below that of the as deposited film 12 .
  • the treated SiCOH dielectric film 14 also has other characteristics, e.g., a tri-bonded network, crack velocity in water (on the order of less than 10-12 meters per second), thermal stability above 350° C., etc, that are similar to the as deposited dielectric film 12 .
  • the treated SiCOH dielectric film of the present invention has a uniform atomic and structural composition throughout the entire film in both vertical and horizontal directions.
  • the inventive film, i.e., the treated SiCOH dielectric film 14 is more stable than conventional SiCOH films that are formed from two precursors.
  • the SiCOH dielectric film 14 has a controlled porosity having molecular scale voids (i.e., nanometer-sized pores) of between about 0.5 to about 20 nanometers in diameter, and preferably from about 0.5 to about 5 nm in diameter.
  • molecular scale voids occupy a volume of between about 5% and about 60%.
  • the dielectric material of this invention contains molecular scale voids that are characterized by a pore size distribution and said size distribution has a maximum (in the distribution) between, 0.7 and 3 nm, and preferably between, 0.7 and 2.5 nm.
  • inventive SiCOH dielectric film 14 has improved mechanical properties at the same values of dielectric constant.
  • a low film stress on the order of about 15-26 MPa
  • a low crack velocity on the order of less than 1E-10 m/sec
  • a modulus from about 3 to about 3.8 GPa
  • a hardness from about 0.2 to about 0.24 GPa.
  • Table 1 shows physical properties for other SiCOH dielectric films having the thickness mentioned in the table.
  • the SiCOH dielectric film 14 of the present invention may be used as the interlevel and/or intralevel dielectric, a capping layer, and/or as a hard mask/polish-stop layer in electronic structures.
  • the electronic structure of the present invention includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material, the second layer of insulating material being in intimate contact with the first layer of insulating material, the first region of conductor being in electrical communication with the first region of metal, and a second region of conductor being in electrical communication with the first region of conductor and being embedded in a third layer of insulating material, the third layer of insulating material being in intimate contact with the second layer of insulating material.
  • each of the insulating layers can comprise the inventive SiCOH dielectric film 14 .
  • the electronic structure may further include a dielectric cap layer situated in-between the first layer of insulating material and the second layer of insulating material, and may further include a dielectric cap layer situated in-between the second layer of insulating material and the third layer of insulating material.
  • the electronic structure may further include a first dielectric cap layer between the second layer of insulating material and the third layer of insulating material, and a second dielectric cap layer on top of the third layer of insulating material.
  • the dielectric cap material can be selected from silicon oxide, silicon nitride, silicon oxynitride, silicon carbon nitride (SiCN), refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-oxide, carbon doped oxides and their hydrogenated or nitrided compounds.
  • the dielectric cap itself can comprise the inventive treated SiCOH dielectric material.
  • the first and the second dielectric cap layers may be selected from the same group of dielectric materials.
  • the first layer of insulating material may be silicon oxide or silicon nitride or doped varieties of these materials, such as PSG or BPSG.
  • the electronic structure may farther include a diffusion barrier layer of a dielectric material deposited on at least one of the second and third layer of insulating material.
  • the electronic structure may further include a dielectric layer on top of the second layer of insulating material for use as a RIE hard mask/polish-stop layer and a dielectric diffusion barrier layer on top of the dielectric RIE hard mask/polish-stop layer.
  • the electronic structure may further include a first dielectric RIB hard mask/polish-stop layer on top of the second layer of insulating material, a first dielectric RIB diffusion barrier layer on top of the first dielectric polish-stop layer a second dielectric RIE hard mask/polish-stop layer on top of the third layer of insulating material, and a second dielectric diffusion barrier layer on top of the second dielectric polish-stop layer.
  • the dielectric RIE hard mask/polish-stop layer may be comprised of the inventive SiCOH dielectric material 14 as well.
  • FIGS. 2-5 The electronic devices which can contain the inventive treated SiCOH dielectric film are shown in FIGS. 2-5 . It should be noted that the devices shown in FIGS. 2-5 are merely illustrative examples of the present invention, while an infinite number of other devices may also be formed by the present invention novel methods.
  • an electronic device 30 built on a semiconductor substrate 32 is shown.
  • an insulating material layer 34 is first formed with a first region of metal 36 embedded therein.
  • a treated SiCOH dielectric film 38 of the present invention is formed on top of the first layer of insulating material 34 and the first region of metal 36 .
  • the first layer of insulating material 34 may be suitably formed of silicon oxide, silicon nitride, doped varieties of these materials, or any other suitable insulating materials.
  • the treated SiCOH dielectric film 38 is then patterned in a photolithography process followed by etching and a conductor layer 40 is deposited thereon.
  • a second layer of the inventive treated SiCOH film 44 is deposited by a plasma enhanced chemical vapor deposition process overlying the first treated SiCOH dielectric film 38 and the first conductor layer 40 .
  • the conductor layer 40 may be a deposit of a metallic material or a nonmetallic conductive material. For instance, a metallic material of aluminum or copper, or a nonmetallic material of nitride or polysilicon.
  • the first conductor 40 is in electrical communication with the first region of metal 36 .
  • a second region of conductor 50 is then formed after a photolithographic process on the treated SiCOH dielectric film 44 , followed by etching and then a deposition process for the second conductor material.
  • the second region of conductor 50 may also be a deposit of either a metallic material or a nonmetallic material, similar to that used in depositing the first conductor layer 40 .
  • the second region of conductor 50 is in electrical communication with the first region of conductor 40 and is embedded in the second layer of the treated SiCOH dielectric film 44 .
  • the second layer of the treated SiCOH dielectric film 44 is in intimate contact with the first layer of the treated SiCOH dielectric material 38 .
  • the first layer of the treated SiCOH dielectric film 38 is an intralevel dielectric material
  • the second layer of the treated SiCOH dielectric film 44 is both an intralevel and an interlevel dielectric.
  • FIG. 3 shows a present invention electronic device 60 similar to that of electronic device 30 shown in FIG. 2 , but with an additional dielectric cap layer 62 deposited between the first insulating material layer 38 and the second insulating material layer 44 .
  • the dielectric cap layer 62 can be suitably formed of a material such as silicon oxide, silicon nitride, silicon oxynitride, refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-nitride (SiCN), silicon carbo-oxide (SiCO), and their hydrogenated compounds.
  • the additional dielectric cap layer 62 functions as a diffusion barrier layer for preventing diffusion of the first conductor layer 40 into the second insulating material layer 44 or into the lower layers, especially into layers 34 and 32 .
  • FIG. 4 Another alternate embodiment of the present invention electronic device 70 is shown in FIG. 4 .
  • two additional dielectric cap layers 72 and 74 which act as a RIE mask and CMP (chemical mechanical polishing) polish stop layer are used.
  • the first dielectric cap layer 72 is deposited on top of the first treated SiCOH dielectric material 38 and used as a RIE mask and CMP stop, so the first conductor layer 40 and layer 72 are approximately co-planar after CMP.
  • the function of the second dielectric layer 74 is similar to layer 72 , however layer 74 is utilized in planarizing the second conductor layer 50 .
  • the polish stop layer 74 can be a deposit of a suitable dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-oxide (SiCO), and their hydrogenated compounds.
  • a preferred polish stop layer composition is SiCH or SiCOH for layers 72 or 74 , When layer 72 is comprised of SiCOH, it is preferred that the inventive treated SiCOH film be employed.
  • a second dielectric layer 74 can be added on top of the second treated SiCOH dielectric film 44 for the same purposes.
  • FIG. 5 Still another alternate embodiment of the present invention electronic device 80 is shown in FIG. 5 .
  • an additional layer 82 of dielectric material is deposited and thus dividing the second insulating material layer 44 into two separate layers 84 and 86 .
  • the intralevel and interlevel dielectric layer 44 formed of the inventive treated SiCOH dielectric film, shown in FIG. 2 is therefore divided into an interlayer dielectric layer 84 and an intralevel dielectric layer 86 at the boundary between via 92 and interconnect 94 .
  • An additional diffusion barrier layer 96 is further deposited on top of the upper dielectric layer 74 .
  • the additional benefit provided by this alternate embodiment electronic structure 80 is that dielectric layer 82 acts as an RIE etch stop providing superior interconnect depth control.
  • the composition of layer 82 is selected to provide etch selectivity with respect to layer 86 .
  • Still other alternate embodiments may include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate which has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of the insulating material wherein the second layer of insulating material is in intimate contact with the first layer of insulating material, and the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, wherein the third layer of insulating material is in intimate contact with the second layer of insulating material, a first dielectric cap layer between the second layer of insulating material and the third layer of insulating material and a second dielectric cap layer on top of the third layer of insulating material, wherein the first and the second dielectric cap layers are formed of a material that includes the inventive SiCOH dielectric film
  • Still other alternate embodiments of the present invention include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor that is in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, and a diffusion barrier layer comprise the SiCOH film of the present invention formed on at least one of the second and third layers of insulating material.
  • Still other alternate embodiments include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, a reactive ion etching (RIE) hard mask/polish stop layer on top of the second layer of insulating material, and a diffusion barrier layer on top of the RIE hard mask/polish stop layer, wherein the RIE hard mask/polish stop layer and the diffusion barrier layer comprise the SiCOH dielectric film of the present invention.
  • RIE reactive
  • Still other alternate embodiments include an electronic structure which has layers of insulating materials as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, a first RIE hard mask, polish stop layer on top of the second layer of insulating material, a first diffusion barrier layer on top of the first RIE hard mask/polish stop layer, a second RIE hard mask/polish stop layer on top of the third layer of insulating material, and a second diffusion barrier layer on top of the second RIE hard mask/polish
  • Still other alternate embodiments of the present invention includes an electronic structure that has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure similar to that described immediately above but further includes a dielectric cap layer which comprises the treated SiCOH dielectric material of the present invention situated between an interlevel dielectric layer and an intralevel dielectric layer.

Abstract

A method for fabricating a SiCOH dielectric material comprising Si, C, O and H atoms from a single organosilicon precursor with a built-in organic porogen is provided. The single organosilicon precursor with a built-in organic porogen is selected from silane (SiH4) derivatives having the molecular formula SiRR1R2R3, disiloxane derivatives having the molecular formula R4R5R6—Si—O—Si—R7R8R9, and trisiloxane derivatives having the molecular formula R10R11R12—Si—O—Si—R13R14—O—Si—R15R16R17 where R and R1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents. In addition to the method, the present application also provides SiCOH dielectrics made from the inventive method as well as electronic structures that contain the same.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a divisional of U.S. patent application Ser. No. 10/964,254, filed Oct. 13, 2004. The present application is related to co-assigned U.S. Pat. Nos. 6,147,009, 6,312,793, 6,441,491, 6,437,443, 6,541,398, 6,479,110 B2 and 6,497,963, the entire contents of each of the aforementioned U.S. patents are incorporated herein by reference. The present application is also related to the following and co-assigned U.S. patent application Ser. No. 10/174,749, filed Jun. 19, 2002, now U.S. Pat. No. 6,768,200, Ser. No. 10/340,000, filed Jan. 23, 2003, now U.S. Pat. No. 6,770,573, Ser. No. 10/390,801, filed Mar. 18, 2003, now U.S. Pat. No. 7,288,292, and Ser. No. 10/758,724, filed Jan. 16, 2004, now U.S. Pat. No. 7,030,468. The contents of each of the aforementioned U.S. patent applications are also incorporated herein by reference in their entirety as well.
FIELD OF THE INVENTION
The present invention generally relates to a method of fabricating a dielectric material that has an ultralow dielectric constant (or ultralow k) using a plasma enhanced chemical vapor deposition (PECVD) process in which a single organosilicon precursor containing a built-in organic porogen is employed as well as a method of fabricating electronic devices containing such a dielectric material. The use of a single precursor in a PECVD process enables easier control of the process, better control of film thickness and compositional uniformity and simplifies the manufacturing process. Moreover, the deposition of a dielectric film from a single precursor enables better control of the final porosity in the film and a narrower pore size distribution resulting in better mechanical properties at the same value of dielectric constant.
More particularly, the present invention relates to a method of fabricating a thermally stable ultralow k dielectric film for use as an intralevel or interlevel dielectric in an ultra large scale integration (ULSI) back-end-of-the-line (BEOL) wiring structure and an electronic structure formed by such a method.
BACKGROUND OF THE INVENTION
The continuous shrinking in dimensions of electronic devices utilized in ULSI circuits in recent years has resulted in increasing the resistance of the BEOL metallization as well as increasing the capacitance of the intralayer and interlayer dielectric. This combined effect increases signal delays in ULSI electronic devices. In order to improve the switching performance of future ULSI circuits, low dielectric constant (k) insulators and particularly those with k significantly lower than silicon oxide are needed to reduce the capacitances. Dielectric materials (i.e., dielectrics) that have low k values are commercially available. One such commercially available material, for example, is polytetrafluoroethylene (“PTFE”), which has a dielectric constant of about 2.0. Most commercially available dielectric materials however are not thermally stable when exposed to temperatures above 300° C. Integration of low k dielectrics in present ULSI chips requires a thermal stability of at least 400° C.
The low k materials that have been considered for applications in ULSI devices include polymers containing elements of Si, C, O and H, such as methylsiloxane, methylsilsesquioxanes, and other organic and inorganic polymers. For instance, an article by N. Hacker et al. “Properties of new low dielectric constant spin-on silicon oxide based dielectrics” Mat. Res. Soc. Symp. Proc. 476 (1997); 25 describes materials that appear to satisfy the thermal stability requirement, even though some of these materials propagate cracks easily when reaching thicknesses needed for integration in an interconnect structure when films are prepared by a spin-on technique. Furthermore, these prior art precursor materials are high cost and prohibitive for use in mass production. Moreover, most of the fabrication steps of very large scale integration (“VLSI”) and ULSI chips are carried out by plasma enhanced chemical or physical vapor deposition techniques.
The ability to fabricate a low k material by a plasma enhanced chemical vapor deposition (PECVD) technique using previously installed and available processing equipment will thus simplify its integration in the manufacturing process, reduce manufacturing cost, and create less hazardous waste. U.S. Pat. Nos. 6,147,009 and 6,497,963 describe a low dielectric constant material consisting of elements of Si, C, O and H atoms having a dielectric constant of not more than 3.6 and which exhibits very low crack propagation velocities.
U.S. Pat. Nos. 6,312,793, 6,441,491, 6,541,398 and 6,479,110 B2 describe a multiphase low k dielectric material that consists of a matrix phase composed of elements of Si, C, O and H and another phase composed mainly of C and H. The dielectric materials disclosed in the foregoing patents have a dielectric constant of not more than 3.2.
U.S. Pat. No. 6,437,443 describes a low k dielectric material that has two or more phases wherein the first phase is formed of a SiCOH material. The low k dielectric material is provided by reacting a first precursor gas containing atoms of Si, C, O, and H and at least a second precursor gas containing mainly atoms of C, H, and optionally F, N and O in a plasma enhanced chemical vapor deposition chamber.
Despite the numerous disclosures of low k dielectric materials, there is a continued need to improve the PECVD process in order to improve the properties of the final SiCOH dielectric material. For example, a SiCOH dielectric material having a lower internal stress, improved thermal stability, lower cost and better process control within processing temperatures used in current ULSI technologies are all needed.
It is commonly found that SiCOH dielectric materials made in the prior art from two or more separate organosilicon and/or porogen precursors are not uniform in atomic and structural composition, both when measured across the substrate diameter, and through the depth of the layer. The use of 300 mm Si wafers has made this problem of chemical uniformity across the wafer more pronounced.
It is also commonly found that SiCOH dielectric materials made from two or more separate organosilicon and/or porogen precursors exhibit process variation or process instability due to small changes in the flow rate of one of the two precursors, known as drift in the flow rate.
In view of the above, there is a need to provide a process to fabricate a layer of a SiCOH dielectric material having improved film properties, that is uniform in atomic and structural composition, both when measured across the substrate diameter, and through the depth of the layer, which does not exhibit any variation in the process or process instability.
SUMMARY OF THE INVENTION
The present invention provides a method for fabricating a dielectric material having a dielectric constant of not more than about 2.7 from a single organosilicon precursor with a built-in organic porogen. More preferably, the dielectric constant of the ultralow k material of the present invention is from about 1.5 to about 2.6, and most preferably, the dielectric constant is from about 1.8 to 2.5. All dielectric constants mentioned in the present application are relative to a vacuum unless otherwise specified.
The present invention also provides a method for fabricating a SiCOH dielectric material comprising Si, C, O and H atoms from a single organosilicon precursor with a built-in organic porogen. The single organosilicon precursor with a built-in organic porogen is selected from silane (SiH4) derivatives having the molecular formula SiRR1R2R3, disiloxane derivatives having the molecular formula R4R5R6Si—O—Si—R7R8R9, and trisiloxane derivatives having the molecular formula R10R11R12—Si—O—Si—R13R14—O—Si—R15R16R17 where R and R1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents.
Specifically, the present invention provides a PECVD process for fabricating a layer of a SiCOH dielectric material having improved film properties, that is uniform in atomic and structural composition, both when measured across the substrate diameter, and through the depth of the layer, which does not exhibit variation in the process or process instability.
By “uniform in atomic composition”, it is meant that the dielectric material has a substantially constant atom distributed throughout the film in both the vertical and horizontal direction. By “uniform in structural composition”, it is meant a substantially constant arrangement of atoms within the film in both the vertical and horizontal directions.
The use of a single organosilicon precursor in a PECVD process enables easier control of the process, better control of film thickness and compositional uniformity and simplifies the manufacturing process. Furthermore, the deposition of a film from a single organosilicon precursor enables better control of the final porosity in the film and a narrower pore size distribution, potentially resulting in better mechanical properties at the same values of dielectric constant. Furthermore, the deposition of a film from a single organosilicon precursor enables better control of the mechanical properties of the final SiCOH dielectric because the bonding in the final film is closely related to the bonding in the single organosilicon precursor with a built-in organic porogen.
In broad terms, the method (or process) of the present invention comprises the steps of:
  • positioning a substrate in a PECVD reactor;
  • providing a single organosilicon precursor with a built-in organic porogen into said PECVD reactor, said single organosilicon precursor with a built-in organic porogen comprising a silane derivative having the molecular formula SiRR1R2R3, a disiloxane derivative having the molecular formula R4R5R6—Si—O—Si—R7R8R9, or a trisiloxane derivative having the molecular formula R10R11R12Si—O—Si—R13R14—O—Si—R15R16R17 where R and R1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents;
  • forming an as-deposited film from said single organsilicon precursor, said as deposited film comprising a SiCOH matrix component and an organic porogen component; and
  • performing a treatment step that substantially removes said organic porogen component from said as deposited film thereby providing a SiCOH dielectric material having a dielectric constant of about 2.7 or lower.
In addition to the method described above, the present invention also provides a SiCOH dielectric film which is prepared using the method described above. Specifically, the dielectric film of the present invention comprises a dielectric material comprising atoms of Si, C, O and H, said dielectric material having a covalently bonded tri-dimensional network structure, a dielectric constant of not more than 2.7, a controlled porosity having molecular scale voids from about 0.5 to about 20 nanometers in diameter, and preferably from about 0.5 to about 5 nm in diameter. According to the invention, the molecular scale voids occupy a volume of between about 5% and about 60%. Also according to the invention, the dielectric material of this invention contains molecular scale voids that are characterized by a pore size distribution and said size distribution has a maximum (in the distribution) between 0.7 and 3 nm, and preferably between 0.7 and 2.5 nm.
The present invention also relates to electronic structures that include at least one insulating material that comprises the SiCOH dielectric film of the present invention. The at least one dielectric film comprising the inventive SiCOH dielectric may comprise an interlevel and/or intralevel dielectric layer, a capping layer, and/or a hard mask/polish-stop layer in an electronic structure.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A-1B are pictorial representations (through cross sectional views) illustrating the basic processing steps of the present invention.
FIG. 2 is an enlarged, cross-sectional view of an electronic device of the present invention that includes the inventive SiCOH dielectric film as both the intralevel dielectric layer and the interlevel dielectric layer.
FIG. 3 is an enlarged, cross-sectional view of the electronic structure of FIG. 2 having an additional diffusion barrier dielectric cap layer deposited on top of the inventive SiCOH dielectric film.
FIG. 4 is an enlarged, cross-sectional view of the electronic structure of FIG. 3 having an additional RIE hard mask/polish-stop dielectric cap layer and a dielectric cap diffusion barrier layer deposited on top of the polish-stop layer.
FIG. 5 is an enlarged, cross-sectional view of the electronic structure of FIG. 4 having additional RIE hard mask/polish-stop dielectric layers deposited on top of the SiCOH dielectric film of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
The present invention, which describes a method of fabricating a SiCOH dielectric material using a single organosilicon precursor containing a built-in organic porogen, a SiCOH dielectric film formed by the inventive method and electronic structures containing the same, will now be described in greater detail.
In accordance with the method of the present invention, an as deposited dielectric film 12 is formed on a surface of a substrate 10 such as shown, for example, in FIG. 1A. The term “substrate” when used in conjunction with substrate 10 includes, a semiconducting material, an insulating material, a conductive material or any combination thereof, including multilayered structures. Thus, for example, substrate 10 can be a semiconducting material such as Si, SiGe, SiGeC, SiC, GaAs, InAs, InP and other III/V or II/VI compound semiconductors. The semiconductor substrate 10 can also include a layered substrate such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs). When substrate 10 is an insulating material, the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers. When the substrate 10 is a conductive material, the substrate 10 may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride and combinations thereof, including multilayers.
In some embodiments, the substrate 10 includes a combination of a semiconducting material and an insulating material, a combination of a semiconducting material and a conductive material or a combination of a semiconducting material, an insulating material and a conductive material. An example of a substrate that includes a combination of the above is an interconnect structure.
The as deposited dielectric film 12 is formed in the present invention utilizing a plasma enhanced chemical vapor deposition (PECVD) reactor in which a single organosilicon precursor containing a built-in organic porogen is employed. The thickness of the as deposited dielectric film 12 may vary; typical ranges for the deposited dielectric film 12 are from about 50 nm to about 1 μm, with a thickness from 100 to about 500 nm being more typical.
Typically, the dielectric film 12 is deposited using the processing techniques disclosed in co-assigned U.S. Pat. Nos. 6,147,009, 6,312,793, 6,441,491, 6,437,443, 6,441,491, 6,541,398, 6,479,110 B2, and 6,497,963, the contents of which are incorporated herein by reference, with the exception that a single organosilicon precursor containing a built-in organic porogen (to be described in greater detail herein below) is employed.
Specifically, the as deposited dielectric film 12 is formed by providing the single organosilicon precursor containing a build-in organic porogen and an optional inert carrier such as He, Ne, or Ar, into a reactor, preferably the reactor is a PECVD reactor, and then depositing a film derived from said precursor onto a suitable substrate utilizing conditions that are effective in forming a dielectric material. The substrate is positioned within the PECVD reactor on top of a substrate holder. The present invention yet further provides for mixing the precursor with an oxidizing agent such as O2, CO2 or a combination thereof, thereby stabilizing the reactants in the reactor and improving the uniformity of the dielectric film 12 deposited on the substrate 10.
The single organosilicon precursor with a built-in organic porogen is selected from silane (SiH4) derivatives having the molecular formula SiRR1R2R3, disiloxane derivatives having the molecular formula R4R5R6—Si—O—Si—R7R8R9, and trisiloxane derivatives having the molecular formula R10R11R12Si—O—SiR13R14—O—Si—R15R16R17 where R and R1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents.
Preferred single organosilicon precursor with a built-in organic porogen include, but are not limited to: allylazadimethoxysilacyclopentane, allylaminopropyltrimethoxysilane, allyldimethoxysilane, allyldimethylsilane, allyloxy-t-butyldimethylsilane, allyloxytrimethylsilane, allyltetramethyldisiloxane, allyltriethoxysilane, allyltrimethoxysilane, bicycloheptenylethyltrimethoxysilane, bicycloheptenyltriethoxysilane, bisallyloxymethyltrimethylsiloxybutane, bisbicycloheptenylethyltetramethyldisiloxane, bisepoxycyclohexylethyltetramethyldisiloxane, bistrimethylsiloxycyclobutene, bis(trimethoxysilyl)ethane, bis(trimethoxysilyl)decane, bis(trimethoxysilyl)hexane, butenyltriethoxysilane, butenyltrimethylsilane, (t-butyldimethylsiloxy)butyne, cyclohexenylethyltriethoxysilane, cyclohexenyltrimethoxysilane, cyclohexyltrimethoxysilane, cyclopentadienylpropyltriethoxysilane, cyclopenenyloxytrimethylsilane, cyclopentyltrimethoxysilane, diallyltetramethyldisiloxane, diethoxysilacyclopentene, diethyldiethoxysilane, dimethyldiethoxysilane, dimethyldimethoxysilane, dimethylbutylideneaminopropyltriethoxysilane, dimethylsilaoxacyclohexane, divinyldiphenyldimethyldisiloxane, divinyldiphenyltetramethyldisiloxane, divinyltetraphenyldisiloxane, 2-(3,4-epoxycyclohexyl)ethyltriethoxysilane, 2-(3,4-epoxycyclohexyl)ethyltrimethoxysilane, 5,6-epoxyhexyltriethoxysilane, propargyloxytrimethylsilane, divinyltetramethyldisiloxane, divinyldimethylsilane, hexavinyldisiloxane, hexaphenyldisiloxane, di-tert-butyldimethoxysilane, hexamethyltrisiloxane, hexamethylcyclotrisiloxane, methyltriethoxysilane, methyltrimethoxysilane, octeyltrimethoxysilane, octenyldimethylsilane, octyltrimethoxysilane, propenyltrimethylsilane, tetraallylsilane, tetramethyldisiloxane, tetravinyldimethyldisiloxane, tetravinylsilane, trimethylsilylcyclopentene,
trivinylcyclotrisiloxane, trivinyltrisiloxane, trivinyltrimethylcyclotrisiloxane, trivinylpentamethyltrisiloxane, glycidoxypropyltrimethoxysilane, glycidoxypropylmethyldiethoxysilane, tetraethoxydimethyldisiloxane, tetraisopropyldisiloxane, trivinylmethoxysilane, trivinylethoxysilane, trivinylsilane, vinyldimethylethoxysilane, vinylmethyldiethoxysilane, vinylmethyldimethoxysilane, vinylmethylethoxysilane, vinylmethyldiethoxysilane, vinylmethyldimethoxysilane, vinylpentamethyldisiloxane, vinyltetramethyldisiloxane, vinyltri-t-butoxysilane, vinyltriethoxysilane, vinyltriisopropenoxysilane, vinyltriisopropoxysilane, vinyltrimethoxysilane ethoxytrimethylsilane, ethoxydimethylsilane, dimethoxydimethylsilane, dimethoxymethylsilane, triethoxysilane, and trimethoxymethylsilane.
In a preferred embodiment, the organosilicon precursor with a built-in organic porogen is vinylmethyldiethoxysilane, vinyltriethoxysilane, vinyldimethylethoxysilane, cyclohexenylethyltriethoxysilane, 1,1-diethoxy-1-silacyclopent-3-ene, divinyltetramethyldisiloxane, 2-(3,4-epoxycyclohexyl)ethyltriethoxysilane, 2-(3,4-epoxycyclohexyl)ethyltrimethoxysilane, epoxyhexyltriethoxysilane, hexavinyldisiloxane, trivinylmethoxysilane, trivinylethoxysilane, vinylmethylethoxysilane, vinylmethyldiethoxysilane, vinylmethyldimethoxysilane, vinylpentamethyldisiloxane, vinyltetramethyldisiloxane, vinyltriethoxysilane, or vinyltrimethoxysilane.
The as deposited dielectric film 12 may be deposited using a method the includes the step of providing a parallel plate reactor, which has a conductive area of a substrate chuck between about 85 cm2 and about 750 cm2, and a gap between the substrate and a top electrode between about 1 cm and about 12 cm. A high frequency RF power is applied to one of the electrodes at a frequency between about 0.45 MHz and about 200 MHz. Optionally, an additional low frequency power can be applied to one of the electrodes.
The conditions used for the deposition step may vary depending on the desired final dielectric constant of the dielectric film. Broadly, the conditions used for providing a stable dielectric material comprising elements of Si, C, O, H that has a dielectric constant of about 2.7 or less include: setting the substrate temperature at between about 200° C. and about 425° C.; setting the high frequency RF power density at between about 0.1 W/cm2 and about 2.5 W/cm2; setting the single organosilicon precursor flow rate at between about 100 mg/min and about 5000 mg/min, optionally setting the inert carrier gases such as helium (and/or argon) flow rate at between about 50 sccm to about 5000 sccm; and setting the reactor pressure at a pressure between about 1000 mTorr and about 10000 mTorr. Optionally, a low frequency power may be added to the plasma between about 30 W and about 400 W. Note that the RF power may be replaced with another energy source which is also capable of dissociating the precursor. For organosilicon gas precursors, the flow rate is between about 20 sccm to about 3000 sccm with the same reactor pressure between about 1000 mTorr and about 10000 mTorr.
When an oxidizing agent is employed in the present invention, it is flown into the PECVD reactor at a flow rate between about 10 sccm to about 1000 sccm.
While a parallel plate PECVD reactor is specifically mentioned above, the as deposited dielectric film 12 can be deposited from a high density plasma reactor.
The dielectric film 12 formed at this point of the present invention is comprised of two components. The first component is a SiCOH matrix and the second component is the organic porogen. The organic porogen component is interconnected with the SiCOH matrix. The SiCOH matrix is comprised of a hydrogenated oxidized silicon carbon material (SiCOH) comprising atoms of Si, C, O and H in a covalently bonded tri-dimensional network and having a dielectric constant of not more than about 2.8. The tri-bonded network may include a covalently bonded tri-dimensional ring structure comprising Si—O, Si—C, Si—H, C—H and C—C bonds. More preferably, the dielectric constant of the as deposited film 12 is from about 1.5 to about 2.6, and most preferably from about 1.8 to about 2.5.
The SiCOH matrix of the as deposited dielectric film 12 comprises between about 5 and about 40 atomic percent of Si; between about 5 and about 45 atomic percent of C; between 0 and about 50 atomic percent of 0; and between about 10 and about 55 atomic percent of H.
After forming the as deposited dielectric film 12 on the substrate 10, the structure shown, for example, in FIG. 1A is subjected to a treatment step that is capable of substantially removing the organic porogen component from the as deposited film 12 thereby forming a SiCOH dielectric material 14 having a dielectric constant that is not greater than 2.7, preferably from about 1.5 to about 2.6, and more preferably from about 1.8 to about 2.5. The structure formed after this treatment step has been performed is shown, for example, in FIG. 13. Note that the dielectric constant of the SiCOH dielectric material 14 after this treatment step is slightly less than the original dielectric constant of the as deposited dielectric film 12.
The treatment step described herein can be implemented after a single layer deposition or after several deposition steps (multi layer deposition).
The treatment step performed at this point of the present invention requires sufficient energy to break the organic porogen component present in the as deposited dielectric film 12 from the SiCOH matrix and to remove the porogen from the final film 14. The energy source used for the dissociation may be thermal, electron beam, plasma or optical radiation such as UV or laser. Combinations of the aforementioned energy sources can also be used in the present invention. The removal of the organic porogen is typically associated with additional cross linking of the film.
The thermal energy source includes any source such as, for example, a heating element or a lamp, that can heat the deposited dielectric material to a temperature up to 450° C. More preferably, the thermal energy source is capable of heating the deposited dielectric material to a temperature from about 200° to about 450° C., with a temperature from about 350° C. to about 425° C. being even more preferred. This thermal treatment process can be carried out for various time periods, with a time period from about 0.5 minutes to about 300 minutes being typical. The thermal treatment step is typically performed in the presence of an inert gas such as He, Ar, Ne, Xe, N2 or a mixture thereof. The thermal treatment step may be referred to as an anneal step in which rapid thermal anneal, furnace anneal, laser anneal or spike anneal conditions are employed.
In some embodiments, the thermal treatment step can be performed in the presence of a gas mixture containing a hydrogen source gas such as, for example, H2 or a hydrocarbon. In yet other embodiments, the thermal treatment step can be performed in the presence of a gas mixture containing a very low partial pressure of O2 and H2O, in the range below 1000 parts per million. Curing in an O2 and H2O ambient is one option to improve the low k film mechanical properties, but it will increase the k value.
The UV light treatment step is performed utilizing a source that can generate light having a wavelength from about 500 to about 150 nm, to irradiate the substrate while the wafer temperature is maintained at up to 450° C., with temperatures from 200° C.-450° C. being preferred and a temperature from 350° C. to 425° C. being even more highly preferred. Radiation with >370 nm is of insufficient energy to dissociate or activate important bonds, so the wavelength range 150-370 nm is a preferred range. Using literature data and absorbance spectra measured on as deposited films, the inventors have found that <170 nm radiation may not be favored due to degradation of the SiCOH film. Further, the energy range 310-370 nm is less useful than the range 150-310 nm, due to the relatively low energy per photon from 310-370 nm. Within the 150-310 nm range, optimum overlap with the absorbance spectrum of the as deposited film and minimum degradation of the film properties (such as hydrophobicity) may be optionally used to select a most effective region of the UV spectrum for changing the SiCOH properties.
The UV light treatment step may be performed in an inert gas, a hydrogen source gas or a gas mixture of O2 and H2O using the partial pressure range mentioned above.
The electron beam treatment step is performed utilizing a source that is capable of generating a uniform electron flux over the wafer, with energies from 0.5 to 25 keV and current densities from 0.1 to 100 microAmp/cm2 (preferably 1 to 5 microAmp/cm2), while the wafer temperature is maintained at a temperature up to 450° C., with temperatures from 200°-450° C. being preferred, and temperature from 350° to 425° being even more highly preferred. The preferred dose of electrons used in the electron beam treatment step is from 50 to 500 microcoulombs/cm2, with 100 to 300 microcoulombs/cm2 range being preferred.
The electron beam treatment step may be performed in an inert gas, a hydrogen source gas or a gas mixture of O2 and H2O using the partial pressure range mentioned above.
The plasma treatment step is performed utilizing a source that is capable of generating atomic hydrogen (H), and optionally CH3 or other hydrocarbon radicals. Downstream plasma sources are preferred over direct plasma exposure. During plasma treatment the wafer temperature is maintained at a temperature up to 450° C., with temperatures from 200° C.-450° C. being preferred and temperatures from 350° C. to 425° C. being more highly preferred.
The plasma treatment step is performed by introducing a gas into a reactor that can generate a plasma and thereafter it is converted into a plasma. The gas that can be used for the plasma treatment includes inert gases such as Ar, N, He, Xe or Kr, with He being preferred; hydrogen or related sources of atomic hydrogen, methane, methylsilane, related sources of CH3 groups, and mixtures thereof. The flow rate of the plasma treatment gas may vary depending on the reactor system being used. The chamber pressure can range anywhere from 0.05 to 20 torr, but the preferred range of pressure operation is 1 to 10 torr. The plasma treatment step occurs for a period of time, which is typically from about ½ to about 10 minutes, although longer times may be used within the invention.
An RF or microwave power source is typically used to generate the above plasma. The RF power source may operate at either a high frequency range (on the order of about 100 W or greater); a low frequency range (less than 250 W) or a combination thereof may be employed. The high frequency power density can range anywhere from 0.1 to 2.0 W/cm2 but the preferred range of operation is 0.2 to 1.0 W/m2. The low frequency power density can range anywhere from 0.1 to 1.0 W/cm2 but the preferred range of operation is 0.2 to 0.5 W/cm2. The chosen power levels must be low enough to avoid significant sputter etching of the exposed dielectric surface (<5 nanometers removal).
In addition to the above, a deep ultra-violet (DUV) laser source can also be employed to cause the dissociation of the porogen from the as deposited dielectric film 12. The laser source used to treat the deposited dielectric film 12 is typically an excimer laser which operates at one of several DUV wavelengths depending on the laser gas mixture. For example, a XeF laser which produces 308 nm radiation can be employed. Also, a KrF laser that produces 248 nm radiation, or a ArF laser that produces 193 nm radiation can be employed in the present invention. Excimer lasers can operate at several hundred pulses per second with pulse energies up to a Joule (J) resulting in several hundred Watt (W) output.
The laser employed in treating the as deposited dielectric film 12 preferably operates under a pulse mode. The laser beam can be expanded to expose the entire sample. Alternatively, and for larger samples, the laser exposure area can be raster scanned across the sample to provide uniform dose. Using excimer laser, the fluence is limited to less than 5 mJ/cm2 per pulse to ensure ablation will not occur. The short pulse duration of about 10 ns for the excimer laser can cause material ablation at fluence levels greater than 20 mJ/cm2. Typically, laser fluence levels of 0.1-5 mJ/cm2 per pulse are employed. The total dose can vary from 1 to 10000 Joules/m2, preferably 500-2000 J/cm2. This is achieved by multiple laser pulse exposure. For example, a dose of 1000 J/cm2 can be obtained using a fluence of 1 mJ/cm2 for a duration of 106 pulses. Excimer laser normally operates at a few hundreds pulses per second. Depending of the total dosage required, the overall exposure time period for the DUV laser treatment for a several seconds to hours. A typical 500 J/cm2 dose is achieved in less than 15 min using a 200 Hz laser operating at a fluence level of 3 mJ/cm2 per pulse.
Moreover, the SiCOH dielectric film is also characterized as having between about 5 and about 40 atomic percent of Si; between about 5 and about 45 atomic percent of C; between 0 and about 50 atomic percent of O; and between about 10 and about 55 atomic percent of H. The compositional ranges of the atoms in the final film may be slightly below that of the as deposited film 12. The treated SiCOH dielectric film 14 also has other characteristics, e.g., a tri-bonded network, crack velocity in water (on the order of less than 10-12 meters per second), thermal stability above 350° C., etc, that are similar to the as deposited dielectric film 12.
As stated above, the treated SiCOH dielectric film of the present invention has a uniform atomic and structural composition throughout the entire film in both vertical and horizontal directions. Moreover, the inventive film, i.e., the treated SiCOH dielectric film 14 is more stable than conventional SiCOH films that are formed from two precursors.
The SiCOH dielectric film 14 has a controlled porosity having molecular scale voids (i.e., nanometer-sized pores) of between about 0.5 to about 20 nanometers in diameter, and preferably from about 0.5 to about 5 nm in diameter. According to the invention, the molecular scale voids occupy a volume of between about 5% and about 60%. Also according to the invention, the dielectric material of this invention contains molecular scale voids that are characterized by a pore size distribution and said size distribution has a maximum (in the distribution) between, 0.7 and 3 nm, and preferably between, 0.7 and 2.5 nm.
In addition, the inventive SiCOH dielectric film 14 has improved mechanical properties at the same values of dielectric constant. For example, for an inventive film having a thickness of about 1-2 μm the following properties were measured: a low film stress (on the order of about 15-26 MPa), a low crack velocity (on the order of less than 1E-10 m/sec), a modulus from about 3 to about 3.8 GPa, and a hardness from about 0.2 to about 0.24 GPa.
Table 1 shows physical properties for other SiCOH dielectric films having the thickness mentioned in the table.
TABLE 1
Single Precursor SiCOH Film Evaluation
Sample Crack
Thickness Stress Velocity Modulus Hardness
(μm) (MPa) (m/sec) (GPa) (GPa) Comment
1.1-1.3 26 +/− 5 5.6E-11 3.62 +/− 0.7  0.23 +/− 0.05 k = 2.52-2.6
1.25 26 +/− 5  <1E-10 3.61 +/− 0.12 0.23 +/− 0.01 k = 2.52-2.55; top
100 nm soft
1.85 26 +/− 5  <1E-10 3.65 +/− 0.01 0.24 k = 2.52-2.55; top
100 nm soft
1.88 26 +/− 5 <10E-10 3.78 +/− 0.11 0.23 +/− 0.01 k = 2.52-2.55
0.7-1.2 17 +/− 5 9.7E-11 3.34 +/− 0.08  0.20 +/− 0.006 k = 2.6
1.4-2.6 14 +/− 4 1.2E-10  2.94 +/− 0.004  0.18 +/− 0.004 k = 2.6
no growth
The SiCOH dielectric film 14 of the present invention may be used as the interlevel and/or intralevel dielectric, a capping layer, and/or as a hard mask/polish-stop layer in electronic structures.
The electronic structure of the present invention includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material, the second layer of insulating material being in intimate contact with the first layer of insulating material, the first region of conductor being in electrical communication with the first region of metal, and a second region of conductor being in electrical communication with the first region of conductor and being embedded in a third layer of insulating material, the third layer of insulating material being in intimate contact with the second layer of insulating material.
In the above structure, each of the insulating layers can comprise the inventive SiCOH dielectric film 14.
The electronic structure may further include a dielectric cap layer situated in-between the first layer of insulating material and the second layer of insulating material, and may further include a dielectric cap layer situated in-between the second layer of insulating material and the third layer of insulating material. The electronic structure may further include a first dielectric cap layer between the second layer of insulating material and the third layer of insulating material, and a second dielectric cap layer on top of the third layer of insulating material.
The dielectric cap material can be selected from silicon oxide, silicon nitride, silicon oxynitride, silicon carbon nitride (SiCN), refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-oxide, carbon doped oxides and their hydrogenated or nitrided compounds. In some embodiments, the dielectric cap itself can comprise the inventive treated SiCOH dielectric material. The first and the second dielectric cap layers may be selected from the same group of dielectric materials. The first layer of insulating material may be silicon oxide or silicon nitride or doped varieties of these materials, such as PSG or BPSG.
The electronic structure may farther include a diffusion barrier layer of a dielectric material deposited on at least one of the second and third layer of insulating material. The electronic structure may further include a dielectric layer on top of the second layer of insulating material for use as a RIE hard mask/polish-stop layer and a dielectric diffusion barrier layer on top of the dielectric RIE hard mask/polish-stop layer. The electronic structure may further include a first dielectric RIB hard mask/polish-stop layer on top of the second layer of insulating material, a first dielectric RIB diffusion barrier layer on top of the first dielectric polish-stop layer a second dielectric RIE hard mask/polish-stop layer on top of the third layer of insulating material, and a second dielectric diffusion barrier layer on top of the second dielectric polish-stop layer. The dielectric RIE hard mask/polish-stop layer may be comprised of the inventive SiCOH dielectric material 14 as well.
The electronic devices which can contain the inventive treated SiCOH dielectric film are shown in FIGS. 2-5. It should be noted that the devices shown in FIGS. 2-5 are merely illustrative examples of the present invention, while an infinite number of other devices may also be formed by the present invention novel methods.
In FIG. 2, an electronic device 30 built on a semiconductor substrate 32 is shown. On top of the semiconductor substrate 32, an insulating material layer 34 is first formed with a first region of metal 36 embedded therein. After a CMP process is conducted on the first region of metal 36, a treated SiCOH dielectric film 38 of the present invention is formed on top of the first layer of insulating material 34 and the first region of metal 36. The first layer of insulating material 34 may be suitably formed of silicon oxide, silicon nitride, doped varieties of these materials, or any other suitable insulating materials. The treated SiCOH dielectric film 38 is then patterned in a photolithography process followed by etching and a conductor layer 40 is deposited thereon. After a CMP process on the first conductor layer 40 is carried out, a second layer of the inventive treated SiCOH film 44 is deposited by a plasma enhanced chemical vapor deposition process overlying the first treated SiCOH dielectric film 38 and the first conductor layer 40. The conductor layer 40 may be a deposit of a metallic material or a nonmetallic conductive material. For instance, a metallic material of aluminum or copper, or a nonmetallic material of nitride or polysilicon. The first conductor 40 is in electrical communication with the first region of metal 36.
A second region of conductor 50 is then formed after a photolithographic process on the treated SiCOH dielectric film 44, followed by etching and then a deposition process for the second conductor material. The second region of conductor 50 may also be a deposit of either a metallic material or a nonmetallic material, similar to that used in depositing the first conductor layer 40. The second region of conductor 50 is in electrical communication with the first region of conductor 40 and is embedded in the second layer of the treated SiCOH dielectric film 44. The second layer of the treated SiCOH dielectric film 44 is in intimate contact with the first layer of the treated SiCOH dielectric material 38. In this example, the first layer of the treated SiCOH dielectric film 38 is an intralevel dielectric material, while the second layer of the treated SiCOH dielectric film 44 is both an intralevel and an interlevel dielectric.
FIG. 3 shows a present invention electronic device 60 similar to that of electronic device 30 shown in FIG. 2, but with an additional dielectric cap layer 62 deposited between the first insulating material layer 38 and the second insulating material layer 44. The dielectric cap layer 62 can be suitably formed of a material such as silicon oxide, silicon nitride, silicon oxynitride, refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-nitride (SiCN), silicon carbo-oxide (SiCO), and their hydrogenated compounds. The additional dielectric cap layer 62 functions as a diffusion barrier layer for preventing diffusion of the first conductor layer 40 into the second insulating material layer 44 or into the lower layers, especially into layers 34 and 32.
Another alternate embodiment of the present invention electronic device 70 is shown in FIG. 4. In the electronic device 70, two additional dielectric cap layers 72 and 74 which act as a RIE mask and CMP (chemical mechanical polishing) polish stop layer are used. The first dielectric cap layer 72 is deposited on top of the first treated SiCOH dielectric material 38 and used as a RIE mask and CMP stop, so the first conductor layer 40 and layer 72 are approximately co-planar after CMP. The function of the second dielectric layer 74 is similar to layer 72, however layer 74 is utilized in planarizing the second conductor layer 50. The polish stop layer 74 can be a deposit of a suitable dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-oxide (SiCO), and their hydrogenated compounds. A preferred polish stop layer composition is SiCH or SiCOH for layers 72 or 74, When layer 72 is comprised of SiCOH, it is preferred that the inventive treated SiCOH film be employed. A second dielectric layer 74 can be added on top of the second treated SiCOH dielectric film 44 for the same purposes.
Still another alternate embodiment of the present invention electronic device 80 is shown in FIG. 5. In this alternate embodiment, an additional layer 82 of dielectric material is deposited and thus dividing the second insulating material layer 44 into two separate layers 84 and 86. The intralevel and interlevel dielectric layer 44 formed of the inventive treated SiCOH dielectric film, shown in FIG. 2, is therefore divided into an interlayer dielectric layer 84 and an intralevel dielectric layer 86 at the boundary between via 92 and interconnect 94. An additional diffusion barrier layer 96 is further deposited on top of the upper dielectric layer 74. The additional benefit provided by this alternate embodiment electronic structure 80 is that dielectric layer 82 acts as an RIE etch stop providing superior interconnect depth control. Thus, the composition of layer 82 is selected to provide etch selectivity with respect to layer 86.
Still other alternate embodiments may include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate which has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of the insulating material wherein the second layer of insulating material is in intimate contact with the first layer of insulating material, and the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, wherein the third layer of insulating material is in intimate contact with the second layer of insulating material, a first dielectric cap layer between the second layer of insulating material and the third layer of insulating material and a second dielectric cap layer on top of the third layer of insulating material, wherein the first and the second dielectric cap layers are formed of a material that includes the inventive SiCOH dielectric film.
Still other alternate embodiments of the present invention include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor that is in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, and a diffusion barrier layer comprise the SiCOH film of the present invention formed on at least one of the second and third layers of insulating material.
Still other alternate embodiments include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, a reactive ion etching (RIE) hard mask/polish stop layer on top of the second layer of insulating material, and a diffusion barrier layer on top of the RIE hard mask/polish stop layer, wherein the RIE hard mask/polish stop layer and the diffusion barrier layer comprise the SiCOH dielectric film of the present invention.
Still other alternate embodiments include an electronic structure which has layers of insulating materials as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, a first RIE hard mask, polish stop layer on top of the second layer of insulating material, a first diffusion barrier layer on top of the first RIE hard mask/polish stop layer, a second RIE hard mask/polish stop layer on top of the third layer of insulating material, and a second diffusion barrier layer on top of the second RIE hard mask/polish stop layer, wherein the RIE hard mask/polish stop layers and the diffusion barrier layers comprise the treated SiCOH dielectric film of the present invention.
Still other alternate embodiments of the present invention includes an electronic structure that has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure similar to that described immediately above but further includes a dielectric cap layer which comprises the treated SiCOH dielectric material of the present invention situated between an interlevel dielectric layer and an intralevel dielectric layer.
While the present invention has been particularly shown and described with respect to preferred embodiments thereof it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (11)

1. A dielectric film comprising a dielectric material comprising atoms of Si, C, O and H, said dielectric material having a covalently bonded tri-dimensional network structure, a dielectric constant of not more than 2.7, a crack velocity in water on the order of less than 10−10 meters per second, and a controlled porosity having molecular scale voids of between about 0.5 to about 20 nanometers in diameter, said molecular scale voids occupy a volume of between about 5% and about 60%, wherein said dielectric material has a substantially constant atom distribution throughout the film in both a vertical and horizontal direction and a substantially constant arrangement of atoms within the film in both the vertical and horizontal direction, and is derived from a single organosilane precursor with a built-in porogen and an oxidizing agent.
2. The dielectric film of claim 1 wherein the molecular scale voids are characterized by a pore size distribution and said size distribution has a maximum between 0.7 to 3 nm.
3. The dielectric film of claim 1 wherein said film comprises between about 5 and about 40 atomic percent of Si; between about 5 and about 45 atomic percent of C; between 0 and about 50 atomic percent of O; and between about 10 and about 55 atomic percent of H.
4. The dielectric film of claim 1 wherein said film is thermally stable above 350° C.
5. The dielectric film of claim 1 having a thickness from about 0.07 to about 2.6 μm.
6. The dielectric film of claim 1 having a thickness from about 0.11 to about 2.6 μm, a dielectric constant of about 2.0 to about 2.7, a stress of about 14-26 MPa, a crack velocity of about 5.6E-11 m/sec, a modulus of about 2.9-3.8 GPa, and a hardness of about 0.23 GPa.
7. An electronic structure comprising at least a dielectric material comprising atoms of Si, C, O and H, said dielectric material having a covalently bonded tri-dimensional network structure, a dielectric constant of not more than 2.7, a crack velocity in water on the order of less than 10−10meters per second, and a controlled porosity having molecular scale voids of between about 0.5 to about 20 nanometers in diameter, said molecular scale voids occupy a volume of between about 5% to about 60%, wherein said dielectric material has a substantially constant atom distribution throughout the film in both a vertical and horizontal direction and a substantially constant arrangement of atoms within the film in both the vertical and horizontal direction, and is derived from a single organosilane precursor with a built-in porogen and an oxidizing agent.
8. The electronic structure of claim 7 wherein the molecular scale voids are characterized by a pore size distribution and said size distribution has a maximum between 0.7 and 3 nm.
9. The electronic structure of claim 7 wherein said dielectric material is an interlevel or intralevel dielectric having patterned metal features located therein.
10. The electronic structure of claim 7 wherein said dielectric material is located atop a semiconductor substrate.
11. The electronic structure of claim 7 wherein said dielectric material is one of an interlayer dielectric, a cap, a hardmask, a CMP/etch stop layers.
US12/371,180 2004-10-13 2009-02-13 Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality Expired - Fee Related US8097932B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/371,180 US8097932B2 (en) 2004-10-13 2009-02-13 Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/964,254 US7491658B2 (en) 2004-10-13 2004-10-13 Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US12/371,180 US8097932B2 (en) 2004-10-13 2009-02-13 Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/964,254 Division US7491658B2 (en) 2004-10-13 2004-10-13 Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality

Publications (2)

Publication Number Publication Date
US20090146265A1 US20090146265A1 (en) 2009-06-11
US8097932B2 true US8097932B2 (en) 2012-01-17

Family

ID=36145921

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/964,254 Expired - Fee Related US7491658B2 (en) 2004-10-13 2004-10-13 Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US12/371,180 Expired - Fee Related US8097932B2 (en) 2004-10-13 2009-02-13 Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/964,254 Expired - Fee Related US7491658B2 (en) 2004-10-13 2004-10-13 Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality

Country Status (2)

Country Link
US (2) US7491658B2 (en)
CN (1) CN100552084C (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11393679B2 (en) 2016-06-13 2022-07-19 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7968471B2 (en) * 2003-11-28 2011-06-28 Nec Corporation Porous insulating film, method for producing the same, and semiconductor device using the same
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US7674521B2 (en) 2005-07-27 2010-03-09 International Business Machines Corporation Materials containing voids with void size controlled on the nanometer scale
JP2008103586A (en) * 2006-10-20 2008-05-01 Renesas Technology Corp Method of manufacturing semiconductor device and semiconductor device
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
CN101589459A (en) * 2007-01-26 2009-11-25 应用材料股份有限公司 Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US20080188074A1 (en) * 2007-02-06 2008-08-07 I-I Chen Peeling-free porous capping material
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
KR100962044B1 (en) * 2007-12-06 2010-06-08 성균관대학교산학협력단 Plasma polymerized thin film and manufacturing method thereof
JP5317089B2 (en) * 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 Film forming method and insulating film
US7687877B2 (en) * 2008-05-06 2010-03-30 International Business Machines Corporation Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
JP4911143B2 (en) * 2008-08-15 2012-04-04 信越化学工業株式会社 High temperature resistant adhesive composition, substrate bonding method, and three-dimensional semiconductor device
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US8518530B2 (en) * 2009-05-20 2013-08-27 Industry-University Cooperation Foundation Sogang University Production method for an ultra-low-dielectric-constant film, and an ultra-low-dielectric-constant film produced thereby
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures
JP2013520030A (en) 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Deposition method of SiCOHLOW-K film
US20110206857A1 (en) * 2010-02-25 2011-08-25 Applied Materials, Inc. Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US8658050B2 (en) 2011-07-27 2014-02-25 International Business Machines Corporation Method to transfer lithographic patterns into inorganic substrates
US9328422B2 (en) * 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
CN103258734B (en) * 2013-05-16 2016-03-30 复旦大学 A kind of SiCOH thin film and preparation method thereof
KR102053350B1 (en) * 2013-06-13 2019-12-06 삼성전자주식회사 Method of Semiconductor Device Having a low-k dielectric
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
CN105720005B (en) * 2014-12-04 2019-04-26 中芯国际集成电路制造(上海)有限公司 The forming method of ultra-low K dielectric layer
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
FR3047490B1 (en) * 2016-02-09 2021-08-06 Commissariat Energie Atomique SIMPLIFIED PROCESS FOR MAKING A THIN LAYER OF POROUS SIOCH
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (en) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 Plasma enhanced deposition method for controlled formation of oxygen-containing films
CN108933088B (en) * 2017-05-25 2020-05-29 上海稷以科技有限公司 Packaging method and packaging structure
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (en) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド Dry stripping of boron carbide hardmask
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111051568A (en) * 2017-08-30 2020-04-21 弗萨姆材料美国有限责任公司 Alkoxy silicon heterocyclic or acyloxy silicon heterocyclic compound and method for depositing film using the same
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
JP7330181B2 (en) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド High-pressure steam annealing treatment equipment
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
TWI761636B (en) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 PLASMA ENHANCED ATOMIC LAYER DEPOSITION PROCESS AND METHOD OF DEPOSITING SiOC THIN FILM
JP7299898B2 (en) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド Seam repair using high pressure annealing
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US11749563B2 (en) * 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (en) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド Method for etching structures for semiconductor applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US20210407792A1 (en) * 2020-06-29 2021-12-30 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US20230386825A1 (en) * 2020-10-20 2023-11-30 Versum Materials Us, Llc Alkoxydisiloxanes and dense organosilica films made therefrom

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US20030232137A1 (en) * 2002-04-17 2003-12-18 Vrtis Raymond Nicholas Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6768200B2 (en) 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6770573B2 (en) 2000-10-25 2004-08-03 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material
US20050196974A1 (en) 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US20050230834A1 (en) * 2004-03-31 2005-10-20 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20060006140A1 (en) 2004-07-09 2006-01-12 Annamalai Lakshmanan Hermetic low dielectric constant layer for barrier applications
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20060151884A1 (en) 2002-11-28 2006-07-13 Daiji Hara Insulatng film material containing organic silane or organic siloxane compound, method for produing sane, and semiconductor device
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1643669A (en) * 2002-01-15 2005-07-20 霍尼韦尔国际公司 Organic compositions for low dielectric constant material
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6497963B1 (en) 1998-06-29 2002-12-24 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6437443B1 (en) 1999-05-26 2002-08-20 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
US6479110B2 (en) 1999-05-26 2002-11-12 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6541398B2 (en) 2000-10-25 2003-04-01 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6768200B2 (en) 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6770573B2 (en) 2000-10-25 2004-08-03 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US20030232137A1 (en) * 2002-04-17 2003-12-18 Vrtis Raymond Nicholas Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20060151884A1 (en) 2002-11-28 2006-07-13 Daiji Hara Insulatng film material containing organic silane or organic siloxane compound, method for produing sane, and semiconductor device
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050196974A1 (en) 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US20050230834A1 (en) * 2004-03-31 2005-10-20 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20060006140A1 (en) 2004-07-09 2006-01-12 Annamalai Lakshmanan Hermetic low dielectric constant layer for barrier applications

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11393679B2 (en) 2016-06-13 2022-07-19 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles

Also Published As

Publication number Publication date
US7491658B2 (en) 2009-02-17
US20090146265A1 (en) 2009-06-11
CN1782125A (en) 2006-06-07
CN100552084C (en) 2009-10-21
US20060079099A1 (en) 2006-04-13

Similar Documents

Publication Publication Date Title
US8097932B2 (en) Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7202564B2 (en) Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US7560794B2 (en) DUV laser annealing and stabilization of SiCOH films
US7265437B2 (en) Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7485582B2 (en) Hardmask for improved reliability of silicon based dielectrics
US9219037B2 (en) Low k porous SiCOH dielectric and integration with post film formation treatment

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20200117

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117