US8263451B2 - Epitaxy profile engineering for FinFETs - Google Patents

Epitaxy profile engineering for FinFETs Download PDF

Info

Publication number
US8263451B2
US8263451B2 US12/713,573 US71357310A US8263451B2 US 8263451 B2 US8263451 B2 US 8263451B2 US 71357310 A US71357310 A US 71357310A US 8263451 B2 US8263451 B2 US 8263451B2
Authority
US
United States
Prior art keywords
epitaxy
layer
semiconductor
epitaxy layer
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/713,573
Other versions
US20110210404A1 (en
Inventor
Chien-Chang Su
Tsz-Mei Kwok
Hsien-Hsin Lin
Hsueh-Chang Sung
Yi-Fang Pai
Kuan-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/713,573 priority Critical patent/US8263451B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, KUAN-YU, KWOK, TSZ-MEI, LIN, HSIEN-HSIN, PAI, YI-FANG, SU, CHIEN-CHANG, SUNG, HSUEH-CHANG
Priority to KR1020100073601A priority patent/KR101153154B1/en
Priority to TW099141867A priority patent/TWI420573B/en
Priority to CN2010106039670A priority patent/CN102169853B/en
Publication of US20110210404A1 publication Critical patent/US20110210404A1/en
Priority to US13/608,961 priority patent/US9666691B2/en
Application granted granted Critical
Publication of US8263451B2 publication Critical patent/US8263451B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Abstract

A method of forming an integrated circuit structure includes providing a wafer including a substrate and a semiconductor fin at a major surface of the substrate, and performing a deposition step to epitaxially grow an epitaxy layer on a top surface and sidewalls of the semiconductor fin, wherein the epitaxy layer includes a semiconductor material. An etch step is then performed to remove a portion of the epitaxy layer, with a remaining portion of the epitaxy layer remaining on the top surface and the sidewalls of the semiconductor fin.

Description

TECHNICAL FIELD
This disclosure relates generally to semiconductor devices, and more particularly to structures and formation methods of semiconductor fins and fin field-effect transistors (FinFETs).
BACKGROUND
With the increasing down scaling of integrated circuits and increasingly higher requirements for integrated circuits, transistors need to have higher drive currents with increasingly smaller dimensions. Fin field-effect transistors (FinFETs) were thus developed.
Similar to planar transistors, source and drain silicides may be formed on the source and drain regions of FinFETs. However, since the fins of FinFETs are typically narrow, current crowding may occur. In addition, it is difficult to land contact plugs onto the source/drain portions of fins. Epitaxy semiconductor layers are thus formed on the fins to increase their volumes using epitaxy processes.
The epitaxial processes, however, suffer from drawbacks. FIG. 1 illustrates a cross-sectional view of a semiconductor structure including source/drain region 2 (which is part of the original fin) and epitaxy layer 4 epitaxially grown on source/drain region 2. In contrast to conventional planar devices, the volumes of source/drain regions 2 are not confined by shallow trench isolation (STI) regions 6. Since epitaxy layer 4 may have a growth rate smaller on (111) planes than on other planes, the outer surface of epitaxy layer 4 may not have a rectangular (or near-rectangular) profile as that of the original fin 2. Instead, epitaxy layer 4 may extend laterally and form facets 8. This may cause the excess reduction in the distance between epitaxy layers grown from neighboring fins. Accordingly, the merging window, in which the epitaxy layers growing from neighboring fins will not merge, is reduced. Further, even if the neighboring epitaxy layers 4 belong to a source/drain region of a same multi-fin FinFET, void 10 will be undesirably generated as a result of the merging of epitaxy layers 4 grown from neighboring fins 2, as shown in FIG. 2.
SUMMARY
In accordance with one aspect of the embodiment, a method of forming an integrated circuit structure includes providing a wafer including a substrate and a semiconductor fin at a major surface of the substrate, and performing a deposition step to epitaxially grow an epitaxy layer on a top surface and sidewalls of the semiconductor fin, wherein the epitaxy layer includes a semiconductor material. An etch step is then performed to remove a portion of the epitaxy layer, with a remaining portion of the epitaxy layer remaining on the top surface and the sidewalls of the semiconductor fin.
Other embodiments are also disclosed.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1 illustrates a cross-sectional view of an epitaxy layer grown from a semiconductor fin;
FIG. 2 illustrates the merging of the epitaxy layers grown from neighboring fins, wherein voids are generated when the epitaxy layers merge;
FIGS. 3 through 8 are a perspective view and cross-sectional views of intermediate stages in the manufacturing of a FinFET in accordance with an embodiment; and
FIG. 9 illustrates the merging of two epitaxy layers growing from neighboring semiconductor fins.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
The making and using of the embodiments are discussed in detail below. It should be appreciated, however, that the embodiments provide many applicable inventive concepts that can be embodied in a wide variety of specific contexts.
A novel fin field-effect transistor (FinFET) embodiment and the method of forming the same are presented. The intermediate stages of manufacturing the embodiment are illustrated. The variations of the embodiment are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
Referring to FIG. 3, an integrated circuit structure is formed. The integrated circuit structure includes substrate 20, which may be a bulk silicon substrate. Substrate 20 may be doped with a p-type or an n-type impurity. Isolation regions, such as shallow trench isolation (STI) regions 22 may be formed in substrate 20. Fin 24 is formed over top surfaces of STI regions 22. Fin 24 may be formed by removing top portions of STI regions 22, so that a portion of substrate 20 between neighboring STI regions 22 becomes a fin. Alternatively, fin 24 may be formed on top of substrate 20 by an epitaxial growth.
In an embodiment, substrate 20 has a surface orientation of (100), and fin 24 extends along the <110> direction. In other embodiments, substrate 20 may have other surface orientations such as (110), in which case fin 24 may extend in directions such as <100>. Gate dielectric 26 (not shown in FIG. 3, please refer to FIG. 4A), which may be formed of silicon oxide, high-k dielectric materials, or the like, is formed on the top surface and sidewalls of fin 24. Gate electrode 28 is formed on gate dielectric 26. Gate spacers 32 are formed on the sidewalls of gate electrode 28.
In the subsequent discussion, cross-sectional views are used to explain the concept of the embodiments. Unless specified otherwise, the cross-sectional views are obtained in vertical planes (referred to as source/drain planes hereinafter) crossing lines at the same position as line A-A in FIG. 3. It is noted that line A-A crosses the (would-be) source/drain region, but not gate electrode 28.
Next, as shown in FIG. 4A, epitaxy layer 36 is deposited, for example, epitaxially grown on the exposed portion of fin 24 by selective epitaxial growth (SEG). Epitaxy layer 36 (also referred to as epitaxy layer 36_1 hereinafter) may be formed of a semiconductor material same as, or a semiconductor material different from, that of fin 24. In an embodiment, epitaxy layer 36_1 is formed of substantially pure silicon. In alternative embodiments, epitaxy layer 36_1 may comprise silicon germanium (SiGe), silicon carbon (SiC), or the like. The formation methods of epitaxy layer 36_1 may include chemical vapor deposition (CVD), such as a reduced pressure CVD (RPCVD) or other applicable methods. Depending on the desirable composition of epitaxy layer 36_1, the precursors for the epitaxy may include Si-containing gases and Ge-containing gases, such as SiH4 and GeH4, and/or the like, and the partial pressures of the Si-containing gases and Ge-containing gases are adjusted to modify the atomic ratio of germanium to silicon. In an embodiment in which SiGe is desirable for forming epitaxy layer 36_1, the resulting epitaxy layer 36_1 includes greater than about 20 atomic percent germanium. The germanium percentage in epitaxy layer 36_1 may also be between about 20 percent and about 50 atomic percent.
FIG. 4A also illustrates gate dielectric 26 and gate electrode 28. Since gate dielectric 26 and gate electrode 28 are not in the source/drain plane, they are illustrated using dotted lines. For simplicity, in subsequent drawings, gate dielectric 26 and gate electrode 28 are not illustrated.
It is observed that due to different growth rates on different surface planes, facets may be formed. For example, the growth rate on surfaces having (111) surface orientations (referred to as (111) planes) is lower than that on other planes, such as (110) and (100) planes. Accordingly, facets 38 are formed as a result of the difference in the growth rates of different planes. If epitaxy layer 36_1 is grown freely, eventually, facts 38 will have the (111) surface orientations (in other word, on (111) planes). In the beginning of the epitaxial growth of epitaxy layer 36_1, facets 38 may not be fully established. However, with the proceeding of the epitaxial growth, due to the difference in growth rates, facets 38 are gradually formed.
During the epitaxy process, etching gas, such as HCl gas, may be added (as an etching gas) into the process gas, so that epitaxy layer 36_1 is selectively grown on fin 24, but not on STI regions 22 and gate spacers 32 (not shown in FIG. 4A, please refer to FIG. 3). In alternative embodiments, no etching gas is added, or the amount of etching gas is small, so that there is a thin layer of epitaxy layer 36_1 formed on STI regions 22 and gate spacers 32, as shown in FIG. 4B. It is realized, however, that the portion of epitaxy layer 36_1 on STI regions 22 and gate spacers 32 may not have a crystalline structure.
Referring to FIG. 5, after the formation of epitaxy layer 36_1, the epitaxial growth of epitaxy layer 36_1 is stopped, and an etch step is performed, for example, by introducing etching gases such as HCl into the same chamber as the deposition of epitaxy layer 36_1. In an embodiment, the epitaxial growth and the etch of epitaxy layer 36_1 are in-situ performed, that is, in a same process chamber with no vacuum break therebetween. The resulting structure is shown in FIG. 5. It is observed that during the etch step, the corners of epitaxy layer 36_1 are removed more than planar portions, and hence the surface profile of epitaxy layer 36_1 is rounded. In an exemplary embodiment, after the etch step, the resulting epitaxy layer 36_1 has an ellipse shape, with the outer surface of epitaxy layer 36_1 having a profile close to an egg shape. The etch step may include, for example, introducing either HCl or the combination of HCl and GeH4 into the process chamber. The wafer temperature during the etch step may be greater than about 500° C. with both HCl and GeH4 being introduced, or greater than about 700° C. with HCl, but no GeH4, being introduced. During the etch step, plasma may or may not be activated.
The deposition step and the etch step as discussed in the preceding paragraphs in combination are referred to as a deposition-etch cycle. In an embodiment, the formation of epitaxy layer 36 includes only one deposition-etch cycle. In alternative embodiments, after the first deposition-etch cycle, a second deposition step may be performed, which may further be followed by a second etch step, wherein the second deposition step and the second etch step are referred to as the second deposition-etch cycle. FIGS. 6 and 7 illustrate the structure resulted from the second deposition-etch cycle. In FIG. 6, epitaxy layer 36_2 is epitaxially grown on the remaining portion of epitaxy layer 36_1. Throughout the description, epitaxy layers 36_1 and 36_2 in combination are referred to as epitaxy layer 36. Epitaxy layer 36_2 may be formed of the same material as epitaxy layer 36_1, although they may also be formed of different semiconductor materials. Accordingly, the interface between epitaxy layers 36_1 and 36_2 is illustrated using a dashed line since it may not be visible. More deposition-etch cycles may be repeated to further increase the depth of epitaxy layer 36. The deposition-etch cycles may all be in-situ performed with no vacuum break therebetween. For each of the additional deposition-etch cycles, the thickness of epitaxy layer 36 is increased over the preceding deposition-etch cycle, and the profile of the resulting epitaxy layer 36 may be more conformal then if epitaxy layer 36 is formed by a single epitaxy step. Advantageously, by dividing one deposition-etch cycle into a plurality of deposition-etch cycles, the profile of epitaxy layer 36 may be more conformal.
With more portions of epitaxy layer 36 being etched, epitaxy layer 36 is more conformal. However, with a higher degree of etch back, the throughput is lower. In an embodiment, in the deposition step in each of the deposition-etch cycles, the thickness of the added portion of the epitaxy layer is denoted as T (refer to FIG. 6). After the etch step, the thickness of the added portion of epitaxy layer 36 is reduced to T′ (refer to FIG. 7). The ratio of T′/T reflects the percentage of epitaxy layer 36 removed in the etch step. In an embodiment, ratio T′/T is greater than about 50 percent, and may be between about 50 percent and about 95 percent.
After the formation of epitaxy layer 36, a source/drain implantation is performed, so that epitaxy layer 36 becomes part of the source/drain region of the resulting FinFET 60. FIG. 8 illustrates the subsequent formation of silicide region (which may also be a germano-silicide region) 54. As is known in the art, silicide region 54 may be formed by blanket depositing a thin metal layer (not shown), such as nickel, platinum, palladium, vanadium, titanium, cobalt, tantalum, ytterbium, zirconium, and combinations thereof. The substrate is then heated, which causes silicon and germanium to react with the metal where contacted. After the reaction, a layer of metal silicide 54 is formed between the remaining portion of epitaxy layer 36 and the metal layer. The un-reacted metal layer is selectively removed through the use of an etchant that attacks metal but does not attack the germano-silicide.
FIG. 8 illustrates the formation of a single-fin FinFET, wherein epitaxy layer 36 grown from one fin 24 does not merge with the epitaxy layer grown from other fins. FIG. 9 illustrates that epitaxy layers 36 grown from neighboring fins 24 merge with each other to form a single epitaxy region. It is observed that due to the deposition-etch process, void 46 (if formed at all) caused by the merging of epitaxy layers 36 will be at least reduced, and possibly be eliminated. In addition, since defects are more likely to be generated from <111> surface planes, by etching back epitaxy layer 36, the sizes of the <111> surface planes are reduced, resulting in the decrease in the defect density.
Although the embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the invention.

Claims (20)

1. A method of forming an integrated circuit structure, the method comprising:
providing a wafer comprising a substrate and a semiconductor fin at a major surface of the substrate;
performing a first deposition step to epitaxially grow a first epitaxy layer on a top surface and sidewalls of the semiconductor fin, wherein the first epitaxy layer comprises a semiconductor material; and
after the step of performing the first deposition step, performing a first etch step to remove a portion of the first epitaxy layer, with a remaining portion of the first epitaxy layer remaining on the top surface and the sidewalls of the semiconductor fin.
2. The method of claim 1 further comprising, before the step of performing the first deposition step, forming a gate dielectric and a gate electrode covering a portion of the semiconductor fin, wherein the epitaxy layer is grown from uncovered portions of the semiconductor fin.
3. The method of claim 1, wherein the first deposition step and the first etch step are performed with no vacuum break therebetween.
4. The method of claim 1 further comprising performing a second deposition step to epitaxially grow a second epitaxy layer over and contacting the remaining portion of the first epitaxy layer.
5. The method of claim 4 further comprising, after the second deposition step, performing a second etch step to reduce a thickness of the second epitaxy layer.
6. The method of claim 5, wherein after the second etch step, a remaining portion of the second epitaxy layer remains over the remaining portion of the epitaxy layer.
7. The method of claim 4, wherein the first epitaxy layer and the second epitaxy layer are formed of a same semiconductor material.
8. The method of claim 1, wherein the first deposition step is performed selectively with an etching gas introduced.
9. The method of claim 1, wherein the first etch step is performed using HCl and GeH4 as process gases.
10. A method of forming an integrated circuit structure, the method comprising:
providing a wafer comprising a substrate and a semiconductor fin at a major surface of the substrate;
forming a gate dielectric and a gate electrode covering a first portion of the semiconductor fin, with a second portion of the semiconductor fin uncovered by the gate dielectric and the gate electrode; and
performing a first deposition-etch cycle comprising:
performing a first deposition step to form a first epitaxy semiconductor layer on the second portion of the semiconductor fin; and
performing a first etch step to reduce a thickness of the first epitaxy semiconductor layer, wherein the first deposition step and the first etch step are in-situ performed with no vacuum break therebetween.
11. The method of claim 10 further comprising performing a second deposition-etch cycle comprising:
performing a second deposition step to form a second epitaxy semiconductor layer on the first epitaxy semiconductor layer; and
performing a second etch step to reduce a thickness of the second epitaxy semiconductor layer, wherein the second deposition step and the second etch step are in-situ performed with no vacuum break therebetween.
12. The method of claim 11, wherein after the second etch step, a remaining portion of the second epitaxy semiconductor layer remains over the first epitaxy semiconductor layer.
13. The method of claim 11, wherein the first epitaxy semiconductor layer and the second epitaxy semiconductor layer are formed of a same semiconductor material.
14. The method of claim 10, wherein the first deposition step is performed selectively with an etching gas introduced.
15. The method of claim 10, wherein the first etch step is performed using HCl and GeH4 as process gases.
16. The method of claim 10, wherein after the first etch step, a remaining portion of the first epitaxy semiconductor layer has an ellipse surface profile.
17. A method of forming an integrated circuit structure, the method comprising:
providing a wafer comprising:
a semiconductor substrate;
two shallow trench isolation (STI) regions in the semiconductor substrate; and
a semiconductor fin over, and horizontally between, the two STI regions;
forming a gate dielectric and a gate electrode covering a first portion of the semiconductor fin, with a second portion of the semiconductor fin uncovered by the gate dielectric and the gate electrode; and
performing a plurality of deposition-etch cycles, each comprising:
performing a deposition step to form an epitaxy layer over a top surface and sidewalls of the second portion of the semiconductor fin; and
performing an etch step to remove a portion of the epitaxy layer, with a remaining portion of the epitaxy layer remaining on the top surface and sidewalls of the second portion of the semiconductor fin.
18. The method of claim 17, wherein the deposition step is selectively performed on the semiconductor fin, with substantially no epitaxy layer deposited on the two STI regions.
19. The method of claim 17, wherein the deposition step is performed non-selectively on the semiconductor fin and the two STI regions.
20. The method of claim 17, wherein the etch step is formed using process gases comprising HCl and GeH4.
US12/713,573 2010-02-26 2010-02-26 Epitaxy profile engineering for FinFETs Active 2030-07-17 US8263451B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US12/713,573 US8263451B2 (en) 2010-02-26 2010-02-26 Epitaxy profile engineering for FinFETs
KR1020100073601A KR101153154B1 (en) 2010-02-26 2010-07-29 Epitaxy Profile Engineering for FinFETs
TW099141867A TWI420573B (en) 2010-02-26 2010-12-02 Method of forming integrated circuit structure
CN2010106039670A CN102169853B (en) 2010-02-26 2010-12-22 Method of forming an integrated circuit structure
US13/608,961 US9666691B2 (en) 2010-02-26 2012-09-10 Epitaxy profile engineering for FinFETs

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/713,573 US8263451B2 (en) 2010-02-26 2010-02-26 Epitaxy profile engineering for FinFETs

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/608,961 Division US9666691B2 (en) 2010-02-26 2012-09-10 Epitaxy profile engineering for FinFETs

Publications (2)

Publication Number Publication Date
US20110210404A1 US20110210404A1 (en) 2011-09-01
US8263451B2 true US8263451B2 (en) 2012-09-11

Family

ID=44490950

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/713,573 Active 2030-07-17 US8263451B2 (en) 2010-02-26 2010-02-26 Epitaxy profile engineering for FinFETs
US13/608,961 Active 2030-10-25 US9666691B2 (en) 2010-02-26 2012-09-10 Epitaxy profile engineering for FinFETs

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/608,961 Active 2030-10-25 US9666691B2 (en) 2010-02-26 2012-09-10 Epitaxy profile engineering for FinFETs

Country Status (4)

Country Link
US (2) US8263451B2 (en)
KR (1) KR101153154B1 (en)
CN (1) CN102169853B (en)
TW (1) TWI420573B (en)

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130234204A1 (en) * 2012-03-06 2013-09-12 Samsung Electronics Co., Ltd. Fin field effect transistors including multiple lattice constants and methods of fabricating the same
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8859379B2 (en) 2013-03-15 2014-10-14 International Business Machines Corporation Stress enhanced finFET devices
US20140308782A1 (en) * 2013-04-15 2014-10-16 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US8921940B2 (en) 2013-03-15 2014-12-30 Samsung Electronics Co., Ltd. Semiconductor device and a method for fabricating the same
US8993406B1 (en) 2013-09-10 2015-03-31 International Business Machines Corporation FinFET device having a merged source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
US9029930B2 (en) 2012-08-30 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with epitaxial structure
US9054218B2 (en) 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US9054189B1 (en) 2014-01-06 2015-06-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20150249131A1 (en) * 2012-12-20 2015-09-03 Intel Corporation Epitaxial Film On Nanoscale Structure
US20150255351A1 (en) * 2012-10-04 2015-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Guard Rings on Fin Structures
US9257536B2 (en) 2013-04-22 2016-02-09 Globalfoundries Inc. FinFET with crystalline insulator
US9337031B2 (en) 2014-01-28 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9362405B1 (en) * 2014-12-04 2016-06-07 Globalfoundries Inc. Channel cladding last process flow for forming a channel region on a FinFET device
US9368495B2 (en) 2014-05-13 2016-06-14 Samsung Electronics Co., Ltd. Semiconductor devices having bridge layer and methods of manufacturing the same
US9368388B2 (en) 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9379025B1 (en) 2015-06-19 2016-06-28 International Business Machines Corporation Method of forming source/drain contacts in unmerged FinFETs
US9412731B2 (en) 2014-04-04 2016-08-09 Samsung Electronics Co., Ltd. Semiconductor device
US9431534B2 (en) 2014-12-02 2016-08-30 Globalfoundries Inc. Asymmetric field effect transistor cap layer
US9455323B2 (en) 2014-08-28 2016-09-27 International Business Machines Corporation Under-spacer doping in fin-based semiconductor devices
US20160284806A1 (en) * 2015-03-23 2016-09-29 Sangjine Park Semiconductor Device and Method for Manufacturing the Same
US20160315172A1 (en) * 2015-04-24 2016-10-27 GlobalFoundries, Inc. Finfet devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
KR20160127574A (en) * 2015-04-27 2016-11-04 삼성전자주식회사 Semiconductor device having a fin body and an epitaxial layer
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US9577099B2 (en) * 2015-03-09 2017-02-21 Globalfoundries Inc. Diamond shaped source drain epitaxy with underlying buffer layer
US9595611B2 (en) 2013-08-01 2017-03-14 Samsung Electronics Co., Ltd. FinFET with a single contact to multiple fins bridged together to form a source/drain region of the transistor
US9608114B2 (en) 2015-04-03 2017-03-28 Samsung Electronics Co., Ltd. Semiconductor device including field effect transistors
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9768261B2 (en) * 2015-04-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US9859387B2 (en) 2015-04-06 2018-01-02 Samsung Electronics Co., Ltd. Semiconductor device having contact plugs
US9899525B2 (en) * 2015-07-09 2018-02-20 Globalfoundries Inc. Increased contact area for finFETs
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10164030B2 (en) 2014-09-23 2018-12-25 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10205002B2 (en) 2016-07-26 2019-02-12 Applied Materials, Inc. Method of epitaxial growth shape control for CMOS applications
US10304932B2 (en) 2017-06-29 2019-05-28 Samsung Electronics Co., Ltd. Semiconductor device having a fin structure and a manufacturing method thereof
USRE47409E1 (en) * 2010-06-30 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US10319841B2 (en) 2017-08-22 2019-06-11 Samsung Electronics Co., Ltd. Integrated circuit device including asymmetrical fin field-effect transistor
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10777664B2 (en) 2017-07-31 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same
USRE48304E1 (en) * 2012-11-09 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
TWI773938B (en) * 2018-11-29 2022-08-11 台灣積體電路製造股份有限公司 Integrated circuit device and method forming same
US20220344495A1 (en) * 2017-08-30 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures having varied fin heights for semiconductor device
US11545562B2 (en) * 2017-07-31 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9263339B2 (en) * 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
JP2013115272A (en) * 2011-11-29 2013-06-10 Toshiba Corp Semiconductor device and method of manufacturing the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US8659032B2 (en) 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US20130200483A1 (en) * 2012-02-08 2013-08-08 United Microelectronics Corp. Fin structure and method of forming the same
US9012286B2 (en) * 2012-04-12 2015-04-21 Globalfoundries Inc. Methods of forming FinFET semiconductor devices so as to tune the threshold voltage of such devices
US8580642B1 (en) 2012-05-21 2013-11-12 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US8673718B2 (en) 2012-07-09 2014-03-18 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
TWI573270B (en) * 2012-10-29 2017-03-01 聯華電子股份有限公司 Multigate field effect transistor and process thereof
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US8946029B2 (en) 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US9397217B2 (en) 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
CN103928328B (en) * 2013-01-10 2016-12-28 中芯国际集成电路制造(上海)有限公司 The forming method of fin formula field effect transistor
US9859429B2 (en) 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
KR102049774B1 (en) * 2013-01-24 2019-11-28 삼성전자 주식회사 Semiconductor device and fabricated method thereof
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US8815661B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
EP2961940B1 (en) * 2013-02-28 2019-04-03 United Technologies Corporation Contoured blade outer air seal for a gas turbine engine
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8796093B1 (en) * 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US9953975B2 (en) 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9520502B2 (en) 2013-10-15 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having epitaxial capping layer on fin and methods for forming the same
JP6366932B2 (en) * 2013-12-10 2018-08-01 川崎重工業株式会社 Work reversal support device and robot cell equipped with the same
US9257537B2 (en) * 2013-12-27 2016-02-09 International Business Machines Corporation Finfet including improved epitaxial topology
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
EP3902016A1 (en) 2014-03-27 2021-10-27 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
WO2015147866A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
KR102146469B1 (en) * 2014-04-30 2020-08-21 삼성전자 주식회사 Semiconductor device and method for fabricating the same
KR102200345B1 (en) * 2014-06-26 2021-01-11 삼성전자주식회사 Semiconductor device and method of manufacturing the same
CN105336569B (en) * 2014-07-10 2019-01-18 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
KR102269228B1 (en) * 2014-07-31 2021-06-25 삼성전자주식회사 Methods of manufacturing semiconductor devices
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
KR102410135B1 (en) * 2014-09-12 2022-06-17 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9299706B1 (en) 2014-09-25 2016-03-29 International Business Machines Corporation Single source/drain epitaxy for co-integrating nFET semiconductor fins and pFET semiconductor fins
CN105529241A (en) * 2014-09-29 2016-04-27 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and formation method thereof
US9324820B1 (en) 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
WO2016069180A1 (en) 2014-10-30 2016-05-06 Applied Materials, Inc. Method to grow thin epitaxial films at low temperature
US9269628B1 (en) 2014-12-04 2016-02-23 Globalfoundries Inc. Methods of removing portions of at least one fin structure so as to form isolation regions when forming FinFET semiconductor devices
KR20160072476A (en) * 2014-12-15 2016-06-23 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR102310076B1 (en) * 2015-04-23 2021-10-08 삼성전자주식회사 Semiconductor devices having a source/drain ofasymmetrical shape
KR102392695B1 (en) * 2015-05-26 2022-05-02 삼성전자주식회사 A semiconductor device and a method of fabricating the same
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US10164097B2 (en) * 2015-09-11 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9905641B2 (en) 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9831116B2 (en) 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
US10177143B2 (en) * 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
KR102262830B1 (en) * 2015-11-03 2021-06-08 삼성전자주식회사 Semiconductor device
US9735274B2 (en) * 2015-11-20 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a stacked wire structure
US10497701B2 (en) 2015-12-16 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9496400B1 (en) * 2015-12-29 2016-11-15 International Business Machines Corporation FinFET with stacked faceted S/D epitaxy for improved contact resistance
CN107026084B (en) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing the same
US9865504B2 (en) 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10002867B2 (en) * 2016-03-07 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
CN107516636A (en) * 2016-06-17 2017-12-26 上海新昇半导体科技有限公司 A kind of low-temperature epitaxy method and device
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US10580901B2 (en) * 2016-09-02 2020-03-03 International Business Machines Corporation Stacked series connected VFETs for high voltage applications
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10453943B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US9953875B1 (en) * 2016-11-30 2018-04-24 Taiwan Semiconductor Manufacturing Company Contact resistance control in epitaxial structures of finFET
US9865595B1 (en) * 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10510762B2 (en) * 2016-12-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain formation technique for fin-like field effect transistor
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10147609B2 (en) * 2016-12-15 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor epitaxy bordering isolation structure
US10438855B2 (en) 2017-02-17 2019-10-08 International Business Machines Corporation Dual channel FinFETs having uniform fin heights
US10727131B2 (en) * 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10141231B1 (en) * 2017-08-28 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
US10868181B2 (en) 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
CN109920735A (en) * 2017-12-12 2019-06-21 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10367077B1 (en) 2018-04-27 2019-07-30 International Business Machines Corporation Wrap around contact using sacrificial mandrel
KR102612196B1 (en) 2018-06-20 2023-12-12 삼성전자주식회사 Semiconductor devices
KR102456669B1 (en) 2018-07-16 2022-10-20 삼성전자주식회사 Semiconductor device
JP6612937B2 (en) * 2018-07-18 2019-11-27 ルネサスエレクトロニクス株式会社 Semiconductor device
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
WO2020124205A1 (en) * 2018-12-19 2020-06-25 National Research Council Of Canada Method of fabricating an avalanche photodiode employing single diffusion
US11088150B2 (en) * 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11948796B2 (en) 2019-06-12 2024-04-02 Applied Materials, Inc. Selective methods for fabricating devices and structures
US11600728B2 (en) * 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030227036A1 (en) * 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6858478B2 (en) 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US20050153490A1 (en) 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US20060110887A1 (en) * 2004-11-22 2006-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device and a method for its manufacture
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US20070063224A1 (en) * 2005-09-16 2007-03-22 Kabushiki Kaisha Toshiba Metal insulator semiconductor field effect transistor having fin structure
US20070075372A1 (en) * 2003-10-20 2007-04-05 Nec Corporation Semiconductor device and manufacturing process therefor
US20070120156A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Multi Layer Regions
US20070122954A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Sequential Selective Epitaxial Growth
US20070122953A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with High-Permittivity Dielectric Isolation Material
US20070128782A1 (en) 2005-07-01 2007-06-07 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Narrowed Base Regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US20070241399A1 (en) * 2006-02-15 2007-10-18 Toshifumi Irisawa Semiconductor device and method of fabricating the same
US20080003755A1 (en) * 2006-06-30 2008-01-03 Uday Shah Sacrificial oxide layer which enables spacer over-etch in tri-gate architectures
US20080296632A1 (en) 2007-05-30 2008-12-04 Synopsys, Inc. Stress-Enhanced Performance Of A FinFet Using Surface/Channel Orientations And Strained Capping Layers
US20090001415A1 (en) * 2007-06-30 2009-01-01 Nick Lindert Multi-gate transistor with strained body
US20090026505A1 (en) * 2007-07-27 2009-01-29 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7750338B2 (en) 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US20100224943A1 (en) * 2009-03-06 2010-09-09 Toshiba America Electronic Components, Inc. Semiconductor device and manufacturing methods with using non-planar type of transistors
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7259425B2 (en) * 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US7148526B1 (en) * 2003-01-23 2006-12-12 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100654339B1 (en) * 2004-08-27 2006-12-08 삼성전자주식회사 Nonvolatile semiconductor device and method of fabricating the same
JP2007027725A (en) * 2005-07-11 2007-02-01 Interuniv Micro Electronica Centrum Vzw Method of forming full-germanium silicide-formed gate mosfet and device obtained therefrom
KR20070090375A (en) * 2006-03-02 2007-09-06 삼성전자주식회사 Nonvolatile memory device and method for forming the same
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
KR100858882B1 (en) * 2007-03-19 2008-09-17 주식회사 하이닉스반도체 Method for manufacturing transistor in semiconductor device
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030227036A1 (en) * 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6858478B2 (en) 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US20070075372A1 (en) * 2003-10-20 2007-04-05 Nec Corporation Semiconductor device and manufacturing process therefor
US20050153490A1 (en) 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US20060110887A1 (en) * 2004-11-22 2006-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device and a method for its manufacture
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US20080290470A1 (en) 2005-07-01 2008-11-27 Synopsys, Inc. Integrated Circuit On Corrugated Substrate
US20070120156A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Multi Layer Regions
US20070122954A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Sequential Selective Epitaxial Growth
US20070122953A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with High-Permittivity Dielectric Isolation Material
US20070128782A1 (en) 2005-07-01 2007-06-07 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Narrowed Base Regions
US20070132053A1 (en) 2005-07-01 2007-06-14 Synopsys Inc. Integrated Circuit On Corrugated Substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US20090181477A1 (en) 2005-07-01 2009-07-16 Synopsys, Inc. Integrated Circuit On Corrugated Substrate
US7528465B2 (en) 2005-07-01 2009-05-05 Synopsys, Inc. Integrated circuit on corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US20070063224A1 (en) * 2005-09-16 2007-03-22 Kabushiki Kaisha Toshiba Metal insulator semiconductor field effect transistor having fin structure
US20070241399A1 (en) * 2006-02-15 2007-10-18 Toshifumi Irisawa Semiconductor device and method of fabricating the same
US20080003755A1 (en) * 2006-06-30 2008-01-03 Uday Shah Sacrificial oxide layer which enables spacer over-etch in tri-gate architectures
US7750338B2 (en) 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US20080296632A1 (en) 2007-05-30 2008-12-04 Synopsys, Inc. Stress-Enhanced Performance Of A FinFet Using Surface/Channel Orientations And Strained Capping Layers
US20090001415A1 (en) * 2007-06-30 2009-01-01 Nick Lindert Multi-gate transistor with strained body
US20090026505A1 (en) * 2007-07-27 2009-01-29 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20100224943A1 (en) * 2009-03-06 2010-09-09 Toshiba America Electronic Components, Inc. Semiconductor device and manufacturing methods with using non-planar type of transistors
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Tan, K-M., et al., "Sub-30 nm Strained p-Channel Fin-Type Field-Effect Transistors with Condensed SIGe Source/Drain Stressors," Japanese Journal of Applied Physics, 2007, pp. 2058-2061, vol. 46, No. 4B.

Cited By (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE49203E1 (en) 2010-06-30 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
USRE47409E1 (en) * 2010-06-30 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US9911850B2 (en) 2012-01-09 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9379217B2 (en) 2012-01-09 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9029958B2 (en) 2012-01-09 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8941155B2 (en) * 2012-03-06 2015-01-27 Samsung Electronics Co., Ltd. Fin field effect transistors including multiple lattice constants and methods of fabricating the same
US20130234204A1 (en) * 2012-03-06 2013-09-12 Samsung Electronics Co., Ltd. Fin field effect transistors including multiple lattice constants and methods of fabricating the same
US9252274B2 (en) 2012-03-06 2016-02-02 Samsung Electronics Co., Ltd. Fin field effect transistors including multiple lattice constants and methods of fabricating the same
US10068905B2 (en) 2012-04-13 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9368388B2 (en) 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9029930B2 (en) 2012-08-30 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with epitaxial structure
US9166010B2 (en) 2012-08-30 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with epitaxial structure
USRE48942E1 (en) 2012-08-30 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with epitaxial structure
US20150255351A1 (en) * 2012-10-04 2015-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Guard Rings on Fin Structures
US10340194B2 (en) 2012-10-04 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings including semiconductor fins and regrown regions
US9875942B2 (en) 2012-10-04 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings including semiconductor fins and regrown regions
US9514989B2 (en) * 2012-10-04 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings including semiconductor fins and regrown region
USRE48304E1 (en) * 2012-11-09 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US20150249131A1 (en) * 2012-12-20 2015-09-03 Intel Corporation Epitaxial Film On Nanoscale Structure
US9865684B2 (en) * 2012-12-20 2018-01-09 Intel Corporation Nanoscale structure with epitaxial film having a recessed bottom portion
US8987837B2 (en) 2013-03-15 2015-03-24 International Business Machines Corporation Stress enhanced finFET devices
US8921940B2 (en) 2013-03-15 2014-12-30 Samsung Electronics Co., Ltd. Semiconductor device and a method for fabricating the same
US8859379B2 (en) 2013-03-15 2014-10-14 International Business Machines Corporation Stress enhanced finFET devices
US9752251B2 (en) * 2013-04-15 2017-09-05 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US20140308782A1 (en) * 2013-04-15 2014-10-16 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US9257536B2 (en) 2013-04-22 2016-02-09 Globalfoundries Inc. FinFET with crystalline insulator
US9595611B2 (en) 2013-08-01 2017-03-14 Samsung Electronics Co., Ltd. FinFET with a single contact to multiple fins bridged together to form a source/drain region of the transistor
US10727348B2 (en) 2013-08-01 2020-07-28 Samsung Electronics Co., Ltd. Semiconductor device with adjacent source/drain regions connected by a semiconductor bridge, and method for fabricating the same
US10388791B2 (en) 2013-08-01 2019-08-20 Samsung Electronics Co., Ltd. Semiconductor device with adjacent source/drain regions connected by a semiconductor bridge, and method for fabricating the same
US9362310B2 (en) 2013-08-07 2016-06-07 Globalfoundries Inc. Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US9054218B2 (en) 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US8993406B1 (en) 2013-09-10 2015-03-31 International Business Machines Corporation FinFET device having a merged source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
US9276118B2 (en) 2013-09-10 2016-03-01 Globalfoundries Inc. FinFET device having a merge source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
US9054189B1 (en) 2014-01-06 2015-06-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9728645B2 (en) 2014-01-28 2017-08-08 Samsung Electronics Co., Ltd. Semiconductor devices
US9337031B2 (en) 2014-01-28 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9412731B2 (en) 2014-04-04 2016-08-09 Samsung Electronics Co., Ltd. Semiconductor device
US9735158B2 (en) 2014-05-13 2017-08-15 Samsung Electronics Co., Ltd. Semiconductor devices having bridge layer and methods of manufacturing the same
US9368495B2 (en) 2014-05-13 2016-06-14 Samsung Electronics Co., Ltd. Semiconductor devices having bridge layer and methods of manufacturing the same
US10147723B2 (en) 2014-05-13 2018-12-04 Samsung Electronics Co., Ltd. Semiconductor devices having bridge layer and methods of manufacturing the same
US9455323B2 (en) 2014-08-28 2016-09-27 International Business Machines Corporation Under-spacer doping in fin-based semiconductor devices
US10164030B2 (en) 2014-09-23 2018-12-25 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9431534B2 (en) 2014-12-02 2016-08-30 Globalfoundries Inc. Asymmetric field effect transistor cap layer
US9362405B1 (en) * 2014-12-04 2016-06-07 Globalfoundries Inc. Channel cladding last process flow for forming a channel region on a FinFET device
US9508853B2 (en) 2014-12-04 2016-11-29 Globalfoundries Inc. Channel cladding last process flow for forming a channel region on a FinFET device having a reduced size fin in the channel region
US9577099B2 (en) * 2015-03-09 2017-02-21 Globalfoundries Inc. Diamond shaped source drain epitaxy with underlying buffer layer
US20160284806A1 (en) * 2015-03-23 2016-09-29 Sangjine Park Semiconductor Device and Method for Manufacturing the Same
US9960241B2 (en) * 2015-03-23 2018-05-01 Samsung Electronics Co., Ltd. Semiconductor device for manufacturing
US9608114B2 (en) 2015-04-03 2017-03-28 Samsung Electronics Co., Ltd. Semiconductor device including field effect transistors
US9859387B2 (en) 2015-04-06 2018-01-02 Samsung Electronics Co., Ltd. Semiconductor device having contact plugs
US9768261B2 (en) * 2015-04-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US10032910B2 (en) * 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
US20160315172A1 (en) * 2015-04-24 2016-10-27 GlobalFoundries, Inc. Finfet devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
KR20160127574A (en) * 2015-04-27 2016-11-04 삼성전자주식회사 Semiconductor device having a fin body and an epitaxial layer
US9773908B2 (en) 2015-04-27 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor devices including fin bodies with varied epitaxial layers
US9379025B1 (en) 2015-06-19 2016-06-28 International Business Machines Corporation Method of forming source/drain contacts in unmerged FinFETs
US9899525B2 (en) * 2015-07-09 2018-02-20 Globalfoundries Inc. Increased contact area for finFETs
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US10205002B2 (en) 2016-07-26 2019-02-12 Applied Materials, Inc. Method of epitaxial growth shape control for CMOS applications
US11908742B2 (en) 2016-12-15 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
US11037826B2 (en) 2016-12-15 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
US10546784B2 (en) 2016-12-15 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
US10304932B2 (en) 2017-06-29 2019-05-28 Samsung Electronics Co., Ltd. Semiconductor device having a fin structure and a manufacturing method thereof
US10790361B2 (en) 2017-06-29 2020-09-29 Samsung Electronics Co., Ltd. Semiconductor device having a fin structure and a manufacturing method thereof
US10777664B2 (en) 2017-07-31 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same
US11545562B2 (en) * 2017-07-31 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10319841B2 (en) 2017-08-22 2019-06-11 Samsung Electronics Co., Ltd. Integrated circuit device including asymmetrical fin field-effect transistor
US10573729B2 (en) 2017-08-22 2020-02-25 Samsung Electronics Co., Ltd. Integrated circuit device including asymmetrical fin field-effect transistor
US20220344495A1 (en) * 2017-08-30 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures having varied fin heights for semiconductor device
US11018245B2 (en) 2017-11-10 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US11735648B2 (en) 2017-11-10 2023-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
TWI773938B (en) * 2018-11-29 2022-08-11 台灣積體電路製造股份有限公司 Integrated circuit device and method forming same
US11948971B2 (en) 2018-11-29 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same

Also Published As

Publication number Publication date
TW201137942A (en) 2011-11-01
CN102169853B (en) 2013-08-21
KR101153154B1 (en) 2012-06-04
US9666691B2 (en) 2017-05-30
TWI420573B (en) 2013-12-21
US20110210404A1 (en) 2011-09-01
KR20110098594A (en) 2011-09-01
CN102169853A (en) 2011-08-31
US20130001705A1 (en) 2013-01-03

Similar Documents

Publication Publication Date Title
US8263451B2 (en) Epitaxy profile engineering for FinFETs
US9515187B2 (en) Controlling the shape of source/drain regions in FinFETs
US9805942B2 (en) Method of modifying epitaxial growth shape on source drain area of transistor
TWI689971B (en) Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth
US9653574B2 (en) Selective etching in the formation of epitaxy regions in MOS devices
US9837415B2 (en) FinFET structures having silicon germanium and silicon fins with suppressed dopant diffusion
US9287399B2 (en) Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
US8835267B2 (en) Semiconductor device and fabrication method thereof
US8394712B2 (en) Cavity-free interface between extension regions and embedded silicon-carbon alloy source/drain regions
US9741824B2 (en) Semiconductor device and fabrication method thereof
US20090280612A1 (en) Semiconductor device and production method thereof
US20090075029A1 (en) Stressor for engineered strain on channel
KR101522792B1 (en) Source and drain stressors with recessed top surfaces
CN109427591B (en) Semiconductor device and method of forming the same
KR20190003297A (en) Method of forming shaped source/drain epitaxial layers of a semiconductor device
US20100327329A1 (en) Semiconductor device and method of fabricating the same
US20170025509A1 (en) Strained silicon germanium fin with controlled junction for finfet devices
US9449885B1 (en) High germanium content FinFET devices having the same contact material for nFET and pFET devices
US9564513B2 (en) Epitaxy in semiconductor structure and manufacturing method thereof
US20080070360A1 (en) Method and structure for forming silicide contacts on embedded silicon germanium regions of cmos devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SU, CHIEN-CHANG;KWOK, TSZ-MEI;LIN, HSIEN-HSIN;AND OTHERS;REEL/FRAME:024519/0127

Effective date: 20100226

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12