US8765654B2 - Oxidizing aqueous cleaner for the removal of post-etch residues - Google Patents

Oxidizing aqueous cleaner for the removal of post-etch residues Download PDF

Info

Publication number
US8765654B2
US8765654B2 US13/084,173 US201113084173A US8765654B2 US 8765654 B2 US8765654 B2 US 8765654B2 US 201113084173 A US201113084173 A US 201113084173A US 8765654 B2 US8765654 B2 US 8765654B2
Authority
US
United States
Prior art keywords
ether
acid
oxide
cleaning composition
glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/084,173
Other versions
US20110186086A1 (en
Inventor
David W. Minsek
Michael B. Korzenski
Martha M. Rajaratnam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US13/084,173 priority Critical patent/US8765654B2/en
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of US20110186086A1 publication Critical patent/US20110186086A1/en
Assigned to GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT reassignment GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC., ATMI PACKAGING, INC., ATMI, INC., ENTEGRIS, INC., POCO GRAPHITE, INC.
Assigned to GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT reassignment GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC., ATMI PACKAGING, INC., ATMI, INC., ENTEGRIS, INC., POCO GRAPHITE, INC.
Publication of US8765654B2 publication Critical patent/US8765654B2/en
Priority to US14/321,180 priority patent/US9443713B2/en
Application granted granted Critical
Assigned to ENTEGRIS, INC. reassignment ENTEGRIS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC.
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC., ATMI, INC., ATMI PACKAGING, INC., ENTEGRIS, INC., POCO GRAPHITE, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC., ATMI, INC., ATMI PACKAGING, INC., ENTEGRIS, INC., POCO GRAPHITE, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT
Assigned to GOLDMAN SACHS BANK USA reassignment GOLDMAN SACHS BANK USA SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ENTEGRIS, INC., SAES PURE GAS, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL/FRAME 048811/0679 Assignors: GOLDMAN SACHS BANK USA
Assigned to TRUIST BANK, AS NOTES COLLATERAL AGENT reassignment TRUIST BANK, AS NOTES COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CMC MATERIALS, INC., ENTEGRIS GP, INC., ENTEGRIS, INC., INTERNATIONAL TEST SOLUTIONS, LLC, POCO GRAPHITE, INC., QED TECHNOLOGIES INTERNATIONAL, INC.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0047Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides

Definitions

  • the present invention relates to aqueous oxidizing compositions for the removal of post-etch residue and/or titanium-containing hardmask material from microelectronic devices and methods of making and using the same, wherein the oxidizing compositions have a high selectivity for titanium-containing materials relative to the interlevel dielectric (ILD) and metallic interconnect materials on the microelectronic device.
  • ILD interlevel dielectric
  • Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material.
  • silicate glass vapor-deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects.
  • TEOS and fluorinated silicate glass have been replaced by the so-called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • Photolithography is used to image a pattern onto a device wafer.
  • Photolithography techniques comprise the steps of coating, exposure, and development.
  • a wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes.
  • the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light ( ⁇ 250 nm or 193 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution.
  • UV ultraviolet
  • DUV deep UV
  • the soluble photoresist material is then removed, or “developed,” thereby leaving behind a pattern identical to the mask.
  • gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to the underlying layers, which may include hardmask, interlevel dielectric (ILD), and/or etch stop layers.
  • Post-plasma etch residues are typically deposited on the back-end-of-the-line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation.
  • Post-plasma etch residues typically include chemical elements present on the substrate and in the plasma gases. For example, if a TiN hardmask is employed, e.g., as a capping layer over ILD, the post-plasma etch residues include titanium-containing species, which are difficult to remove using conventional wet cleaning chemistries.
  • compositions for the selective and effective removal of titanium-containing post-plasma etch residue, polymeric sidewall residue, copper-containing via residue and/or titanium-containing hardmask layers from microelectronic devices, said compositions being compatible with ILD and metal interconnect materials.
  • the present invention generally relates to cleaning compositions and methods of making and using same.
  • One aspect of the invention relates to an oxidizing aqueous composition and process for cleaning post-plasma etch residue and/or titanium-containing hardmask from microelectronic devices having said residue and/or hardmask thereon, while simultaneously not compromising the metallic and ILD materials on the microelectronic device surface.
  • the oxidizing aqueous cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the invention relates to an oxidizing aqueous cleaning composition, comprising at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • the invention in another aspect, relates to a kit comprising, in one or more containers, one or more of the following reagents for forming an oxidizing aqueous cleaning composition, said one or more reagents selected from the group consisting of at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one chelating agent, optionally at least one buffering species, and water, and wherein the kit is adapted to form an oxidizing aqueous cleaning composition suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or material thereon.
  • the kit is adapted to form an oxidizing aqueous cleaning composition suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue
  • the present invention relates to a method of removing post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon, said method comprising contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to at least partially clean said residue and/or hardmask from the microelectronic device, wherein the oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines,
  • Still another aspect of the invention relates to a oxidizing aqueous cleaning composition, comprising at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • the invention relates to an oxidizing aqueous cleaning composition, comprising hydrogen peroxide, at least one amine-N-oxide, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • Still another aspect of the invention relates to an oxidizing aqueous cleaning composition
  • an oxidizing aqueous cleaning composition comprising hydrogen peroxide, at least one amine-N-oxide, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • Another aspect of the invention relates to an oxidizing aqueous cleaning composition
  • an oxidizing aqueous cleaning composition comprising hydrogen peroxide, at least one amine-N-oxide, diethylene glycol butyl ether, 1,2,4-triazole, tetramethylammonium hydroxide, citric acid, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • Yet aspect of the invention relates to an oxidizing aqueous cleaning composition
  • an oxidizing aqueous cleaning composition comprising hydrogen peroxide, at least one amine-N-oxide, diethylene glycol butyl ether, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid, tetramethylammonium hydroxide, boric acid, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • a further aspect of the invention relates to an oxidizing aqueous cleaning composition
  • an oxidizing aqueous cleaning composition comprising hydrogen peroxide, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid, boric acid, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
  • a further aspect of the invention relates to a CMP shiny composition to remove barrier layer material from a microelectronic device substrate, said CMP slurry composition comprising abrasive, at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one metal-chelating agent, optionally at least one organic co-solvent, optionally at least one buffering species, and water, wherein said CMP slurry composition is suitable for the selective removal of barrier layer material relative to metal interconnect and dielectric material layers.
  • Another aspect of the invention relates to a method of removing post-plasma etch residue from a microelectronic device having said residue thereon, said method comprising:
  • Another aspect of the invention relates to an article of manufacture comprising an aqueous cleaning composition, a microelectronic device, and post-plasma etch residue and/or hardmask material, wherein the aqueous composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the aqueous composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to at least partially remove post-plasma etch residue and/or hardmask material from the microelectronic device having said residue and/or material thereon, wherein the oxidizing aqueous composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the oxidizing aqueous composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-
  • Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising cleaning of post-plasma etch residue and/or hardmask material from the microelectronic device having said residue and/or material thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
  • FIG. 1A illustrates a microelectronic device following post-plasma etch processing, wherein the sidewalls of the ultra low-k dielectric include polymeric residue and the copper via (or line) includes copper-containing residue thereon.
  • FIG. 1B illustrates the microelectronic device of FIG. 1A following cleaning using the oxidizing aqueous cleaning compositions of the present invention, wherein the polymeric residue, the copper-containing residue, and the TiN hardmask has been removed.
  • FIG. 2 is an FTIR spectrograph of a blanketed porous-CDO wafer before and after cleaning the wafer with formulation E of the present invention.
  • FIG. 3 illustrates the percentage of hydrogen peroxide present in formulations E and F of the present invention as a function of the logarithm of time.
  • FIG. 4 illustrates the etch rate of PVD deposited copper in ⁇ min ⁇ 1 as a function of the pH of the buffered cleaning composition.
  • FIG. 5 illustrates the capacitance of a BD2 control wafer relative to the capacitance of a BD2 control wafer following immersion in Formulations O and I at 55° C. for 5 minutes.
  • FIG. 6 illustrates the percentage of hydrogen peroxide present in variations of formulation S of the present invention as a function of time.
  • FIG. 7 illustrates the pH of variations of formulation S of the present invention as a function of time.
  • One aspect of the present invention relates to cleaning compositions comprising at least one oxidizer, preferably hydrogen peroxide, and at least one oxidizer stabilizer, preferably an amine-N-oxide.
  • the invention relates to oxidizing aqueous compositions for cleaning titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue and/or hardmask layers from microelectronic devices having said residue and/or layers thereon (see, for example, FIGS. 1A and 1B ), said compositions being compatible with ultra low-k (ULK) dielectric materials, such as OSG and porous-CDO, and the metallic interconnect materials, e.g., copper and cobalt, on the microelectronic device surface.
  • ULK ultra low-k
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • MEMS microelectromechanical systems
  • oxidizing agent stabilizer corresponds to a species which extends the bath-life of the oxidizing agent and is compatible with metal interconnect material (e.g., copper) present on the surface of the microelectronic device.
  • metal interconnect material e.g., copper
  • oxidizing agent stabilizer no more than 10% of the oxidizing agent decomposes over a period of 24 hours at temperatures in a range from about 30° C. to about 50° C., more preferably no more than 5% over the same period, most preferably no more than 2% over the same period.
  • post-etch residue and “post-plasma etch residue,” as used herein, corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual-damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, hardmask capping layer material (e.g., titanium-containing material), nitrogen-containing material, oxygen-containing material, polymeric residue material, copper-containing residue material, etch gas residue such as chlorine and fluorine, and combinations thereof.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • polymeric sidewall residue corresponds to the residue that remains on the sidewalls of the patterned device subsequent to post-plasma etching processes.
  • the residue is substantially polymeric in nature however, it should be appreciated that inorganic species, e.g., titanium, silicon and/or copper-containing species, may be present in the residue as well.
  • suitable for cleaning titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue and/or hardmask layers from a microelectronic device having said residue and/or material thereon corresponds to at least partial removal of said residue and/or material from the microelectronic device.
  • at least about 90% of one or more of the materials, more preferably at least 95% of one or more of the materials, and most preferably at least 99% of one or more of the materials, is removed from the microelectronic device using the compositions of the invention.
  • Hardmask capping layer corresponds to materials deposited over dielectric material to protect same during the plasma etch step. Hardmask capping layers are traditionally silicon nitrides, silicon oxynitrides and other similar compounds. Hardmask capping layers further contemplated herein include titanium nitride and titanium oxynitride.
  • amine species includes primary amines, secondary amines, tertiary amines and amine-N-oxide species.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • Titanium nitride compounds are notoriously difficult to etch using the ammonia-containing compositions of the prior art.
  • the present inventors discovered a cleaning composition that is devoid of ammonia and/or strong bases (e.g., NaOH, KOH, etc.) that effectively and selectively removes titanium-containing residues, titanium-containing hardmask materials (e.g., titanium nitride), or both, from the surface of a microelectronic device having same thereon.
  • the composition has a substantially longer bath-life relative to the ammonia-peroxide compositions of the prior art and will substantially remove polymeric sidewall residue and copper-containing residue.
  • compositions of the invention may be formulated to substantially remove the titanium-containing residue, the polymeric sidewall residue and/or the copper-containing residue from the surface of the microelectronic device without substantially damaging the underlying ILD, metal interconnect materials, and the hardmask layer.
  • the composition may be formulated to additionally remove the hardmask layer from the surface of the microelectronic device without substantially damaging the underlying low-k dielectric and metal interconnect materials, as described in detail herein.
  • the oxidizing cleaning compositions of the invention include at least one oxidizing agent, optionally at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, for cleaning hardmask layers and/or post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, and combinations thereof.
  • oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, for cleaning hardmask layers and/or post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residue
  • the oxidizing cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the oxidizing cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the oxidizing cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water.
  • the present invention relates to an oxidizing cleaning composition for cleaning hardmask layers and/or post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, and combinations thereof, said composition including at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water, present in the following ranges, based on the total weight of the composition.
  • component % by weight oxidizing agent(s) about 0.5% to about 20% amine species about 1% to about 25% organic co-solvent 0 to about 25 wt. % metal-chelating agent(s) 0 to about 1% buffer(s) 0 to about 5% water about 50% to about 99%
  • the oxidizing cleaning composition may comprise, consist of, or consist essentially of: (i) at least one oxidizing agent, optionally at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water; (ii) at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water; (iii) at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, terti
  • the water is preferably deionized.
  • the organic co-solvent(s) are present in an amount from about 0.1 wt. % to about 25 wt. %
  • the metal chelating agent(s) are present in an amount from about 0.01 wt. % to about 1 wt. %
  • the buffering species are present in an amount from about 0.01 wt. % to about 5 wt. %, based on the total weight of the composition.
  • the oxidizing aqueous cleaning composition is substantially devoid of abrasive material(s) typically found in chemical mechanical polishing (CMP) slurries, e.g., silica, alumina, etc., when used to remove post-plasma etch residue and/or hardmask material from the microelectronic device having same thereon, i.e., when used to remove post-etch residue prior to subsequent deposition or layering steps.
  • CMP chemical mechanical polishing
  • “Substantially devoid” is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %.
  • the oxidizing aqueous cleaning composition may include abrasive material(s) typically found in chemical mechanical polishing (CMP) slurries, e.g., silica, alumina, etc., for use in chemical mechanical polishing processes, e.g., Step II CMP processing.
  • CMP chemical mechanical polishing
  • the pH range of the oxidizing aqueous cleaning composition is about 3 to about 9, preferably about 6 to about 9, and most preferably about 6.5 to about 8.5.
  • the oxidizing species contemplated herein include, but are not limited to, hydrogen peroxide (H 2 O 2 ), ferric nitrate (Fe(NO 3 ) 3 ), potassium iodate (KIO 3 ), potassium permanganate (KMnO 4 ), nitric acid (HNO 3 ), ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), tetramethylammonium chlorite ((N(CH 3 ) 4 )ClO 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 3 ), tetramethylammonium iodate ((N
  • the oxidizing agent comprises hydrogen peroxide. It is contemplated herein that the oxidizing agent, e.g., H 2 O 2 , may be added directly to the cleaning composition or generated in situ.
  • the oxidizing agent e.g., H 2 O 2
  • One preferred aspect of the invention relates to a composition that comprises H 2 O 2 and may be stored at least 6 hours, more preferably at least 12 hours, even more preferably at least 24 hours, prior to use.
  • the oxidizing agent stabilizer(s) comprises an amine species including, but not limited to: primary amines such as monoethanolamine, aminoethoxyethanol (diglycolamine), monoisopropanolamine, isobutanolamine, and C 2 -C 8 alkanolamines; secondary amines such as methylethanolamine, N-methylaminoethanol, and diethanolamine; tertiary amines such as triethanolamine, methyldiethanolamine, triethylamine, N,N-dimethylglycolamine, N,N-dimethyldiglycolamine, pentamethyldiethylenetriamine; amine-N-oxides such as N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-
  • Organic co-solvents contemplated herein include, but are not limited to, ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3-propanediol, diethyleneglycol, dipropyleneglycol, glycerol, formamide, acetamide, higher amides, N-methylpyrrolidone (NMP), N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide (DMSO), ⁇ -butyrolactone, propylene carbonate, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol
  • the optional metal-chelating agent(s) in the oxidizing aqueous cleaning composition of the invention is thought to minimize copper dissolution which may be accelerated in the presence of the oxidizing agent(s) of the invention as well as to stabilize the oxidizing agent(s)-containing composition.
  • the optional metal-chelating agent(s) may comprise one or more components including for example, triazoles, such as 1,2,4-triazole (TAZ), or triazoles substituted with substituents such as C 1 -C 8 alkyl, amino, thiol, mercapto, imino, carboxy and nitro groups, such as benzotriazole (BTA), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthi
  • the optional buffering species may be included for pH stabilization and/or selective removal of residues from exposed copper surfaces, e.g., open vias.
  • the buffer includes tetralkylammonium salts of weak acids, wherein the tetralkylammonium salt includes a tetralkylammonium cation represented by [NR 1 R 2 R 3 R 4 ] + , where R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of C 1 -C 6 straight-chained or branched alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl) or C 6 -C 10 substituted or unsubstituted aryl groups (e.g., benzyl), and the weak acid includes: boric acid; and a carboxylic acid such as lactic acid, maleic acid, ascorbic acid, malic acid, benzo
  • the buffering species includes a tetramethylammonium salt of citric acid or tetramethylammonium salts of boric acid.
  • Tetraalkylammonium hydroxides that are commercially available may be used for production of the preferred tetralkylammonium salt of a weak acid in combination with the aforementioned acid species.
  • tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH) and tetrabutylammonium hydroxide (TBAH) may be used.
  • Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH and TBAH, which are known to one ordinary of skill in the art.
  • the buffering species is included when metal chelating agent(s) are present to stabilize the pH of the composition so that the metal will not erode and so that the peroxide does not decompose rapidly.
  • the oxidizing aqueous cleaning composition may further include surfactant(s), low-k passivator(s), etchant(s), defoamer(s), etc.
  • the oxidizing aqueous cleaning composition is formulated in the following Formulations A-W, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation A 10.0% N,N-dimethyldiglycolamine; 5.0% hydrogen peroxide; 85.0% water
  • Formulation B 11.2% triethanolamine; 5.0% hydrogen peroxide; 83.8% water
  • Formulation C 6.6% N,N-dimethylethanolamine; 5.0% hydrogen peroxide; 88.4% water
  • Formulation D 4.8% N-methylethanolamine; 5.0% hydrogen peroxide; 90.2% water
  • Formulation E 8.3% N,N-dimethyldiglycolamine; 4.2% hydrogen peroxide; 87.5% water
  • Formulation F 8.3% NMMO; 4.2% hydrogen peroxide; 87.5% water
  • Formulation G 0.1% TAZ; 8.3% NMMO; 4.2% hydrogen peroxide; 87.4% water
  • Formulation H 0.1% 1,2,3-benzotriazole; 8.3% NMMO; 4.2% hydrogen peroxide; 87.4% water
  • Formulation I 0.2% 1,2,4-triazole; 15% NMMO; 10% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 63.8% water
  • Formulation J 0.2% 1,2,4-triazole; 7.5% NMMO; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 80.3% water
  • Formulation K 0.1% TAZ; 8.3% NMMO; 8.3% hydrogen peroxide; 81.4% water
  • Formulation L 1.2% TAZ; 20% NMMO; 7.2% hydrogen peroxide; 63.4% water
  • Formulation M 0.2% TAZ; 13.4% NMMO; 5% hydrogen peroxide; 10% diethylene glycol butyl ether; 71.4% water
  • Formulation N 0.2% TAZ; 13.4% NMMO; 10% hydrogen peroxide; 10% diethylene glycol butyl ether; 66.4% water
  • Formulation O 0.2% TAZ; 15% NMMO; 10% hydrogen peroxide; 10% diethylene glycol butyl ether; 64.8% water
  • Formulation P 0.2% 1,2,4-triazole; 15% NMMO; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 73.8% water
  • Formulation Q 1% hydrogen peroxide; 7.5% NMMO; 10.0% butyl carbitol; 0.2% 1,2,4-triazine; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 80.3% water
  • Formulation R 10% hydrogen peroxide; 7.5% NMMO; 10.0% butyl carbitol; 0.2% 1,2,4-triazine; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 71.3% water
  • Formulation S 0.011% CDTA; 7.5% NMMO; 1.0% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.52% boric acid; 0.22% tetramethylammonium hydroxide; 80.75% water
  • Formulation T 0.2% 1,2,4-triazole; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 87.8% water
  • Formulation U 0.2% 1,2,4-triazole; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 88.8% water
  • Formulation V 1% hydrogen peroxide; 10.0% butyl carbitol; 0.2% 1,2,4-triazine; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 87.8% water
  • Formulation W 0.011% CDTA; 1.0% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.52% boric acid; 0.22% tetramethylammonium hydroxide; 88.25% water
  • the oxidizing aqueous composition of the present invention includes the following components present in the following ranges, based on the total weight of the composition.
  • the oxidizing cleaning composition comprises hydrogen peroxide, NMMO, at least one metal-chelating agent and water.
  • the oxidizing aqueous composition of the present invention includes the following components present in the following ranges, based on the total weight of the composition.
  • the oxidizing cleaning composition comprises hydrogen peroxide, NMMO, at least one metal-chelating agent, at least one organic co-solvent, and water.
  • the oxidizing aqueous composition of the present invention includes the following components present in the following ranges, based on the total weight of the composition.
  • the oxidizing cleaning composition comprises hydrogen peroxide, NMMO, at least one metal-chelating agent, at least one organic co-solvent, at least one buffering species, and water.
  • the oxidizing cleaning composition may include 1,2,4-triazole, NMMO, hydrogen peroxide, diethylene glycol butyl ether, citric acid, tetramethylammonium hydroxide and water.
  • the oxidizing cleaning composition may comprise CDTA, NMMO, hydrogen peroxide, diethylene glycol butyl ether, boric acid, tetramethylammonium hydroxide, and water.
  • the oxidizing aqueous composition of the present invention includes at least one oxidizing agent, at least one organic solvent, at least one metal chelating agent, at least one buffering species, and water.
  • the composition may include hydrogen peroxide, CDTA and borate ions (i.e., a tetralkylammonium salt and boric acid).
  • the oxidizing cleaning composition includes hydrogen peroxide, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, post-plasma etch residue, and water.
  • the post-plasma etch residue comprises residue material selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, and combinations thereof.
  • the oxidizing cleaning composition includes hydrogen peroxide, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, hardmask material, and water.
  • the hardmask material includes titanium-containing material residue.
  • the residue material and/or hardmask material may be dissolved and/or suspended in the cleaning composition of the invention.
  • the TiN hardmask etch rate is strongly dependent on the concentration of oxidizing agent species. If complete removal of the hardmask is not desirable then a lower concentration of oxidizing agent(s) may be used, e.g., in a range from about 0.5 wt. % to about 3 wt. %, preferably about 0.5 wt. % to about 1.5 wt. %.
  • the range of weight percent ratios of the components of the cleaning composition are: about 1:1 to about 20:1 amine species relative to oxidizing agent, more preferably about 2:1 to about 10:1; about 1:1 to about 25:1 organic co-solvent(s) relative to oxidizing agent, preferably about 5:1 to about 15:1; about 0.01:1 to about 0.4:1 metal chelating agent(s) relative to oxidizing agent, preferably about 0.01:1 to about 0.2:1; and about 0.01:1 to about 2:1 buffering agent(s) relative to oxidizing agent, preferably about 0.5:1 to about 1.5:1.
  • a higher concentration of oxidizing agent(s) may be used, e.g., in a range from about 5 wt. % to about 15 wt. %, preferably about 7.5 wt. % to about 12.5 wt. %.
  • the range of weight percent ratios of the components of the cleaning composition are: about 0.1:1 to about 5:1 amine species relative to oxidizing agent, more preferably about 0.75:1 to about 2:1; about 0.1:1 to about 10:1 organic co-solvent(s) relative to oxidizing agent, preferably about 0.5:1 to about 2:1; about 0.01:1 to about 0.4:1 metal chelating agent(s) relative to oxidizing agent, preferably about 0.01:1 to about 0.1:1; and about 0.01:1 to about 1:1 buffering agent(s) relative to oxidizing agent, preferably about 0.01:1 to about 0.5:1.
  • Concentrated oxidizing aqueous cleaning compositions may be diluted when needed (at the fab, etc.) by adding water to the cleaning composition concentrate.
  • the cleaning composition is preferably diluted (water to cleaning composition) in a range from about 0.1:1 to about 20:1, preferably about 1:1 to about 10:1.
  • the oxidizing aqueous cleaning compositions may be formulated as foams, fogs, subcritical or supercritical fluids (i.e., wherein the solvent is CO 2 , etc., instead of water).
  • the oxidizing aqueous cleaning compositions of the present invention have an extended bath-life relative to the peroxide-containing baths of the prior art. It is known that hydrogen peroxide-containing compositions will decompose in the presence of trace amounts of metal ions. Accordingly, the decomposition of hydrogen peroxide-containing compositions can be minimized by adding metal ion chelators to the cleaning composition.
  • the percentage of peroxide measured at 50° C. in a static oxidizing composition (i.e., no removal processing therein) of the present invention after 24 hours is greater than about 90% of the initial concentration, preferably greater than about 95%, and most preferably greater than about 98%.
  • said cleaning compositions preferably selectively removes hardmask and/or post-plasma etch residue from the top surface, the sidewalls, and the vias and lines of the microelectronic device without compromising the ILD and/or the metal interconnect layers present on the device.
  • Another advantage associated with the use of the present invention is that no post-clean bake step is necessary to remove volatile materials that may absorb into the pores of the ILD materials.
  • the cleaning composition provides an TiN hardmask etch rate greater than 25 ⁇ min ⁇ 1 , preferably greater than 50 ⁇ min ⁇ 1 and/or an TiN/Cu selectivity greater than 10:1, preferably greater than 20:1, more preferably greater than 50:1, even more preferably greater than 100:1, even more preferably 200:1 and most preferably greater than 250:1 when used at temperatures in a range from about 30° C. to about 60° C.
  • the compositions have the bath life and/or storage stability described herein thus providing a highly selective cleaning composition with improved storage and usage properties.
  • the oxidizing aqueous cleaning compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the oxidizing aqueous cleaning compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use, preferably multi-part formulations. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • the concentrations of the respective ingredients may be widely varied in specific multiples of the oxidizing aqueous cleaning composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the oxidizing aqueous cleaning compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, the preferred combination of at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water for combining with an oxidizing source at the fab or the point of use.
  • the kit includes at least one tertiary amine-containing additive, at least one organic co-solvent, at least one buffering agent, at least one metal-chelating agent, and water, for combining with and oxidizing source and water at the fab or the point of use.
  • the kit includes at least one amine-N-oxide, at least one organic co-solvent, at least one buffering agent, at least one metal-chelating agent, and water, for combining with the oxidizing source and water at the fab or the point of use.
  • the kit includes at least one amine-N-oxide, at least one organic co-solvent, at least one buffering agent, at least one metal-chelating agent, and water, for combining with the oxidizing source at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said cleaning composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the oxidizing aqueous cleaning compositions of the present invention are usefully employed to clean post-plasma etch residue and/or titanium-containing hardmask from the surface of the microelectronic device, and may be applied to said surface before or after the application of other compositions formulated to remove alternative materials from the surface of the device.
  • a composition that preferentially removes copper-containing residues may be applied before or after the cleaning composition of the present invention is applied.
  • the cleaning compositions of the invention do not damage ILD materials on the device surface and preferably remove at least 90% of the residue and/or hardmask present on the device prior to removal processing, more preferably at least 95%, and most preferred at least 99%.
  • the oxidizing aqueous cleaning composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the oxidizing aqueous cleaning composition on the surface of the device to be cleaned, by dipping the device to be cleaned in a static or dynamic volume of the oxidizing aqueous cleaning composition, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that has the oxidizing aqueous cleaning composition absorbed thereon, or by any other suitable means, manner or technique by which the oxidizing aqueous cleaning composition is brought into removal contact with the device to be cleaned.
  • batch or single wafer processing is contemplated herein.
  • the oxidizing aqueous cleaning composition typically is contacted with the device for a time of from about 1 minute to about 60 minutes, at temperature in a range of from about 25° C. to about 70° C., preferably about 30° C. to about 60° C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the post-etch residue material and/or hardmask layer from the device, within the broad practice of the invention.
  • At least partial removal of the residue material and/or hardmask layer from the microelectronic device corresponds to at removal of at least 90% of the material, preferably at least 95% removal. Most preferably, at least 99% of said residue material and/or hardmask layer is removed using the compositions of the present invention.
  • the oxidizing aqueous cleaning composition which is preferably water miscible, is readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the device may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N 2 , vapor-dry etc.).
  • Another aspect of the invention relates to a two-step method of removing post-plasma etch residue material from the surface of the microelectronic device.
  • the first step involves the contacting of the oxidizing aqueous cleaning compositions of the invention with the device for a time of from about 1 minute to about 60 minutes, at temperature in a range of from about 25° C. to about 70° C., preferably about 30° C. to about 60° C. Thereafter, the device is contacted with a dilute hydrofluoric acid composition at temperature in a range from about 20° C. to about 25° C. for 15 seconds to about 60 seconds.
  • the dilute hydrofluoric acid composition may have a dilution in a range from about 100:1 to about 1000:1 (water to HF), preferably about 400:1 to about 600:1.
  • the device is rinsed with a rinse composition, e.g., deionized water, subsequent to contact with the oxidizing aqueous cleaning composition and before contact with the dilute HF.
  • a rinse composition e.g., deionized water
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to clean post-plasma etch residue and/or titanium-containing hardmask from the microelectronic device having said residue and/or material thereon, and incorporating said microelectronic device into said article, wherein the oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
  • the oxidizing aqueous cleaning composition of the invention may be utilized in other aspects of the microelectronic device manufacturing process, i.e., subsequent to the post-plasma etch residue cleaning step.
  • the oxidizing aqueous cleaning compositions may be diluted and used as a post-chemical mechanical polishing (CMP) clean.
  • CMP post-chemical mechanical polishing
  • the oxidizing aqueous cleaning compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • the cleaning compositions of the invention may be combined with abrasive material and used as a Step II CMP slurry.
  • Step II CMP slurries typically have a high barrier material removal rate relative to the removal rate of copper and dielectric material.
  • abrasive material may be added to the cleaning compositions of the invention (to yield a cleaning composition slurry) and used for the Step II CMP of a microelectronic device having tungsten and Ti/TiN barrier layer materials thereon.
  • a copper inhibiting species is preferably added to the cleaning composition slurry to protect the copper during planarization processes.
  • Abrasives contemplated herein includes silica, alumina, ceria and mixtures thereof.
  • Inhibitors contemplated herein include imidazole, aminotetrazole, benzotriazole, benzimidazole, 1,2,4-triazole, 2-mercaptobenzimidazole (MBI), amino, imino, carboxy, mercapto, nitro, alkyl, urea and thiourea compounds, oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, iminodiacetic acid, and combinations thereof.
  • MBI 2-mercaptobenzimidazole
  • etch rates of blanketed titanium nitride and physical vapor deposited (PVD) copper samples in Formulations A-D was determined.
  • the thickness of the TiN and PVD Cu coatings on silicon wafers were measured before and after immersion for 60 minutes in Formulations A-D at the indicated temperatures. Thicknesses were determined using a 4-point probe measurement whereby the resistivity of the composition is correlated to the thickness of the film remaining and the etch rate calculated therefrom.
  • the experimental etch rates are reported in Table 1.
  • Formulation A had the most favorable TiN to Cu etch selectivity. Further, the etch rate of TiN increased as the temperature increased.
  • a sample of low-k dielectric material consisting of a 3500 ⁇ uniform coating of porous CDO having a nominal k-value of 2.5 on a silicon wafer was evaluated for thickness and refractive index both before and after immersion in Formulation E for 10 minutes at 40° C.
  • the thickness and refractive index were measured using spectroscopic ellipsometry. The results are shown in Table 2 hereinbelow.
  • FIG. 2 is the Fourier Transform Infrared (FTIR) Spectrograph of the porous CDO sample before and after immersion in Formulation E. It can be seen that no significant changes in the difference spectrum obtained by subtraction of one spectrum from the other (time a factor of ten) is detectable, indicating that the porous CDO was not compromised by Formulation E.
  • FTIR Fourier Transform Infrared
  • a test sample was evaluated for cleaning using Formulation E.
  • the test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5.
  • Low-k dielectric over copper metal was exposed at the via bottoms.
  • the dielectric was capped by a 100 ⁇ TiN layer over 400 ⁇ silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer.
  • the piece of the test sample was cleaned by static immersion in Formulation E for 15 minutes at 40° C. then rinsing with water. Evaluation by scanning electron microscopy (SEM) revealed complete removal of titanium-containing residues and the titanium nitride hardmask layer with no observable changes or damage to the dielectric material or corrosion of the copper layer.
  • SEM scanning electron microscopy
  • the bath-life of Formulation E was compared to the bath-life of Formulation F by monitoring the concentration of hydrogen peroxide at 40° C.
  • the relative H 2 O 2 concentration was measured for a solution aliquot diluted in dilute sulfuric acid.
  • the diluted aliquot was titrated with a solution of about 7.5 w/v % ammonium cerium (IV) sulfate hydrate in dilute sulfuric acid.
  • the relative H 2 O 2 concentration was determined by the volume ratio of cerium (IV) solution required to reach the end point versus the volume required at zero aging time.
  • the results of the bath-life comparison are shown in FIG. 3 .
  • Formulation E although a promising candidate for the selective and effective removal of titanium-containing post-plasma etch residues, undergoes about 50% H 2 O 2 decomposition over less than 50 minutes, thereby decreasing the efficacy of said formulation for the residue material over time.
  • Formulation F comprising NMMO, underwent negligible decomposition over more than about 48 hours.
  • etch rates of blanketed titanium nitride and physical vapor deposited (PVD) copper samples in Formulations F-H was determined.
  • the thickness of silicon wafers having 1000 ⁇ coatings of the respective material were measured before and after immersion for 15 minutes at 50° C. in Formulations F-H as described hereinabove in Example 1.
  • the experimental etch rates are reported in Table 3.
  • Formulation G including NMMO had the most favorable TiN to Cu etch selectivity. Accordingly, a post-etch dual-damascene sample as described in Example 2 was cleaned by static immersion of the sample and the extent of cleaning determined by SEM, which revealed that the TiN was completely removed from the top surface and sidewalls of the device wafer. Further, the ILD and the copper interconnect material was not damaged.
  • test sample was evaluated for cleaning using Formulation O.
  • the test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5.
  • Low-k dielectric over copper metal was exposed at the via bottoms.
  • the dielectric was capped by a 100 ⁇ TiN layer over 400 ⁇ silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer.
  • the piece of the test sample was cleaned by static immersion in Formulation O for 6 minutes at 55° C. then rinsing with water.
  • the etch rates of blanketed PVD copper samples was determined, wherein the thickness of the PVD Cu coating on a silicon wafer was measured before and after immersion for 60 minutes in Formulations O-1 through O-9 at 50° C. Thicknesses were determined using a 4-point probe measurement whereby the resistivity of the composition is correlated to the thickness of the film remaining and the etch rate calculated therefrom. The experimental etch rates are illustrated in FIG. 4 .
  • the copper etch rates are dependent only on the pH of the composition and not on the amount of buffer.
  • the pH of the composition is chosen so that the etch rate of copper is no more than 10 ⁇ min ⁇ 1 .
  • the post-clean capacitance of a sample of a porous carbon-doped oxide (CDO) dielectric with k-value of about 2.5 having the tradename BLACK DIAMOND® (BD2) was determined following immersion of blanketed BD2 in Formulation O and Formulation I at 55° C. for 5 minutes.
  • the capacitance was determined using a mercury probe tool with an impedance analyzer. Results are reported as an average of five measurements for each wafer piece.
  • the results of the capacitance experiments are shown in FIG. 5 . It can be seen that the capacitance of the BD2 wafer does not substantially increase following immersion in Formulation O or Formulation I, with the small increase within experimental error. Also illustrated in FIG. 5 is the change in tan ⁇ , which is a measure of the dissipative loss in dielectric, which is also within experimental error.
  • test sample was evaluated for cleaning using Formulations J and P.
  • the test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5.
  • Low-k dielectric over copper metal was exposed at the via bottoms.
  • the dielectric was capped by a 100 ⁇ TiN layer over 400 ⁇ silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer.
  • the formulation includes a small amount of peroxide, e.g., about 1 wt. % at in Formulation P.
  • the piece of the test sample was also cleaned by static immersion in Formulation J for 1.5 to 4.5 minutes at 40° C. or 50° C. then rinsing with water.
  • Evaluation by scanning electron microscopy (SEM) of the sample cleaned in Formulation J for 4.5 minutes at 40° C. revealed nearly complete removal of surface residues, complete removal of polymeric sidewall residues, partial removal of copper-containing residues and no TiN hardmask etching.
  • Evaluation by scanning electron microscopy (SEM) of the sample cleaned in Formulation J for 3.0 minutes at 50° C. revealed nearly complete removal of surface residues, complete removal of polymeric sidewall residues, complete removal of copper-containing residues and no TiN hardmask etching. In addition, no copper interconnect damage was observed.
  • Variations of Formulation S were analyzed to determine the decomposition rate of H 2 O 2 at 60° C. with time relative to a control including just 1% H 2 O 2 in an aqueous solution, as illustrated in FIG. 6 .
  • the formulation variations include formulation S, formulation S plus Cu(NO 3 ) 2 , formulation S plus Cu(C 2 H 3 O 2 ) 2 , formulation S minus CDTA, formulation S minus CDTA plus Cu(NO 3 ) 2 , and formulation S minus CDTA plus Cu(C 2 H 3 O 2 ) 2 .
  • the concentration of the copper (II) salts ranged from 1 ppm to 10 ppm. In the experiments that formulation S was devoid of CDTA, the formulation was also devoid of boric acid, and TAZ and citric acid were present instead.
  • the presence of CDTA in the formulation extends the bathlife of the formulation to at least 24 hours, even in the presence of a Cu 2+ source such as Cu(NO 3 ) 2 and Cu(C 2 H 3 O 2 ) 2 .
  • a Cu 2+ source such as Cu(NO 3 ) 2 and Cu(C 2 H 3 O 2 ) 2 .
  • the composition immediately begins to undergo substantial decomposition. Accordingly, the presence of CDTA stabilizes the oxidizing agent-containing bath, thus extending the lifetime of said bath.
  • the stability of the oxidizing agent-containing bath is further influenced by the pH of the bath. Comparing the results illustrated in FIG. 6 with those illustrated in FIG. 7 , it can be seen that the ideal pH range when CDTA is the metal chelating agent and boric acid is one of the buffering species is about 7.5 to about 8.5 while the ideal pH range when TAZ is the metal chelating agent and citric acid is one of the buffering species is about 6 to about 6.5. As such, buffering species are preferred to maintain the pH in this useful range.
  • test sample was evaluated for cleaning using Formulation S.
  • the test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5.
  • Low-k dielectric over copper metal was exposed at the via bottoms.
  • the dielectric was capped by a 100 ⁇ TiN layer over 400 ⁇ silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer.
  • the piece of the test sample was cleaned by static immersion in Formulation S for 5 minutes at 50° C. then rinsing with water.
  • SEM scanning electron microscopy
  • the same test sample was then evaluated for cleaning using a two-step process—the first step involving static immersion in Formulation S for 4 minutes at 50° C., and the second step involving static immersion in a dilute hydrofluoric acid solution (400:1 water:HF) for 1 minute at 22° C.
  • the sample was rinsed with deionized water between steps.
  • SEM revealed complete removal of titanium-containing residues from the TiN layer, complete removal of the copper-containing residue from the via bottoms, and no observable changes or damage to the dielectric material or the TiN layer.
  • a separate experiment involving a single step cleaning of the same wafer with dilute HF (400:1 water:HF) for 1 minute at 22° C. revealed irregular copper etch loss. Accordingly, the two step process is favored when both titanium-containing residue and copper-containing residue from the via bottoms is preferably substantially removed.
  • an increased amount of oxidizing agent in the formulation e.g., 2 wt. % H 2 O 2 instead of 1 wt. % H 2 O 2 , only increases the etch rate of TiN and does not result in more efficient cleaning of the titanium-containing post-etch residue. Moreover, the remaining TiN layer had a greater surface roughness when exposed to the formulation having the higher H 2 O 2 concentration.
  • the post-clean capacitance of BD2 sample was determined (1) following immersion of blanketed BD2 in Formulation S at 50° C. for 5 minutes, and (2) following immersion of blanketed BD2 in Formulation S at 50° C. for 5 minutes following by immersion in dilute HF (400:1) for 1 minute at 22° C.
  • the capacitance was determined using a mercury probe tool with an impedance analyzer. It was determined that formulation S is compatible with the low-k dielectric layer.
  • the etch rates of blanket PVD Cu wafers were measured following immersion of the Cu wafer in (1) Formulation S at 50° C., or (2) dilute HF at various dilutions (100:1, 200:1, 300:1, 400:1, 500:1, 600:1 and 800:1) at 22° C.
  • the etch rate of copper following immersion in formulation S was determined to be about 2.6 ⁇ min ⁇ 1 and the etch rate of copper following immersion in dilute HF was about 3-4 ⁇ min ⁇ 1 and importantly, was not strongly dependent on the extent of dilution.
  • test sample was evaluated for cleaning using Formulation S using a two-step process—the first step involving static immersion in Formulation S for 5 minutes at 50° C., and the second step involving static immersion in a dilute hydrofluoric acid solution (600:1 water:HF) for 0, 15, 30, 45, and 60 seconds at 22° C.
  • the test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5. Low-k dielectric over copper metal was exposed at the via bottoms.
  • the dielectric was capped by a 100 ⁇ TiN layer over 400 ⁇ silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer.

Abstract

An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.

Description

This application is filed under the provisions of 35 U.S.C. §111(a) and is a continuation of U.S. patent application Ser. No. 12/089,288 filed on Apr. 4, 2008, now U.S. Pat. No. 7,922,824 issued on Apr. 12, 2011, which claims priority to International Patent Application No. PCT/US2006/038930 filed on 4 Oct. 2006, which claims priority to U.S. Provisional Patent Application No. 60/723,776 filed on 5 Oct. 2005, U.S. Provisional Patent Application No. 60/745,251 filed on 20 Apr. 2006, and U.S. Provisional Patent Application No. 60/745,316 filed on 21 Apr. 2006, which are all hereby incorporated herein in their entireties.
FIELD OF THE INVENTION
The present invention relates to aqueous oxidizing compositions for the removal of post-etch residue and/or titanium-containing hardmask material from microelectronic devices and methods of making and using the same, wherein the oxidizing compositions have a high selectivity for titanium-containing materials relative to the interlevel dielectric (ILD) and metallic interconnect materials on the microelectronic device.
DESCRIPTION OF THE RELATED ART
Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material. In the past, silicate glass vapor-deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects.
Demand for higher processing speeds has led to smaller sizing of circuit elements, along with the replacement of TEOS and aluminum alloys by higher performance materials. Aluminum alloys have been replaced by copper or copper alloys due to the higher conductivity of copper. TEOS and fluorinated silicate glass (FSG) have been replaced by the so-called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass. The incorporation of porosity, i.e., air-filled pores, in these materials further lowers the dielectric constant of the material.
During dual-damascene processing of integrated circuits, photolithography is used to image a pattern onto a device wafer. Photolithography techniques comprise the steps of coating, exposure, and development. A wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes. Following the proper positioning of the mask, the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light (≈250 nm or 193 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution. The soluble photoresist material is then removed, or “developed,” thereby leaving behind a pattern identical to the mask.
Thereafter, gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to the underlying layers, which may include hardmask, interlevel dielectric (ILD), and/or etch stop layers. Post-plasma etch residues are typically deposited on the back-end-of-the-line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Post-plasma etch residues typically include chemical elements present on the substrate and in the plasma gases. For example, if a TiN hardmask is employed, e.g., as a capping layer over ILD, the post-plasma etch residues include titanium-containing species, which are difficult to remove using conventional wet cleaning chemistries. Moreover, conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures. For example, buffered fluoride and solvent-based chemistries fail to completely remove TiN and Ti-containing residues, while hydroxylamine-containing and ammonia-peroxide chemistries corrode copper.
In addition to the desirable removal of titanium-containing hardmask and/or titanium-containing post-plasma etch residue, additional materials that are deposited during the post-plasma etch process such as polymeric residues on the sidewalls of the patterned device and copper-containing residues in the open via structures of the device are also preferably removed. To date, no single wet cleaning composition has successfully removed all of residue and/or hardmask material while simultaneously being compatible with the ILD, other low-k dielectric materials, and metal interconnect materials.
The integration of new materials, such as low-k dielectrics, into microelectronic devices places new demands on cleaning performance. At the same time, shrinking device dimensions reduce the tolerance for changes in critical dimensions and damage to device elements. Etching conditions can be modified in order to meet the demands of the new materials. Likewise, post-plasma etch cleaning compositions must be modified. Importantly, the cleaner should not damage the underlying dielectric material or corrode metallic interconnect materials, e.g., copper, tungsten, cobalt, aluminum, ruthenium, and silicides thereof, on the device.
Towards that end, it is an object of the present invention to provide improved aqueous compositions for the selective and effective removal of titanium-containing post-plasma etch residue, polymeric sidewall residue, copper-containing via residue and/or titanium-containing hardmask layers from microelectronic devices, said compositions being compatible with ILD and metal interconnect materials.
It is another object of the present invention to provide improved aqueous compositions having an extended bath-life relative to conventional peroxide-containing cleaning compositions.
SUMMARY OF THE INVENTION
The present invention generally relates to cleaning compositions and methods of making and using same. One aspect of the invention relates to an oxidizing aqueous composition and process for cleaning post-plasma etch residue and/or titanium-containing hardmask from microelectronic devices having said residue and/or hardmask thereon, while simultaneously not compromising the metallic and ILD materials on the microelectronic device surface. The oxidizing aqueous cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
In one aspect, the invention relates to an oxidizing aqueous cleaning composition, comprising at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
In another aspect, the invention relates to a kit comprising, in one or more containers, one or more of the following reagents for forming an oxidizing aqueous cleaning composition, said one or more reagents selected from the group consisting of at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one chelating agent, optionally at least one buffering species, and water, and wherein the kit is adapted to form an oxidizing aqueous cleaning composition suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or material thereon.
In still another aspect, the present invention relates to a method of removing post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon, said method comprising contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to at least partially clean said residue and/or hardmask from the microelectronic device, wherein the oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
Still another aspect of the invention relates to a oxidizing aqueous cleaning composition, comprising at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
In yet another aspect, the invention relates to an oxidizing aqueous cleaning composition, comprising hydrogen peroxide, at least one amine-N-oxide, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
Still another aspect of the invention relates to an oxidizing aqueous cleaning composition comprising hydrogen peroxide, at least one amine-N-oxide, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
Another aspect of the invention relates to an oxidizing aqueous cleaning composition comprising hydrogen peroxide, at least one amine-N-oxide, diethylene glycol butyl ether, 1,2,4-triazole, tetramethylammonium hydroxide, citric acid, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
Yet aspect of the invention relates to an oxidizing aqueous cleaning composition comprising hydrogen peroxide, at least one amine-N-oxide, diethylene glycol butyl ether, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid, tetramethylammonium hydroxide, boric acid, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
A further aspect of the invention relates to an oxidizing aqueous cleaning composition comprising hydrogen peroxide, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid, boric acid, and water, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon.
A further aspect of the invention relates to a CMP shiny composition to remove barrier layer material from a microelectronic device substrate, said CMP slurry composition comprising abrasive, at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one metal-chelating agent, optionally at least one organic co-solvent, optionally at least one buffering species, and water, wherein said CMP slurry composition is suitable for the selective removal of barrier layer material relative to metal interconnect and dielectric material layers.
Another aspect of the invention relates to a method of removing post-plasma etch residue from a microelectronic device having said residue thereon, said method comprising:
    • contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to at least partially clean said residue from the microelectronic device, wherein the oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine-N-oxide, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water; and
    • contacting the microelectronic device with a dilute hydrofluoric acid solution for sufficient time to at least partially remove post-plasma etch residue from a metal interconnect material.
Another aspect of the invention relates to an article of manufacture comprising an aqueous cleaning composition, a microelectronic device, and post-plasma etch residue and/or hardmask material, wherein the aqueous composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
In a further aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to at least partially remove post-plasma etch residue and/or hardmask material from the microelectronic device having said residue and/or material thereon, wherein the oxidizing aqueous composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising cleaning of post-plasma etch residue and/or hardmask material from the microelectronic device having said residue and/or material thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1A illustrates a microelectronic device following post-plasma etch processing, wherein the sidewalls of the ultra low-k dielectric include polymeric residue and the copper via (or line) includes copper-containing residue thereon.
FIG. 1B illustrates the microelectronic device of FIG. 1A following cleaning using the oxidizing aqueous cleaning compositions of the present invention, wherein the polymeric residue, the copper-containing residue, and the TiN hardmask has been removed.
FIG. 2 is an FTIR spectrograph of a blanketed porous-CDO wafer before and after cleaning the wafer with formulation E of the present invention.
FIG. 3 illustrates the percentage of hydrogen peroxide present in formulations E and F of the present invention as a function of the logarithm of time.
FIG. 4 illustrates the etch rate of PVD deposited copper in Å min−1 as a function of the pH of the buffered cleaning composition.
FIG. 5 illustrates the capacitance of a BD2 control wafer relative to the capacitance of a BD2 control wafer following immersion in Formulations O and I at 55° C. for 5 minutes.
FIG. 6 illustrates the percentage of hydrogen peroxide present in variations of formulation S of the present invention as a function of time.
FIG. 7 illustrates the pH of variations of formulation S of the present invention as a function of time.
DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
One aspect of the present invention relates to cleaning compositions comprising at least one oxidizer, preferably hydrogen peroxide, and at least one oxidizer stabilizer, preferably an amine-N-oxide. Preferably, the invention relates to oxidizing aqueous compositions for cleaning titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue and/or hardmask layers from microelectronic devices having said residue and/or layers thereon (see, for example, FIGS. 1A and 1B), said compositions being compatible with ultra low-k (ULK) dielectric materials, such as OSG and porous-CDO, and the metallic interconnect materials, e.g., copper and cobalt, on the microelectronic device surface.
For ease of reference, “microelectronic device” corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
As defined herein, “oxidizing agent stabilizer” corresponds to a species which extends the bath-life of the oxidizing agent and is compatible with metal interconnect material (e.g., copper) present on the surface of the microelectronic device. Preferably, in the presence of the oxidizing agent stabilizer, no more than 10% of the oxidizing agent decomposes over a period of 24 hours at temperatures in a range from about 30° C. to about 50° C., more preferably no more than 5% over the same period, most preferably no more than 2% over the same period.
“Post-etch residue” and “post-plasma etch residue,” as used herein, corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual-damascene processing. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, hardmask capping layer material (e.g., titanium-containing material), nitrogen-containing material, oxygen-containing material, polymeric residue material, copper-containing residue material, etch gas residue such as chlorine and fluorine, and combinations thereof.
As defined herein, “low-k dielectric material” corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
As defined herein, the term “polymeric sidewall residue” corresponds to the residue that remains on the sidewalls of the patterned device subsequent to post-plasma etching processes. The residue is substantially polymeric in nature however, it should be appreciated that inorganic species, e.g., titanium, silicon and/or copper-containing species, may be present in the residue as well.
As used herein, “about” is intended to correspond to ±5% of the stated value.
As used herein, “suitability” for cleaning titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue and/or hardmask layers from a microelectronic device having said residue and/or material thereon corresponds to at least partial removal of said residue and/or material from the microelectronic device. Preferably, at least about 90% of one or more of the materials, more preferably at least 95% of one or more of the materials, and most preferably at least 99% of one or more of the materials, is removed from the microelectronic device using the compositions of the invention.
“Hardmask capping layer” as used herein corresponds to materials deposited over dielectric material to protect same during the plasma etch step. Hardmask capping layers are traditionally silicon nitrides, silicon oxynitrides and other similar compounds. Hardmask capping layers further contemplated herein include titanium nitride and titanium oxynitride.
As defined herein, “amine species” includes primary amines, secondary amines, tertiary amines and amine-N-oxide species.
Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
Titanium nitride compounds are notoriously difficult to etch using the ammonia-containing compositions of the prior art. The present inventors discovered a cleaning composition that is devoid of ammonia and/or strong bases (e.g., NaOH, KOH, etc.) that effectively and selectively removes titanium-containing residues, titanium-containing hardmask materials (e.g., titanium nitride), or both, from the surface of a microelectronic device having same thereon. In addition, the composition has a substantially longer bath-life relative to the ammonia-peroxide compositions of the prior art and will substantially remove polymeric sidewall residue and copper-containing residue. The compositions of the invention may be formulated to substantially remove the titanium-containing residue, the polymeric sidewall residue and/or the copper-containing residue from the surface of the microelectronic device without substantially damaging the underlying ILD, metal interconnect materials, and the hardmask layer. Alternatively, the composition may be formulated to additionally remove the hardmask layer from the surface of the microelectronic device without substantially damaging the underlying low-k dielectric and metal interconnect materials, as described in detail herein.
The oxidizing cleaning compositions of the invention include at least one oxidizing agent, optionally at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water, for cleaning hardmask layers and/or post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, and combinations thereof. In another embodiment, the oxidizing cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. In yet embodiment, the oxidizing cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. In still another embodiment, the oxidizing cleaning compositions of the invention include at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water.
In one aspect, the present invention relates to an oxidizing cleaning composition for cleaning hardmask layers and/or post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, and combinations thereof, said composition including at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water, present in the following ranges, based on the total weight of the composition.
component % by weight
oxidizing agent(s) about 0.5% to about 20%
amine species about 1% to about 25%
organic co-solvent 0 to about 25 wt. %
metal-chelating agent(s) 0 to about 1%
buffer(s) 0 to about 5%
water about 50% to about 99%
In the broad practice of the invention, the oxidizing cleaning composition may comprise, consist of, or consist essentially of: (i) at least one oxidizing agent, optionally at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water; (ii) at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water; (iii) at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water; (iv) at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water; (v) at least one oxidizing agent, at least one amine-N-oxide, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water; (vi) hydrogen peroxide, at least one amine-N-oxide, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water; (vii) hydrogen peroxide, at least one amine-N-oxide, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water; or (viii) hydrogen peroxide, optionally at least one amine-N-oxide, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water.
The water is preferably deionized. When present, the organic co-solvent(s) are present in an amount from about 0.1 wt. % to about 25 wt. %, the metal chelating agent(s) are present in an amount from about 0.01 wt. % to about 1 wt. %, and the buffering species are present in an amount from about 0.01 wt. % to about 5 wt. %, based on the total weight of the composition.
In a preferred embodiment of the invention, the oxidizing aqueous cleaning composition is substantially devoid of abrasive material(s) typically found in chemical mechanical polishing (CMP) slurries, e.g., silica, alumina, etc., when used to remove post-plasma etch residue and/or hardmask material from the microelectronic device having same thereon, i.e., when used to remove post-etch residue prior to subsequent deposition or layering steps. “Substantially devoid” is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. However, it is contemplated herein that the oxidizing aqueous cleaning composition may include abrasive material(s) typically found in chemical mechanical polishing (CMP) slurries, e.g., silica, alumina, etc., for use in chemical mechanical polishing processes, e.g., Step II CMP processing.
It was surprisingly discovered that upon oxidation of the titanium (III) nitride to a titanium (IV) oxide species, the titanium (IV) species was readily soluble in a neutral composition, possibly because of the concurrent presence of other species (e.g., silicon and oxygen-containing species) in the residue. Accordingly, in the broad practice of the invention, the pH range of the oxidizing aqueous cleaning composition is about 3 to about 9, preferably about 6 to about 9, and most preferably about 6.5 to about 8.5.
The oxidizing species contemplated herein include, but are not limited to, hydrogen peroxide (H2O2), ferric nitrate (Fe(NO3)3), potassium iodate (KIO3), potassium permanganate (KMnO4), nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammonium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), urea hydrogen peroxide ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and combinations thereof. Preferably, the oxidizing agent comprises hydrogen peroxide. It is contemplated herein that the oxidizing agent, e.g., H2O2, may be added directly to the cleaning composition or generated in situ. One preferred aspect of the invention relates to a composition that comprises H2O2 and may be stored at least 6 hours, more preferably at least 12 hours, even more preferably at least 24 hours, prior to use.
The oxidizing agent stabilizer(s) comprises an amine species including, but not limited to: primary amines such as monoethanolamine, aminoethoxyethanol (diglycolamine), monoisopropanolamine, isobutanolamine, and C2-C8 alkanolamines; secondary amines such as methylethanolamine, N-methylaminoethanol, and diethanolamine; tertiary amines such as triethanolamine, methyldiethanolamine, triethylamine, N,N-dimethylglycolamine, N,N-dimethyldiglycolamine, pentamethyldiethylenetriamine; amine-N-oxides such as N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide; and substituted derivatives or combinations thereof such as azoxy, oximes, oxaziranes, and oxazolidines. Preferably, the amine species includes NMMO.
Organic co-solvents contemplated herein include, but are not limited to, ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3-propanediol, diethyleneglycol, dipropyleneglycol, glycerol, formamide, acetamide, higher amides, N-methylpyrrolidone (NMP), N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide (DMSO), γ-butyrolactone, propylene carbonate, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof. Preferably, the organic co-solvent includes butyl carbitol, sulfolane, DMSO, and combinations thereof.
The optional metal-chelating agent(s) in the oxidizing aqueous cleaning composition of the invention is thought to minimize copper dissolution which may be accelerated in the presence of the oxidizing agent(s) of the invention as well as to stabilize the oxidizing agent(s)-containing composition. The optional metal-chelating agent(s) may comprise one or more components including for example, triazoles, such as 1,2,4-triazole (TAZ), or triazoles substituted with substituents such as C1-C8 alkyl, amino, thiol, mercapto, imino, carboxy and nitro groups, such as benzotriazole (BTA), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo=F, Cl, Br or I), naphthotriazole, and the like, as well as thiazoles, tetrazoles, imidazoles, phosphates, thiols and azines such as 2-mercaptobenzoimidizole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole (ATA), 5-amino-1,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-1,3,5-triazine, thiazole, triazine, methyltetrazole, 1,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, 1-phenyl-5-mercaptotetrazole, diaminomethyltriazine, mercaptobenzothiazole, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, indiazole, ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA), and combinations thereof. Preferably, the metal chelating-agent includes TAZ and/or CDTA.
The optional buffering species may be included for pH stabilization and/or selective removal of residues from exposed copper surfaces, e.g., open vias. Preferably, the buffer includes tetralkylammonium salts of weak acids, wherein the tetralkylammonium salt includes a tetralkylammonium cation represented by [NR1R2R3R4]+, where R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of C1-C6 straight-chained or branched alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl) or C6-C10 substituted or unsubstituted aryl groups (e.g., benzyl), and the weak acid includes: boric acid; and a carboxylic acid such as lactic acid, maleic acid, ascorbic acid, malic acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, citric acid, phthalic acid, other aliphatic and aromatic carboxylic acids, as well as combinations of the foregoing acids. Preferably, the buffering species includes a tetramethylammonium salt of citric acid or tetramethylammonium salts of boric acid. Tetraalkylammonium hydroxides that are commercially available may be used for production of the preferred tetralkylammonium salt of a weak acid in combination with the aforementioned acid species. For example, tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH) and tetrabutylammonium hydroxide (TBAH) may be used. Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH and TBAH, which are known to one ordinary of skill in the art. Most preferably, the buffering species is included when metal chelating agent(s) are present to stabilize the pH of the composition so that the metal will not erode and so that the peroxide does not decompose rapidly.
In addition, the oxidizing aqueous cleaning composition may further include surfactant(s), low-k passivator(s), etchant(s), defoamer(s), etc.
In various preferred embodiments, the oxidizing aqueous cleaning composition is formulated in the following Formulations A-W, wherein all percentages are by weight, based on the total weight of the formulation:
Formulation A: 10.0% N,N-dimethyldiglycolamine; 5.0% hydrogen peroxide; 85.0% water
Formulation B: 11.2% triethanolamine; 5.0% hydrogen peroxide; 83.8% water
Formulation C: 6.6% N,N-dimethylethanolamine; 5.0% hydrogen peroxide; 88.4% water
Formulation D: 4.8% N-methylethanolamine; 5.0% hydrogen peroxide; 90.2% water
Formulation E: 8.3% N,N-dimethyldiglycolamine; 4.2% hydrogen peroxide; 87.5% water
Formulation F: 8.3% NMMO; 4.2% hydrogen peroxide; 87.5% water
Formulation G: 0.1% TAZ; 8.3% NMMO; 4.2% hydrogen peroxide; 87.4% water
Formulation H: 0.1% 1,2,3-benzotriazole; 8.3% NMMO; 4.2% hydrogen peroxide; 87.4% water
Formulation I: 0.2% 1,2,4-triazole; 15% NMMO; 10% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 63.8% water
Formulation J: 0.2% 1,2,4-triazole; 7.5% NMMO; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 80.3% water
Formulation K: 0.1% TAZ; 8.3% NMMO; 8.3% hydrogen peroxide; 81.4% water
Formulation L: 1.2% TAZ; 20% NMMO; 7.2% hydrogen peroxide; 63.4% water
Formulation M: 0.2% TAZ; 13.4% NMMO; 5% hydrogen peroxide; 10% diethylene glycol butyl ether; 71.4% water
Formulation N: 0.2% TAZ; 13.4% NMMO; 10% hydrogen peroxide; 10% diethylene glycol butyl ether; 66.4% water
Formulation O: 0.2% TAZ; 15% NMMO; 10% hydrogen peroxide; 10% diethylene glycol butyl ether; 64.8% water
Formulation P: 0.2% 1,2,4-triazole; 15% NMMO; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 73.8% water
Formulation Q: 1% hydrogen peroxide; 7.5% NMMO; 10.0% butyl carbitol; 0.2% 1,2,4-triazine; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 80.3% water
Formulation R: 10% hydrogen peroxide; 7.5% NMMO; 10.0% butyl carbitol; 0.2% 1,2,4-triazine; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 71.3% water
Formulation S: 0.011% CDTA; 7.5% NMMO; 1.0% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.52% boric acid; 0.22% tetramethylammonium hydroxide; 80.75% water
Formulation T: 0.2% 1,2,4-triazole; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 87.8% water
Formulation U: 0.2% 1,2,4-triazole; 1% hydrogen peroxide; 10% diethylene glycol butyl ether; 88.8% water
Formulation V: 1% hydrogen peroxide; 10.0% butyl carbitol; 0.2% 1,2,4-triazine; 0.45% citric acid; 0.55% tetramethylammonium hydroxide; 87.8% water
Formulation W: 0.011% CDTA; 1.0% hydrogen peroxide; 10% diethylene glycol butyl ether; 0.52% boric acid; 0.22% tetramethylammonium hydroxide; 88.25% water
In a preferred embodiment, the oxidizing aqueous composition of the present invention includes the following components present in the following ranges, based on the total weight of the composition.
Component % by weight
hydrogen peroxide about 2.5% to about 6.5%
amine-N-oxide about 5% to about 10%
metal-chelating agent(s) about 0.01% to about 0.5%
Water balance

In a particularly preferred embodiment of this invention, the oxidizing cleaning composition comprises hydrogen peroxide, NMMO, at least one metal-chelating agent and water.
In another preferred embodiment, the oxidizing aqueous composition of the present invention includes the following components present in the following ranges, based on the total weight of the composition.
Component % by weight
hydrogen peroxide about 2.5% to about 12%
amine-N-oxide about 5% to about 20%
metal-chelating agent(s) about 0.01% to about 0.5%
organic co-solvent(s) about 2% to about 15%
Water about 52.5 to about 91%

In a particularly preferred embodiment of this invention, the oxidizing cleaning composition comprises hydrogen peroxide, NMMO, at least one metal-chelating agent, at least one organic co-solvent, and water.
In yet another preferred embodiment of the present invention, the oxidizing aqueous composition of the present invention includes the following components present in the following ranges, based on the total weight of the composition.
Component % by weight
hydrogen peroxide about 0.5% to about 12%
amine-N-oxide about 5% to about 20%
metal-chelating agent(s) about 0.01% to about 0.5%
organic co-solvent(s) about 2% to about 15%
acid/base buffer(s) about 0.3 wt. % to about 2 wt. %
water about 51.5 to about 91%

In a particularly preferred embodiment of this invention, the oxidizing cleaning composition comprises hydrogen peroxide, NMMO, at least one metal-chelating agent, at least one organic co-solvent, at least one buffering species, and water. For example, the oxidizing cleaning composition may include 1,2,4-triazole, NMMO, hydrogen peroxide, diethylene glycol butyl ether, citric acid, tetramethylammonium hydroxide and water. Alternatively, the oxidizing cleaning composition may comprise CDTA, NMMO, hydrogen peroxide, diethylene glycol butyl ether, boric acid, tetramethylammonium hydroxide, and water.
In still another preferred embodiment of the present invention, the oxidizing aqueous composition of the present invention includes at least one oxidizing agent, at least one organic solvent, at least one metal chelating agent, at least one buffering species, and water. For example, the composition may include hydrogen peroxide, CDTA and borate ions (i.e., a tetralkylammonium salt and boric acid).
In another embodiment of the present invention, the oxidizing cleaning composition includes hydrogen peroxide, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, post-plasma etch residue, and water. Preferably, the post-plasma etch residue comprises residue material selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, and combinations thereof. In yet another embodiment of the present invention, the oxidizing cleaning composition includes hydrogen peroxide, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, hardmask material, and water. Preferably, the hardmask material includes titanium-containing material residue. Importantly, the residue material and/or hardmask material may be dissolved and/or suspended in the cleaning composition of the invention.
The TiN hardmask etch rate is strongly dependent on the concentration of oxidizing agent species. If complete removal of the hardmask is not desirable then a lower concentration of oxidizing agent(s) may be used, e.g., in a range from about 0.5 wt. % to about 3 wt. %, preferably about 0.5 wt. % to about 1.5 wt. %. If complete removal of the hardmask is not desirable, the range of weight percent ratios of the components of the cleaning composition are: about 1:1 to about 20:1 amine species relative to oxidizing agent, more preferably about 2:1 to about 10:1; about 1:1 to about 25:1 organic co-solvent(s) relative to oxidizing agent, preferably about 5:1 to about 15:1; about 0.01:1 to about 0.4:1 metal chelating agent(s) relative to oxidizing agent, preferably about 0.01:1 to about 0.2:1; and about 0.01:1 to about 2:1 buffering agent(s) relative to oxidizing agent, preferably about 0.5:1 to about 1.5:1.
If on the other hand, complete removal of the hardmask is preferred, a higher concentration of oxidizing agent(s) may be used, e.g., in a range from about 5 wt. % to about 15 wt. %, preferably about 7.5 wt. % to about 12.5 wt. %. If complete removal of the hardmask is desirable, the range of weight percent ratios of the components of the cleaning composition are: about 0.1:1 to about 5:1 amine species relative to oxidizing agent, more preferably about 0.75:1 to about 2:1; about 0.1:1 to about 10:1 organic co-solvent(s) relative to oxidizing agent, preferably about 0.5:1 to about 2:1; about 0.01:1 to about 0.4:1 metal chelating agent(s) relative to oxidizing agent, preferably about 0.01:1 to about 0.1:1; and about 0.01:1 to about 1:1 buffering agent(s) relative to oxidizing agent, preferably about 0.01:1 to about 0.5:1.
Concentrated oxidizing aqueous cleaning compositions may be diluted when needed (at the fab, etc.) by adding water to the cleaning composition concentrate. The cleaning composition is preferably diluted (water to cleaning composition) in a range from about 0.1:1 to about 20:1, preferably about 1:1 to about 10:1.
In addition to an aqueous solution, it is also contemplated herein that the oxidizing aqueous cleaning compositions may be formulated as foams, fogs, subcritical or supercritical fluids (i.e., wherein the solvent is CO2, etc., instead of water).
The oxidizing aqueous cleaning compositions of the present invention have an extended bath-life relative to the peroxide-containing baths of the prior art. It is known that hydrogen peroxide-containing compositions will decompose in the presence of trace amounts of metal ions. Accordingly, the decomposition of hydrogen peroxide-containing compositions can be minimized by adding metal ion chelators to the cleaning composition. Preferably, the percentage of peroxide measured at 50° C. in a static oxidizing composition (i.e., no removal processing therein) of the present invention after 24 hours is greater than about 90% of the initial concentration, preferably greater than about 95%, and most preferably greater than about 98%.
Further, said cleaning compositions preferably selectively removes hardmask and/or post-plasma etch residue from the top surface, the sidewalls, and the vias and lines of the microelectronic device without compromising the ILD and/or the metal interconnect layers present on the device. Another advantage associated with the use of the present invention is that no post-clean bake step is necessary to remove volatile materials that may absorb into the pores of the ILD materials.
According to one embodiment, the cleaning composition provides an TiN hardmask etch rate greater than 25 Å min−1, preferably greater than 50 Å min−1 and/or an TiN/Cu selectivity greater than 10:1, preferably greater than 20:1, more preferably greater than 50:1, even more preferably greater than 100:1, even more preferably 200:1 and most preferably greater than 250:1 when used at temperatures in a range from about 30° C. to about 60° C. Preferably, the compositions have the bath life and/or storage stability described herein thus providing a highly selective cleaning composition with improved storage and usage properties.
The oxidizing aqueous cleaning compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the oxidizing aqueous cleaning compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use, preferably multi-part formulations. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the oxidizing aqueous cleaning composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the oxidizing aqueous cleaning compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, the preferred combination of at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, at least one organic co-solvent, at least one metal-chelating agent, at least one buffering species, and water for combining with an oxidizing source at the fab or the point of use. According to another embodiment, the kit includes at least one tertiary amine-containing additive, at least one organic co-solvent, at least one buffering agent, at least one metal-chelating agent, and water, for combining with and oxidizing source and water at the fab or the point of use. According to yet another embodiment, the kit includes at least one amine-N-oxide, at least one organic co-solvent, at least one buffering agent, at least one metal-chelating agent, and water, for combining with the oxidizing source and water at the fab or the point of use. According to yet another embodiment, the kit includes at least one amine-N-oxide, at least one organic co-solvent, at least one buffering agent, at least one metal-chelating agent, and water, for combining with the oxidizing source at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said cleaning composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
As applied to microelectronic manufacturing operations, the oxidizing aqueous cleaning compositions of the present invention are usefully employed to clean post-plasma etch residue and/or titanium-containing hardmask from the surface of the microelectronic device, and may be applied to said surface before or after the application of other compositions formulated to remove alternative materials from the surface of the device. For example, a composition that preferentially removes copper-containing residues may be applied before or after the cleaning composition of the present invention is applied. Importantly, the cleaning compositions of the invention do not damage ILD materials on the device surface and preferably remove at least 90% of the residue and/or hardmask present on the device prior to removal processing, more preferably at least 95%, and most preferred at least 99%.
In post-plasma etch cleaning and/or titanium-containing hardmask removal application, the oxidizing aqueous cleaning composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the oxidizing aqueous cleaning composition on the surface of the device to be cleaned, by dipping the device to be cleaned in a static or dynamic volume of the oxidizing aqueous cleaning composition, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that has the oxidizing aqueous cleaning composition absorbed thereon, or by any other suitable means, manner or technique by which the oxidizing aqueous cleaning composition is brought into removal contact with the device to be cleaned. Further, batch or single wafer processing is contemplated herein.
In use of the compositions of the invention for removing post-plasma etch residue from microelectronic devices having same thereon, the oxidizing aqueous cleaning composition typically is contacted with the device for a time of from about 1 minute to about 60 minutes, at temperature in a range of from about 25° C. to about 70° C., preferably about 30° C. to about 60° C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the post-etch residue material and/or hardmask layer from the device, within the broad practice of the invention. “At least partial removal” of the residue material and/or hardmask layer from the microelectronic device corresponds to at removal of at least 90% of the material, preferably at least 95% removal. Most preferably, at least 99% of said residue material and/or hardmask layer is removed using the compositions of the present invention.
Following the achievement of the desired removal action, the oxidizing aqueous cleaning composition, which is preferably water miscible, is readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention. For example, the device may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N2, vapor-dry etc.).
Another aspect of the invention relates to a two-step method of removing post-plasma etch residue material from the surface of the microelectronic device. The first step involves the contacting of the oxidizing aqueous cleaning compositions of the invention with the device for a time of from about 1 minute to about 60 minutes, at temperature in a range of from about 25° C. to about 70° C., preferably about 30° C. to about 60° C. Thereafter, the device is contacted with a dilute hydrofluoric acid composition at temperature in a range from about 20° C. to about 25° C. for 15 seconds to about 60 seconds. The dilute hydrofluoric acid composition may have a dilution in a range from about 100:1 to about 1000:1 (water to HF), preferably about 400:1 to about 600:1. Preferably, the device is rinsed with a rinse composition, e.g., deionized water, subsequent to contact with the oxidizing aqueous cleaning composition and before contact with the dilute HF.
Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with an oxidizing aqueous cleaning composition for sufficient time to clean post-plasma etch residue and/or titanium-containing hardmask from the microelectronic device having said residue and/or material thereon, and incorporating said microelectronic device into said article, wherein the oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one organic co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water.
In yet another embodiment, the oxidizing aqueous cleaning composition of the invention may be utilized in other aspects of the microelectronic device manufacturing process, i.e., subsequent to the post-plasma etch residue cleaning step. For example, the oxidizing aqueous cleaning compositions may be diluted and used as a post-chemical mechanical polishing (CMP) clean. Alternatively, the oxidizing aqueous cleaning compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
In still another embodiment, the cleaning compositions of the invention may be combined with abrasive material and used as a Step II CMP slurry. Step II CMP slurries typically have a high barrier material removal rate relative to the removal rate of copper and dielectric material. For example, abrasive material may be added to the cleaning compositions of the invention (to yield a cleaning composition slurry) and used for the Step II CMP of a microelectronic device having tungsten and Ti/TiN barrier layer materials thereon. If the microelectronic device comprises copper material, a copper inhibiting species is preferably added to the cleaning composition slurry to protect the copper during planarization processes. Abrasives contemplated herein includes silica, alumina, ceria and mixtures thereof. Inhibitors contemplated herein include imidazole, aminotetrazole, benzotriazole, benzimidazole, 1,2,4-triazole, 2-mercaptobenzimidazole (MBI), amino, imino, carboxy, mercapto, nitro, alkyl, urea and thiourea compounds, oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, iminodiacetic acid, and combinations thereof.
The features and advantages of the invention are more fully illustrated by the following non-limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.
Example 1
The etch rates of blanketed titanium nitride and physical vapor deposited (PVD) copper samples in Formulations A-D was determined. The thickness of the TiN and PVD Cu coatings on silicon wafers were measured before and after immersion for 60 minutes in Formulations A-D at the indicated temperatures. Thicknesses were determined using a 4-point probe measurement whereby the resistivity of the composition is correlated to the thickness of the film remaining and the etch rate calculated therefrom. The experimental etch rates are reported in Table 1.
TABLE 1
Etch rate of TiN and PVD Cu in Å min−1 after
immersion in Formulations A-D.
Temperature/ Etch rate/Å min−1 TiN/Cu
Formulation ° C. TiN PVD Cu selectivity
A
20 6.3 0.07 90:1
30 11.7
40 18.7
B 20 33.3 0.7 48:1
C 20 7.7 0.3 26:1
D 20 9.6 16.7 0.58:1  
It can be seen that at 20° C., Formulation A had the most favorable TiN to Cu etch selectivity. Further, the etch rate of TiN increased as the temperature increased.
Example 2
A sample of low-k dielectric material consisting of a 3500 Å uniform coating of porous CDO having a nominal k-value of 2.5 on a silicon wafer was evaluated for thickness and refractive index both before and after immersion in Formulation E for 10 minutes at 40° C. The thickness and refractive index were measured using spectroscopic ellipsometry. The results are shown in Table 2 hereinbelow.
TABLE 2
Thickness and refractive index of blanketed porous CDO before
and after immersion in Formulation E.
pre-clean post-clean change
thickness/Å 3265 3279 +14
refractive index 1.3693 1.3758 +0.0065
It can be seen that the neither the thickness nor the refractive index changed significantly following immersion of the porous CDO in Formulation E. This suggests that the CDO was not substantially etched, which is indicative of negligible change in the dielectric constant.
Referring to FIG. 2, which is the Fourier Transform Infrared (FTIR) Spectrograph of the porous CDO sample before and after immersion in Formulation E. It can be seen that no significant changes in the difference spectrum obtained by subtraction of one spectrum from the other (time a factor of ten) is detectable, indicating that the porous CDO was not compromised by Formulation E.
A test sample was evaluated for cleaning using Formulation E. The test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5. Low-k dielectric over copper metal was exposed at the via bottoms. The dielectric was capped by a 100 Å TiN layer over 400 Å silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer. The piece of the test sample was cleaned by static immersion in Formulation E for 15 minutes at 40° C. then rinsing with water. Evaluation by scanning electron microscopy (SEM) revealed complete removal of titanium-containing residues and the titanium nitride hardmask layer with no observable changes or damage to the dielectric material or corrosion of the copper layer.
Example 3
The bath-life of Formulation E was compared to the bath-life of Formulation F by monitoring the concentration of hydrogen peroxide at 40° C. The relative H2O2 concentration was measured for a solution aliquot diluted in dilute sulfuric acid. The diluted aliquot was titrated with a solution of about 7.5 w/v % ammonium cerium (IV) sulfate hydrate in dilute sulfuric acid. The relative H2O2 concentration was determined by the volume ratio of cerium (IV) solution required to reach the end point versus the volume required at zero aging time. The results of the bath-life comparison are shown in FIG. 3. It can be seen that Formulation E, although a promising candidate for the selective and effective removal of titanium-containing post-plasma etch residues, undergoes about 50% H2O2 decomposition over less than 50 minutes, thereby decreasing the efficacy of said formulation for the residue material over time. In contrast, Formulation F, comprising NMMO, underwent negligible decomposition over more than about 48 hours.
Example 4
The etch rates of blanketed titanium nitride and physical vapor deposited (PVD) copper samples in Formulations F-H was determined. The thickness of silicon wafers having 1000 Å coatings of the respective material were measured before and after immersion for 15 minutes at 50° C. in Formulations F-H as described hereinabove in Example 1. The experimental etch rates are reported in Table 3.
TABLE 3
Etch rate of TiN and PVD Cu in Å min−1 after
immersion in Formulations F-H.
Etch rate/Å min−1 TiN/Cu
Formulation TiN PVD Cu selectivity
F
25 1.8  14:1
G >50 0.2 >250:1
H 0
It can be seen that at 50° C., Formulation G including NMMO had the most favorable TiN to Cu etch selectivity. Accordingly, a post-etch dual-damascene sample as described in Example 2 was cleaned by static immersion of the sample and the extent of cleaning determined by SEM, which revealed that the TiN was completely removed from the top surface and sidewalls of the device wafer. Further, the ILD and the copper interconnect material was not damaged.
Example 5
A test sample was evaluated for cleaning using Formulation O. The test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5. Low-k dielectric over copper metal was exposed at the via bottoms. The dielectric was capped by a 100 Å TiN layer over 400 Å silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer. The piece of the test sample was cleaned by static immersion in Formulation O for 6 minutes at 55° C. then rinsing with water. Evaluation by scanning electron microscopy (SEM) revealed complete removal of titanium-containing residues, polymeric sidewall residues and TiN hardmask material with no observable changes or damage to the dielectric material, however, there was no observed removal of the copper-containing residue, e.g., CuO, from the via bottoms.
To assist in the removal of the copper-containing residue, varying amounts of buffering species were added to Formulation O. The formulations tested are enumerated in Table 4.
TABLE 4
Variations of Formulation O having buffering species therein.
PVD Cu
Formulation buffer: base/acid ratio wt. % buffer pH ER/Å min−1
O-1 0.97 0.25 6.7 13.8
O-2 0.97 1.3 6.3 21.6
O-3 0.97 2.6 6.2 25.1
O-4 1.07 0.25 6.9 11.2
O-5 1.07 1.3 6.7 13.8
O-6 1.07 2.6 6.6 14.6
O-7 1.17 0.25 7.2 9.5
O-8 1.17 1.3 7.0 11.3
O-9 1.17 2.6 7.0 10.8
I 1.22 1 6.9 not measured
As reported in Table 4, the etch rates of blanketed PVD copper samples was determined, wherein the thickness of the PVD Cu coating on a silicon wafer was measured before and after immersion for 60 minutes in Formulations O-1 through O-9 at 50° C. Thicknesses were determined using a 4-point probe measurement whereby the resistivity of the composition is correlated to the thickness of the film remaining and the etch rate calculated therefrom. The experimental etch rates are illustrated in FIG. 4.
It can be seen in FIG. 4 that the copper etch rates are dependent only on the pH of the composition and not on the amount of buffer. As such, the pH of the composition is chosen so that the etch rate of copper is no more than 10 Å min−1.
The aforementioned test sample was cleaned by static immersion in Formulation I for 4 minutes at 55° C. then rinsing with water. As previously introduced, Formulation O, which is devoid of buffering species, did not remove copper-containing residues from the bottom of the vias of the test sample. In contrast, Formulation I, which includes buffering species, completely removed the TiN hardmask and the copper-containing residue following immersion of the test sample in the formulation.
The post-clean capacitance of a sample of a porous carbon-doped oxide (CDO) dielectric with k-value of about 2.5 having the tradename BLACK DIAMOND® (BD2) was determined following immersion of blanketed BD2 in Formulation O and Formulation I at 55° C. for 5 minutes. The capacitance was determined using a mercury probe tool with an impedance analyzer. Results are reported as an average of five measurements for each wafer piece. The results of the capacitance experiments are shown in FIG. 5. It can be seen that the capacitance of the BD2 wafer does not substantially increase following immersion in Formulation O or Formulation I, with the small increase within experimental error. Also illustrated in FIG. 5 is the change in tan δ, which is a measure of the dissipative loss in dielectric, which is also within experimental error.
Example 6
A test sample was evaluated for cleaning using Formulations J and P. The test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5. Low-k dielectric over copper metal was exposed at the via bottoms. The dielectric was capped by a 100 Å TiN layer over 400 Å silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer.
One piece of the test sample was cleaned by static immersion in Formulation P for 6 minutes at 60° C. then rinsing with water. Evaluation by scanning electron microscopy (SEM) revealed complete removal of surface residues, polymeric sidewall residues, partial removal of copper-containing residues and minor TiN hardmask etching. As previously discussed, if it is desirable to not remove the TiN hardmask, preferably the formulation includes a small amount of peroxide, e.g., about 1 wt. % at in Formulation P.
The piece of the test sample was also cleaned by static immersion in Formulation J for 1.5 to 4.5 minutes at 40° C. or 50° C. then rinsing with water. Evaluation by scanning electron microscopy (SEM) of the sample cleaned in Formulation J for 4.5 minutes at 40° C. revealed nearly complete removal of surface residues, complete removal of polymeric sidewall residues, partial removal of copper-containing residues and no TiN hardmask etching. Evaluation by scanning electron microscopy (SEM) of the sample cleaned in Formulation J for 3.0 minutes at 50° C. revealed nearly complete removal of surface residues, complete removal of polymeric sidewall residues, complete removal of copper-containing residues and no TiN hardmask etching. In addition, no copper interconnect damage was observed.
Example 7
Variations of Formulation S were analyzed to determine the decomposition rate of H2O2 at 60° C. with time relative to a control including just 1% H2O2 in an aqueous solution, as illustrated in FIG. 6. The formulation variations include formulation S, formulation S plus Cu(NO3)2, formulation S plus Cu(C2H3O2)2, formulation S minus CDTA, formulation S minus CDTA plus Cu(NO3)2, and formulation S minus CDTA plus Cu(C2H3O2)2. The concentration of the copper (II) salts ranged from 1 ppm to 10 ppm. In the experiments that formulation S was devoid of CDTA, the formulation was also devoid of boric acid, and TAZ and citric acid were present instead.
It can be seen in FIG. 6 that the presence of CDTA in the formulation extends the bathlife of the formulation to at least 24 hours, even in the presence of a Cu2+ source such as Cu(NO3)2 and Cu(C2H3O2)2. Without the CDTA, the composition immediately begins to undergo substantial decomposition. Accordingly, the presence of CDTA stabilizes the oxidizing agent-containing bath, thus extending the lifetime of said bath.
Referring to FIG. 7, it can be seen that the stability of the oxidizing agent-containing bath is further influenced by the pH of the bath. Comparing the results illustrated in FIG. 6 with those illustrated in FIG. 7, it can be seen that the ideal pH range when CDTA is the metal chelating agent and boric acid is one of the buffering species is about 7.5 to about 8.5 while the ideal pH range when TAZ is the metal chelating agent and citric acid is one of the buffering species is about 6 to about 6.5. As such, buffering species are preferred to maintain the pH in this useful range.
Example 8
A test sample was evaluated for cleaning using Formulation S. The test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5. Low-k dielectric over copper metal was exposed at the via bottoms. The dielectric was capped by a 100 Å TiN layer over 400 Å silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer. The piece of the test sample was cleaned by static immersion in Formulation S for 5 minutes at 50° C. then rinsing with water. Evaluation by scanning electron microscopy (SEM) revealed complete removal of titanium-containing residues from the TiN layer, partial removal of the copper-containing residue, e.g., CuO, from the via bottoms, and no observable changes or damage to the dielectric material or the TiN layer.
The same test sample was then evaluated for cleaning using a two-step process—the first step involving static immersion in Formulation S for 4 minutes at 50° C., and the second step involving static immersion in a dilute hydrofluoric acid solution (400:1 water:HF) for 1 minute at 22° C. The sample was rinsed with deionized water between steps. SEM revealed complete removal of titanium-containing residues from the TiN layer, complete removal of the copper-containing residue from the via bottoms, and no observable changes or damage to the dielectric material or the TiN layer. Importantly, a separate experiment involving a single step cleaning of the same wafer with dilute HF (400:1 water:HF) for 1 minute at 22° C. revealed irregular copper etch loss. Accordingly, the two step process is favored when both titanium-containing residue and copper-containing residue from the via bottoms is preferably substantially removed.
In addition, it is noted that an increased amount of oxidizing agent in the formulation, e.g., 2 wt. % H2O2 instead of 1 wt. % H2O2, only increases the etch rate of TiN and does not result in more efficient cleaning of the titanium-containing post-etch residue. Moreover, the remaining TiN layer had a greater surface roughness when exposed to the formulation having the higher H2O2 concentration.
The post-clean capacitance of BD2 sample was determined (1) following immersion of blanketed BD2 in Formulation S at 50° C. for 5 minutes, and (2) following immersion of blanketed BD2 in Formulation S at 50° C. for 5 minutes following by immersion in dilute HF (400:1) for 1 minute at 22° C. The capacitance was determined using a mercury probe tool with an impedance analyzer. It was determined that formulation S is compatible with the low-k dielectric layer.
Example 9
The etch rates of blanket PVD Cu wafers were measured following immersion of the Cu wafer in (1) Formulation S at 50° C., or (2) dilute HF at various dilutions (100:1, 200:1, 300:1, 400:1, 500:1, 600:1 and 800:1) at 22° C. The etch rate of copper following immersion in formulation S was determined to be about 2.6 Å min−1 and the etch rate of copper following immersion in dilute HF was about 3-4 Å min−1 and importantly, was not strongly dependent on the extent of dilution.
Example 10
A test sample was evaluated for cleaning using Formulation S using a two-step process—the first step involving static immersion in Formulation S for 5 minutes at 50° C., and the second step involving static immersion in a dilute hydrofluoric acid solution (600:1 water:HF) for 0, 15, 30, 45, and 60 seconds at 22° C. The test sample consisted of via and trench structures patterned in porous low-k CDO dielectric with a nominal k-value of 2.5. Low-k dielectric over copper metal was exposed at the via bottoms. The dielectric was capped by a 100 Å TiN layer over 400 Å silicon nitride or silicon oxynitride hardmask. Titanium-containing post-etch residue was present on the TiN layer. Evaluation by scanning electron microscopy (SEM) revealed complete removal of titanium-containing residues from the TiN layer in each case. When the second step rinse was for 15 or 30 seconds, the copper was clean without any observable undercut and when the second step rinse was for 45 or 60 seconds, the copper was clean however, some undercutting was observed especially at 60 seconds. As such, it was concluded that a 30 second dilute HF clean was sufficient to clean copper residues without undercutting.
Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims (18)

What is claimed is:
1. An aqueous cleaning composition, comprising at least one oxidizing agent, at least one amine-N-oxide, optionally at least one organic co-solvent, at least one metal-chelating agent, optionally at least one buffering species, and water, wherein the pH of the cleaning composition is about 3 to 9, and wherein the at least one metal-chelating agent comprises ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA), or combinations thereof.
2. The aqueous cleaning composition of claim 1, wherein the at least one oxidizing agent comprises hydrogen peroxide.
3. The aqueous cleaning composition of claim 1, wherein the amine-N-oxide comprises a species selected from the group consisting of N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide, and combinations thereof.
4. The aqueous cleaning composition of claim 1, comprising N-methylmorpholine-N-oxide.
5. The aqueous cleaning composition of claim 1, comprising at least one organic co-solvent, wherein said organic co-solvent comprises a species selected from the group consisting of ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3-propanediol, diethyleneglycol, dipropyleneglycol, glycerol, formamide, acetamide, higher amides, N-methylpyrrolidone (NMP), N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide (DMSO), γ-butyrolactone, propylene carbonate, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
6. The aqueous cleaning composition of claim 1, comprising diethylene glycol monobutyl ether.
7. The aqueous cleaning composition of claim 1, comprising the at least one buffering species, wherein the buffering species comprises a tetralkylammonium cation compound and an acid anion compound, wherein the tetralkylammonium cation compound includes a tetralkylammonium cation represented by the formula [NR1R2R3R4]+, where R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of straight-chained C1-C6 alkyls, branched C1-C6 alkyls, substituted C6-C10aryls, unsubstituted C6-C10aryls, and combinations thereof, and wherein the acid anion compound is selected from the group consisting of lactic acid, maleic acid, ascorbic acid, malic acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, citric acid, phthalic acid, boric acid other aliphatic and aromatic carboxylic acids, and combinations of the foregoing acids.
8. The aqueous cleaning composition of claim 7, comprising a tetralkylammonium salt of citric acid or a tetralkylammonium salt of boric acid.
9. The aqueous cleaning composition of claim 1, comprising at least one organic co-solvent, at least one metal chelating agent, and at least one buffering species, wherein the aqueous cleaning composition comprises hydrogen peroxide, at least one amine-N-oxide, diethylene glycol butyl ether, 1,2,4-triazole, tetramethylammonium hydroxide and citric acid.
10. The aqueous cleaning composition of claim 1, comprising at least one organic co-solvent, at least one metal chelating agent, and at least one buffering species, wherein the aqueous cleaning composition comprises hydrogen peroxide, at least one amine-N-oxide, diethylene glycol butyl ether, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid, tetramethylammonium hydroxide and boric acid.
11. The aqueous cleaning composition of claim 1, having a pH in a range from about 6 to about 8.
12. A method of removing post-plasma etch residue and/or hardmask material from a microelectronic device having said residue and/or hardmask thereon, said method comprising contacting the microelectronic device with an aqueous cleaning composition for sufficient time to at least partially clean said residue and/or hardmask from the microelectronic device, wherein the aqueous cleaning composition includes at least one oxidizing agent, at least one amine-N-oxide, optionally at least one organic co-solvent, at least one metal-chelating agent, optionally at least one buffering species, and water, wherein the pH of the cleaning composition is about 3 to 9, and wherein the at least one metal-chelating agent comprises ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA), or combinations thereof.
13. The method of claim 12, wherein the at least one oxidizing agent comprises hydrogen peroxide; and
wherein the at least one amine-N-oxide comprises an amine species selected from the group consisting of N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide, and combinations thereof.
14. The method of claim 12, wherein the aqueous cleaning composition comprises at least one organic co-solvent selected from the group consisting of ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3-propanediol, diethyleneglycol, dipropyleneglycol, glycerol, formamide, acetamide, higher amides, N-methylpyrrolidone (NMP), N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide (DMSO), γ-butyrolactone, propylene carbonate, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
15. The method of claim 12, wherein said composition has a pH in a range of from about 6 to about 8.
16. The method of claim 12, wherein the contacting comprises a process selected from the group consisting of: spraying the aqueous composition on a surface of the microelectronic device; dipping the microelectronic device in a sufficient volume of aqueous composition; contacting a surface of the microelectronic device with another material that is saturated with the aqueous composition; and contacting the microelectronic device with a circulating aqueous composition.
17. The method of claim 12, further comprising rinsing the microelectronic device with deionized water following contact with the aqueous composition.
18. The method of claim 12, further comprising contacting the microelectronic device with dilute hydrofluoric acid.
US13/084,173 2005-10-05 2011-04-11 Oxidizing aqueous cleaner for the removal of post-etch residues Active 2028-05-12 US8765654B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/084,173 US8765654B2 (en) 2005-10-05 2011-04-11 Oxidizing aqueous cleaner for the removal of post-etch residues
US14/321,180 US9443713B2 (en) 2005-10-05 2014-07-01 Oxidizing aqueous cleaner for the removal of post-etch residues

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US72377605P 2005-10-05 2005-10-05
US74525106P 2006-04-20 2006-04-20
US74531606P 2006-04-21 2006-04-21
PCT/US2006/038930 WO2007044446A1 (en) 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues
US8928808A 2008-08-05 2008-08-05
US13/084,173 US8765654B2 (en) 2005-10-05 2011-04-11 Oxidizing aqueous cleaner for the removal of post-etch residues

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
PCT/US2006/038930 Continuation WO2007044446A1 (en) 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues
US12/089,288 Continuation US7922824B2 (en) 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues
US8928808A Continuation 2005-10-05 2008-08-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/321,180 Continuation US9443713B2 (en) 2005-10-05 2014-07-01 Oxidizing aqueous cleaner for the removal of post-etch residues

Publications (2)

Publication Number Publication Date
US20110186086A1 US20110186086A1 (en) 2011-08-04
US8765654B2 true US8765654B2 (en) 2014-07-01

Family

ID=37943128

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/089,288 Active 2027-04-02 US7922824B2 (en) 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues
US13/084,173 Active 2028-05-12 US8765654B2 (en) 2005-10-05 2011-04-11 Oxidizing aqueous cleaner for the removal of post-etch residues
US14/321,180 Active 2027-02-03 US9443713B2 (en) 2005-10-05 2014-07-01 Oxidizing aqueous cleaner for the removal of post-etch residues

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/089,288 Active 2027-04-02 US7922824B2 (en) 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/321,180 Active 2027-02-03 US9443713B2 (en) 2005-10-05 2014-07-01 Oxidizing aqueous cleaner for the removal of post-etch residues

Country Status (8)

Country Link
US (3) US7922824B2 (en)
EP (1) EP1932174A4 (en)
JP (1) JP2009512194A (en)
KR (1) KR101444468B1 (en)
CN (1) CN101366107B (en)
SG (1) SG10201508243UA (en)
TW (1) TWI428442B (en)
WO (1) WO2007044446A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443713B2 (en) 2005-10-05 2016-09-13 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
WO2019110680A3 (en) * 2017-12-08 2019-11-21 Basf Se Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
KR20080072905A (en) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
JP5292811B2 (en) * 2005-12-20 2013-09-18 三菱瓦斯化学株式会社 Wiring substrate residue removing composition and cleaning method
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
DE102006053890A1 (en) * 2006-11-14 2008-05-21 Eads Deutschland Gmbh Warfare agent detector for the detection of chemical warfare agents, manufacturing process and use of a substrate as a warfare agent detector
KR20160085902A (en) * 2006-12-21 2016-07-18 엔테그리스, 아이엔씨. Liquid cleaner for the removal of post-etch residues
TWI516573B (en) * 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US20080224092A1 (en) * 2007-03-15 2008-09-18 Samsung Electronics Co., Ltd. Etchant for metal
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
US8623236B2 (en) * 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
US8183160B2 (en) 2007-10-09 2012-05-22 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
EP2215203B1 (en) 2007-11-13 2012-05-16 Sachem, Inc. High negative zeta potential polyhedral silsesquioxane composition and method for damage free semiconductor wet clean
KR20100082012A (en) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 Compositions for removal of metal hard mask etching residues from a semiconductor substrate
US8809247B2 (en) 2008-02-15 2014-08-19 Lion Corporation Cleaning composition and method for cleaning substrate for electronic device
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
TWI480104B (en) * 2008-04-24 2015-04-11 Graco Minnesota Inc Method of cleaning fast setting material spray equipment
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
US9074170B2 (en) * 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
CN101750912A (en) * 2008-11-28 2010-06-23 安集微电子(上海)有限公司 Photoresist detergent composition
KR101538386B1 (en) * 2008-12-26 2015-07-22 주식회사 동부하이텍 Method for Manufacturing Metal Wiring of Semiconductor Device
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
CN102449745A (en) * 2009-04-30 2012-05-09 狮王株式会社 Method for cleaning of semiconductor substrate and acidic solution
SG183510A1 (en) * 2010-03-05 2012-09-27 Lam Res Corp Cleaning solution for sidewall polymer of damascene processes
JP5513196B2 (en) * 2010-03-25 2014-06-04 富士フイルム株式会社 Cleaning composition and method for manufacturing semiconductor device
CN102220133B (en) * 2010-04-19 2014-02-12 深圳富泰宏精密工业有限公司 Stripping solution of titanium carbide and/or titanium nitride film and stripping method
KR20130088847A (en) 2010-07-16 2013-08-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Aqueous cleaner for the removal of post-etch residues
JP5567926B2 (en) * 2010-07-29 2014-08-06 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
KR20130099948A (en) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Sustainable process for reclaiming precious metals and base metals from e-waste
KR101827031B1 (en) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. Composition and process for selectively etching metal nitrides
TWI502065B (en) 2010-10-13 2015-10-01 Entegris Inc Composition for and method of suppressing titanium nitride corrosion
US20120090648A1 (en) * 2010-10-15 2012-04-19 United Microelectronics Corp. Cleaning method for semiconductor wafer and cleaning device for semiconductor wafer
DE102010054866A1 (en) * 2010-12-17 2011-08-18 Clariant International Ltd. Composition, useful e.g. for bleaching and/or dyeing hair and as oxidative cleaning formulation, comprises substance comprising hydrogen peroxide and hydrogen peroxide releasing substances, water and substances comprising hydroxypyridones
KR102064487B1 (en) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions
KR20140012660A (en) * 2011-03-11 2014-02-03 바스프 에스이 Method for forming through-base wafer vias
JP5519728B2 (en) * 2011-05-17 2014-06-11 富士フイルム株式会社 Etching method, etching solution used therefor, and method for manufacturing semiconductor device using the same
JP5886946B2 (en) * 2011-06-01 2016-03-16 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッドAvantor Performance Materials, Inc. Semi-water soluble polymer removal composition with enhanced compatibility for copper, tungsten and porous low-κ dielectrics
US9257270B2 (en) * 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
EP2764079A4 (en) * 2011-10-05 2015-06-03 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
WO2013058770A1 (en) * 2011-10-21 2013-04-25 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use
WO2013086217A1 (en) 2011-12-06 2013-06-13 Masco Corporation Of Indiana Ozone distribution in a faucet
EP2798669B1 (en) * 2011-12-28 2021-03-31 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
US9070625B2 (en) 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
US8835326B2 (en) 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
SG11201404930SA (en) 2012-02-15 2014-09-26 Advanced Tech Materials Post-cmp removal using compositions and method of use
SG11201405737VA (en) * 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CN102660198B (en) * 2012-04-11 2013-10-16 南京航空航天大学 Waterless abrasive-free polishing solution for chemical-mechanical polishing of flexible, crisp and deliquescent crystals
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
SG10201607504UA (en) * 2012-07-17 2016-10-28 Mitsui Chemicals Inc Semiconductor device, method for manufacturing the same, and rinsing liquid
WO2014014125A1 (en) * 2012-07-20 2014-01-23 Fujifilm Corporation Etching method, and method of producing semiconductor substrate product and semiconductor device using the same
JP2014022657A (en) * 2012-07-20 2014-02-03 Fujifilm Corp Etching method, semiconductor substrate product and semiconductor element manufacturing method using the same, and etchant preparation kit
KR102002131B1 (en) 2012-08-03 2019-07-22 삼성디스플레이 주식회사 Etchant composition and manufacturing method for thin film transistor using the same
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN102978033B (en) * 2012-12-25 2014-04-09 上海三瑞化学有限公司 Rubber floor refurbished agent and preparation method thereof
CN103046052B (en) * 2012-12-27 2016-01-20 广东山之风环保科技有限公司 The stripping liquid of environment-friendly type titanium-containing film and using method thereof
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
CN105102584B (en) 2013-03-04 2018-09-21 恩特格里斯公司 Composition and method for selective etch titanium nitride
EP4039852A1 (en) * 2013-03-16 2022-08-10 PRC-Desoto International, Inc. Azole compounds as corrosion inhibitors
US8853095B1 (en) 2013-05-30 2014-10-07 International Business Machines Corporation Hybrid hard mask for damascene and dual damascene
TWI651396B (en) 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 Compositions and methods for selectively etching titanium nitride
WO2015017659A1 (en) * 2013-07-31 2015-02-05 Advanced Technology Materials, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
JP6464578B2 (en) * 2013-08-01 2019-02-06 三菱瓦斯化学株式会社 Method for manufacturing printed wiring board
CN103416397A (en) * 2013-08-19 2013-12-04 南通市通州区益君劳务有限公司 Spray special for cleaning eucalyptus leaves and production method thereof
SG10201801575YA (en) * 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
KR102095084B1 (en) * 2013-11-11 2020-03-30 도쿄엘렉트론가부시키가이샤 System and method for enhanced removal of metal hardmask using ultra violet treatment
US10347503B2 (en) * 2013-11-11 2019-07-09 Tokyo Electron Limited Method and hardware for enhanced removal of post etch polymer and hardmask removal
WO2015089023A1 (en) 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
JP6776125B2 (en) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド Use of non-oxidizing strong acids for removal of ion-implanted resists
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN106460196A (en) 2014-03-18 2017-02-22 富士胶片电子材料美国有限公司 Etching composition
KR102375342B1 (en) 2014-05-13 2022-03-16 바스프 에스이 Tin pull-back and cleaning composition
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
JP6292728B2 (en) * 2014-07-07 2018-03-14 富士フイルム株式会社 Etching residue removing composition, etching residue removing method and etching residue removing kit using the same, and magnetoresistive memory manufacturing method
JP6460729B2 (en) * 2014-10-31 2019-01-30 富士フイルム株式会社 Substrate processing method and semiconductor device manufacturing method
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6429079B2 (en) * 2015-02-12 2018-11-28 メック株式会社 Etching solution and etching method
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
CN105238589B (en) * 2015-10-19 2018-07-06 大连碳氢氧环保科技有限公司 The all-round efficient cleaning agent of aerosol pot and manufacturing method
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
US11458214B2 (en) 2015-12-21 2022-10-04 Delta Faucet Company Fluid delivery system including a disinfectant device
US10157801B2 (en) * 2016-01-04 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting the cleanness of wafer after post-CMP cleaning
CN105755480B (en) * 2016-05-17 2018-06-19 江苏筑磊电子科技有限公司 Efficient acidic cleaner
TWI660017B (en) * 2016-07-14 2019-05-21 卡博特微電子公司 Alternative oxidizing agents for cobalt cmp
CN106281789B (en) * 2016-08-11 2018-10-26 江阴江化微电子材料股份有限公司 Residue cleaning agent after a kind of wiring substrate dry etching
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
CN110023477A (en) * 2016-11-25 2019-07-16 恩特格里斯公司 For removing the cleaning compositions of post-etch residue
CN107338442A (en) * 2017-06-20 2017-11-10 祝国忠 Handle copper and the lotion and its processing method of copper alloy surface oxide layer
CN107384563A (en) * 2017-07-20 2017-11-24 湖南省鎏源新能源有限责任公司 A kind of type activators of SH 1
CN107338116B (en) * 2017-07-25 2019-08-09 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
CN107357143B (en) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
CN107229193B (en) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
KR102372892B1 (en) * 2017-08-10 2022-03-10 삼성전자주식회사 method of manufacturing integrated circuit device
JP7090625B2 (en) * 2017-08-31 2022-06-24 富士フイルム株式会社 How to clean the treatment liquid, kit, and substrate
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US20210189298A1 (en) 2018-04-04 2021-06-24 Basf Se IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN
TWI791535B (en) * 2018-06-11 2023-02-11 德商巴斯夫歐洲公司 Post etching residues cleaning solution with titanium nitride removal
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN111261508B (en) 2018-09-26 2021-02-09 长江存储科技有限责任公司 Step coverage improvement of memory channel layer in 3D NAND memory
CN112251759A (en) * 2019-04-17 2021-01-22 邓华斌 Antioxidant metal surface film forming additive
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
JP2020202320A (en) * 2019-06-12 2020-12-17 関東化学株式会社 Hydrogen peroxide decomposition inhibitor
WO2021050333A1 (en) * 2019-09-10 2021-03-18 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
JP6823819B1 (en) * 2019-11-20 2021-02-03 パナソニックIpマネジメント株式会社 Resist stripper
CA3077837A1 (en) * 2020-04-03 2021-10-03 Fluid Energy Group Ltd. Hard surface cleaner
WO2021211708A1 (en) * 2020-04-14 2021-10-21 Entegris, Inc. Method and composition for etching molybdenum
TWI824299B (en) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 Etchant compositions
JPWO2022071069A1 (en) 2020-09-29 2022-04-07
CN112592775B (en) * 2020-12-07 2021-10-12 湖北兴福电子材料有限公司 Control separation blade cleaning solution and cleaning method
US20230178361A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
KR102558255B1 (en) * 2022-10-06 2023-07-20 윤새길 Urea-solution efflorescence dissolving additive and its manufacturing method

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443295A (en) 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
US6200910B1 (en) 1996-06-25 2001-03-13 Texas Instruments Incorporated Selective titanium nitride strip
US20010056052A1 (en) 1999-04-20 2001-12-27 Nec Corporation Cleaning liquid
US6417147B2 (en) 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US20030148624A1 (en) 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20040038840A1 (en) 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
KR20040074611A (en) 2003-02-19 2004-08-25 미츠비시 가스 가가쿠 가부시키가이샤 Cleaning solution and cleaning process using the solution
US20040180300A1 (en) * 2002-12-20 2004-09-16 Minsek David W. Photoresist removal
US20040229461A1 (en) 2003-05-12 2004-11-18 Michael Darsillo Chemical mechanical polishing compositions for copper and associated materials and method of using same
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US20050197265A1 (en) * 2004-03-03 2005-09-08 Rath Melissa K. Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050287480A1 (en) 2004-03-31 2005-12-29 Masayuki Takashima Photoresist stripper composition
US20060073997A1 (en) 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006133253A1 (en) 2005-06-07 2006-12-14 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US7419911B2 (en) 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7419945B2 (en) 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US20090163396A1 (en) 2004-03-01 2009-06-25 Chien-Pin Sherman Hsu Nanoelectronic and microelectronic cleaning compositions
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US7160482B2 (en) * 2000-12-22 2007-01-09 Imec Vzw Composition comprising an oxidizing and complexing compound
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
JP4620680B2 (en) * 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド Alkaline plasma etching / ashing residue remover and photoresist stripping composition containing metal halide corrosion inhibitors

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443295A (en) 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
US6200910B1 (en) 1996-06-25 2001-03-13 Texas Instruments Incorporated Selective titanium nitride strip
US20010056052A1 (en) 1999-04-20 2001-12-27 Nec Corporation Cleaning liquid
US6417147B2 (en) 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20030148624A1 (en) 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US20040038840A1 (en) 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
US7419945B2 (en) 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US20040180300A1 (en) * 2002-12-20 2004-09-16 Minsek David W. Photoresist removal
US20120302483A1 (en) 2002-12-20 2012-11-29 Advanced Technology Materials, Inc. Photoresist removal
KR20050094409A (en) 2002-12-20 2005-09-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Photoresist removal
KR20040074611A (en) 2003-02-19 2004-08-25 미츠비시 가스 가가쿠 가부시키가이샤 Cleaning solution and cleaning process using the solution
US20040224866A1 (en) 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20040229461A1 (en) 2003-05-12 2004-11-18 Michael Darsillo Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7419911B2 (en) 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20090163396A1 (en) 2004-03-01 2009-06-25 Chien-Pin Sherman Hsu Nanoelectronic and microelectronic cleaning compositions
US20050197265A1 (en) * 2004-03-03 2005-09-08 Rath Melissa K. Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050287480A1 (en) 2004-03-31 2005-12-29 Masayuki Takashima Photoresist stripper composition
US20060073997A1 (en) 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006133253A1 (en) 2005-06-07 2006-12-14 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Korean Office Action, Apr. 12, 2013.
Taiwanese Office Action, Oct. 13, 2012.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443713B2 (en) 2005-10-05 2016-09-13 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
WO2019110680A3 (en) * 2017-12-08 2019-11-21 Basf Se Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Also Published As

Publication number Publication date
KR101444468B1 (en) 2014-10-30
KR20080064147A (en) 2008-07-08
EP1932174A4 (en) 2009-09-23
SG10201508243UA (en) 2015-11-27
EP1932174A1 (en) 2008-06-18
TWI428442B (en) 2014-03-01
JP2009512194A (en) 2009-03-19
CN101366107B (en) 2011-08-24
US9443713B2 (en) 2016-09-13
CN101366107A (en) 2009-02-11
US7922824B2 (en) 2011-04-12
US20150000697A1 (en) 2015-01-01
US20090215658A1 (en) 2009-08-27
TW200730621A (en) 2007-08-16
US20110186086A1 (en) 2011-08-04
WO2007044446A1 (en) 2007-04-19

Similar Documents

Publication Publication Date Title
US9443713B2 (en) Oxidizing aqueous cleaner for the removal of post-etch residues
JP6339555B2 (en) Stripping composition having high WN / W selectivity
CN110777381B (en) Composition for TiN hardmask removal and etch residue cleaning
US9422513B2 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
US7825079B2 (en) Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
KR20060014388A (en) Removal of post-etch residues in semiconductor processing
CN110713868A (en) Post etch residue cleaning solution capable of removing titanium nitride

Legal Events

Date Code Title Description
AS Assignment

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032815/0852

Effective date: 20140430

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW Y

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032815/0852

Effective date: 20140430

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032812/0192

Effective date: 20140430

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW Y

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032812/0192

Effective date: 20140430

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ADVANCED TECHNOLOGY MATERIALS, INC.;REEL/FRAME:041029/0903

Effective date: 20161128

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

AS Assignment

Owner name: ATMI PACKAGING, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ATMI, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: POCO GRAPHITE, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ATMI PACKAGING, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ATMI, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: POCO GRAPHITE, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;SAES PURE GAS, INC.;REEL/FRAME:048811/0679

Effective date: 20181106

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL/FRAME 048811/0679;ASSIGNOR:GOLDMAN SACHS BANK USA;REEL/FRAME:050965/0035

Effective date: 20191031

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

AS Assignment

Owner name: TRUIST BANK, AS NOTES COLLATERAL AGENT, NORTH CAROLINA

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;ENTEGRIS GP, INC.;POCO GRAPHITE, INC.;AND OTHERS;REEL/FRAME:060613/0072

Effective date: 20220706