US9362310B2 - Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same - Google Patents

Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same Download PDF

Info

Publication number
US9362310B2
US9362310B2 US14/694,243 US201514694243A US9362310B2 US 9362310 B2 US9362310 B2 US 9362310B2 US 201514694243 A US201514694243 A US 201514694243A US 9362310 B2 US9362310 B2 US 9362310B2
Authority
US
United States
Prior art keywords
fins
epitaxy region
height
transistor
epitaxy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/694,243
Other versions
US20150228671A1 (en
Inventor
Thomas N. Adam
Kangguo Cheng
Bruce B. Doris
Hong He
Ali Khakifirooz
Alexander Reznicek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADAM, THOMAS N., CHENG, KANGGUO, DORIS, BRUCE B., HE, HONG, KHAKIFIROOZ, ALI, REZNICEK, ALEXANDER
Priority to US14/694,243 priority Critical patent/US9362310B2/en
Publication of US20150228671A1 publication Critical patent/US20150228671A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC
Publication of US9362310B2 publication Critical patent/US9362310B2/en
Application granted granted Critical
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition

Definitions

  • the field generally relates to methods of manufacturing fin field-effect transistor (FinFET) devices and, in particular, to a method for manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge.
  • FinFET fin field-effect transistor
  • Epitaxy is often used to merge individual fins that belong to a single transistor in order to provide enough material in the source drain for silicidation as well as to relax the requirements on a contact.
  • Conventional epitaxy processes are not self-limited. This means that there is a variation in epitaxy thickness based on the fin-to-fin spacing to ensure that all fins that need to be merged are merged.
  • the point where the tips of the facets merge is a weak point from a silicide formation point of view as there is not enough material in these to be consumed during epitaxy.
  • exemplary embodiments of the invention include methods of manufacturing FinFET devices and, in particular, to a method for manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge.
  • a method for manufacturing a fin field-effect transistor (FinFET) device comprises forming a plurality of fins on a substrate, epitaxially growing a sacrificial epitaxy region between the fins, stopping growth of the sacrificial epitaxy region at a beginning of merging of epitaxial shapes between neighboring fins, and forming a dielectric layer on the substrate including the fins and the sacrificial epitaxy region, wherein a portion of the dielectric layer is positioned between the sacrificial epitaxy region extending from fins of adjacent transistors.
  • FinFET fin field-effect transistor
  • a fin field-effect transistor (FinFET) device comprises a substrate, a first plurality of fins on the substrate corresponding to a first transistor, a second plurality of fins on the substrate corresponding to a second transistor, a first epitaxy region extending between the first plurality of fins, a second epitaxy region extending between the second plurality of fins, and a dielectric layer on the substrate, wherein a portion of the dielectric layer is positioned between the first epitaxy region and the second epitaxy region preventing contact between the first epitaxy region and the second epitaxy region.
  • FinFET fin field-effect transistor
  • a method for manufacturing a fin field-effect transistor (FinFET) device comprises forming a first plurality of fins on a substrate corresponding to a first transistor, forming a second plurality of fins on the substrate corresponding to a second transistor, epitaxially growing a sacrificial epitaxy region between the first plurality of fins corresponding to the first transistor and between the second plurality of fins corresponding to the second transistor, stopping growth of the sacrificial epitaxy region to avoid contact of the sacrificial epitaxy region between the first plurality of fins and the second plurality of fins, and forming a dielectric layer on the substrate between the sacrificial epitaxy region extending from adjacent fins of the first and second transistors.
  • FinFET fin field-effect transistor
  • FIG. 1 is a cross-sectional view illustrating fin formation in a method of manufacturing a FinFET device, according to an exemplary embodiment of the present invention.
  • FIG. 2 is a cross-sectional view illustrating formation of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 3 is a cross-sectional view illustrating deposition of a dielectric in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 4 is a cross-sectional view showing opening of a trench in a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 5 is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 6 is a cross-sectional view showing formation of an epitaxy region for a transistor in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 7 is a cross-sectional view illustrating further deposition of a dielectric in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 8 is a cross-sectional view showing opening of a trench in a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 9 is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 10 is a cross-sectional view showing formation of an epitaxy region for a transistor in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • FIG. 11 is a cross-sectional view showing removal of a portion of a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
  • the embodiments of the present invention include a replacement epitaxy process, where a sacrificial faceted epitaxy region is first grown to merge the fins. Then, a dielectric is deposited to cover the sacrificial epitaxy region. Trenches are opened in the dielectric, the sacrificial epitaxy is removed and its space is filled with the desired epitaxy.
  • the FinFET devices and methods for forming same in accordance with the embodiments of the present invention can be employed in applications, hardware, and/or electronic systems.
  • Suitable hardware and systems for implementing embodiments of the invention may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell and smart phones), solid-state media storage devices, functional circuitry, etc.
  • Systems and hardware incorporating the FinFET devices are contemplated embodiments of the invention. Given the teachings of the embodiments of the invention provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of embodiments of the invention.
  • fins 116 are formed by patterning a silicon-on-insulator (SOI) layer. Patterning is performed by, for example, image transfer and etching. In FIG. 1 , the cross-section is taken through the fins 116 in the source drain region. The fins 116 are formed on a buried oxide (BOX) layer 112 , which is formed on a semiconductor substrate 110 . It is to be understood that the embodiments of the invention are not limited to use of an SOI layer, and that the embodiments can be applied independent of the underlying substrate.
  • SOI silicon-on-insulator
  • a gate stack layer (not shown) can be deposited and patterned to form gate stacks around sides and on upper surfaces of designated portions of the fins 116 for the gate areas.
  • a spacer layer is also deposited and patterned by, for example, reactive ion etching (RIE) to form spacer patterns (not shown) along sides of the gate stacks.
  • RIE reactive ion etching
  • FIG. 2 which is a cross-sectional view illustrating formation of a sacrificial epitaxy region 118 in a method of manufacturing a FinFET device
  • the fins 116 are merged by epitaxially growing SiGe or other material having a relatively high etch selectivity with respect to silicon, such as, for example, germanium (Ge), so that the fins 116 contact each other via the epitaxy region 118 in an integrated structure.
  • growth of the sacrificial epitaxy region 118 is stopped when or at some time after the sacrificial epitaxy region 118 between the fins 116 of a transistor 120 a or 120 b is merged as shown in FIG.
  • the growth thickness of the sacrificial epitaxy region 118 from each fin is larger than half of the fin-to-fin spacing.
  • the growth thickness may be greater than 15 nm for fins positioned at a 40 nm fin pitch in a transistor.
  • a maximum lateral growth of the sacrificial epitaxy region 118 is determined by the fin height. For example, given a ( 111 ) facet (using Miller Indices), the lateral growth of the sacrificial epitaxy region 118 is about equal to
  • h fin is the height of the fin.
  • the growth of the sacrificial epitaxy region 118 does not exceed a pre-determined time period so that growth can be stopped once or at some time after the sacrificial epitaxy region 118 between the fins 116 of a transistor 120 a or 120 b is merged, and prior to contact of the sacrificial epitaxy region between fins of adjacent transistors.
  • the sacrificial epitaxy region on the fin forms a diamond shape, and with enough time the diamond shape grows bigger and merges with a neighboring diamond shape.
  • growth can be stopped at a beginning of merging, such as immediately or shortly after merging of neighboring epitaxial shapes occurs.
  • a dielectric layer 128 for example, an oxide, is formed on the substrate 110 including the BOX layer 112 , fins 116 and sacrificial epitaxy region 118 .
  • lower gaps 122 between the fins 116 that are not filled by the sacrificial epitaxy region 118 are filled by the dielectric layer 128 .
  • the lower gaps 122 are not filled by the sacrificial epitaxy region 118 , and are left open.
  • the dielectric layer 128 is planarized down to a top of the gate structure, using, for example, chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • FIG. 4 is a cross-sectional view showing opening of a trench in a dielectric layer in a method of manufacturing a FinFET device
  • a portion of the dielectric layer 128 corresponding to a first transistor 120 a is removed by, for example, etching, such as, for example, reactive ion etching (RIE) to form a trench 131 .
  • the transistor 120 a is an NFET transistor.
  • the trench 131 is an opening formed to expose the sacrificial epitaxy region 118 for transistor 120 a.
  • the sacrificial epitaxy region 118 corresponding to the transistor 120 a is removed by etching.
  • Etching can include, wet and/or dry etch processes.
  • a wet etch with hot ammonia can be used, or an HCl gas etch can be used.
  • the HCl gas etch can be done immediately prior to the deposition of the second (or final epitaxy) in the same epitaxial reactor where the final epitaxial deposition is performed.
  • the wafer is introduced into the epitaxial reactor, HCl gas etch is employed to remove the sacrificial epitaxy SiGe around the fins, and the final epitaxy is grown after that.
  • HCl gas etch is employed to remove the sacrificial epitaxy SiGe around the fins, and the final epitaxy is grown after that.
  • the process ensures increased or maximum cleanliness and improved or best epitaxial quality, since the fins are not exposed to ambient air after sacrificial SiGe removal. Both mentioned wet and dry etches are selective to the dielectric.
  • epitaxy region 138 is grown for transistor 120 a in the space previously occupied by the sacrificial epitaxy region 118 .
  • the epitaxy region 138 further can be overgrown (e.g., grown higher above a top surface of the fins 116 ) to result in a smooth top surface of the epitaxy region 138 .
  • the height above a top surface of the fins 116 can be in the range of about 10 nm to about 20 nm.
  • a height higher than about 20 nm can result in a gate capacitance penalty. Due to the presence of the dielectric layer 128 , the epitaxy region 138 is prevented from excessive lateral growth from end fins. As a result, an epitaxy region 138 is blocked by the dielectric layer 128 and does not extend to connect fins from adjacent transistors (e.g., 120 a and 120 b ). Thereby, shorts between neighboring transistors as well as source to drain shorts can be prevented.
  • the epitaxy region 138 is for an NFET.
  • Embodiments of the present invention form epitaxy regions for transistors with the same doping at the same time, while shielding transistors with different doping.
  • epitaxy regions for transistors with the same doping as transistor 120 a in this case n-type
  • transistors with the same doping as transistor 120 b in this case p-type
  • doping of the transistors 120 a and 120 b can be reversed.
  • the fins 116 forming the source drain region of transistor 120 a are merged by epitaxially growing Si:P (phosphorus doped silicon), Si:C(P) on the exposed silicon surfaces of the fins 116 so that the fins 116 contact each other through the epitaxy region 138 in an integrated structure.
  • Si:C(P) epitaxial silicon with carbon and phosphorous doping.
  • a crystalline semiconductor layer may include carbon doped silicon with an atomic carbon concentration of between about 0.2% to about 4.0% substitutional carbon.
  • a crystalline semiconductor layer may include a carbon doped silicon type material having a concentration of about 0.3% to about 2.5% substitutional carbon.
  • the total amount of carbon in a crystalline semiconductor layer may be higher than the substitutional amount.
  • Another material could be phosphorus doped SiGe, with Ge % less than 10% to promote phosphorus incorporation.
  • Si:C(P) allows for the application of a strain on the structure (fin).
  • merging is performed with epitaxial in-situ phosphorus (as mentioned above) or arsenic doped silicon.
  • merging is performed and subsequent ion implantation can follow the epitaxial merging process.
  • the doping level can be about 1.0 ⁇ 10 20 cm ⁇ 3 to about 2.0 ⁇ 10 21 cm ⁇ 3 , for example, about 4.0 ⁇ 10 20 cm ⁇ 3 to about 9.0 ⁇ 10 20 cm ⁇ 3 .
  • the dielectric layer 128 is deposited on the structure from FIG. 6 to cover epitaxy region 138 , and chemical mechanical planarization (CMP) is performed to level the dielectric layer surface. Then, referring to FIG. 8 , a portion of the dielectric layer 128 corresponding to a second transistor 120 b is removed by, for example, etching, such as, for example, RIE to form a trench 133 .
  • the transistor 120 b is a PFET transistor.
  • the trench 133 is an opening formed to expose the sacrificial epitaxy region 118 for transistor 120 b .
  • the trench does not necessarily overlap all of the fins for a transistor.
  • the trench can be large enough to overlap all of the fins, it is sufficient that the trench be large enough to remove the sacrificial epitaxy region 118 and fill the resulting gaps with the final epitaxy region.
  • the trench 133 overlaps some, but not all of the fins 116 for transistor 120 b , but still permits removal of the sacrificial epitaxy region and growth of the final epitaxy region.
  • FIG. 9 is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device
  • the sacrificial epitaxy region 118 corresponding to the transistor 120 b is removed by, for example, etching.
  • Etching can include, wet and/or dry etch processes such as those described in connection with FIG. 5 .
  • epitaxy region 148 is grown for transistor 120 b in the space previously occupied by the sacrificial epitaxy region 118 .
  • the epitaxy region 148 can further be overgrown (e.g., grown relatively high above a top surface of the fins 116 ) to result in a smooth top surface of the epitaxy region 148 . Due to the presence of the dielectric layer 128 , like the epitaxy region 138 , the epitaxy region 148 is prevented from excessive lateral growth from end fins.
  • the epitaxy region 148 is blocked by the dielectric layer 128 and does not extend to connect fins from adjacent transistors (e.g., 120 a and 120 b ). Thereby, shorts between neighboring transistors as well as source to drain shorts can be prevented.
  • the epitaxy region 148 is for a PFET. Epitaxy regions for transistors with the same doping as transistor 120 b (in this case p-type) can be formed at the same time, while transistors with the same doping as transistor 120 a (in this case n-type) remain covered.
  • the fins 116 forming the source drain region of transistor 120 b are merged by epitaxially growing in-situ boron doped SiGe (may include an introduced strain or Si) on the exposed silicon surfaces of the fins 116 so that the fins 116 contact each other through the epitaxy region 148 in an integrated structure.
  • merging is performed with epitaxial in-situ boron doped silicon.
  • the epitaxy region 148 can be in-situ doped with boron or other appropriate impurity.
  • merging is performed and subsequent ion implantation can follow the epitaxial merging process.
  • the doping level can be about 1.0 ⁇ 10 20 cm ⁇ 3 to about 2.0 ⁇ 10 21 cm ⁇ 3 , for example about 4.0 ⁇ 10 20 cm 3 to about 9.0 ⁇ 10 20 cm ⁇ 3 .
  • the portion of the dielectric layer 128 over the transistor 120 a is removed, and remaining processes for forming the FinFET devices can be performed, including, but not limited to, silicide and contact formation, replacement metal gate (RMG), and back-end-of-line (BEOL) processes.
  • silicide and contact formation including, but not limited to, silicide and contact formation, replacement metal gate (RMG), and back-end-of-line (BEOL) processes.
  • RMG replacement metal gate
  • BEOL back-end-of-line

Abstract

A method for manufacturing a fin field-effect transistor (FinFET) device comprises forming a plurality of fins on a substrate, epitaxially growing a sacrificial epitaxy region between the fins, stopping growth of the sacrificial epitaxy region at a beginning of merging of epitaxial shapes between neighboring fins, and forming a dielectric layer on the substrate including the fins and the sacrificial epitaxy region, wherein a portion of the dielectric layer is positioned between the sacrificial epitaxy region extending from fins of adjacent transistors.

Description

CROSS-REFERENCE TO RELATED APPLICATION(S)
This application is a divisional of pending U.S. application Ser. No. 13/961,248, filed on Aug. 7, 2013, the disclosure of which is incorporated herein by reference.
TECHNICAL FIELD
The field generally relates to methods of manufacturing fin field-effect transistor (FinFET) devices and, in particular, to a method for manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge.
BACKGROUND
Epitaxy is often used to merge individual fins that belong to a single transistor in order to provide enough material in the source drain for silicidation as well as to relax the requirements on a contact. Conventional epitaxy processes are not self-limited. This means that there is a variation in epitaxy thickness based on the fin-to-fin spacing to ensure that all fins that need to be merged are merged.
However, there is a need to avoid unwanted shorts between neighboring transistors as well as a source to drain shorts caused by the merging of fins of different transistors, and the variation in the epitaxy thickness makes it difficult to design growth rates to avoid the unwanted shorts. Known methods have employed extra spacing between neighboring transistors.
In conventional faceted epitaxy growth, the point where the tips of the facets merge is a weak point from a silicide formation point of view as there is not enough material in these to be consumed during epitaxy.
Accordingly, there is a need for an improved method for fin merge that prevents the unwanted shorts while providing for an adequate merge of fins in a transistor.
SUMMARY
In general, exemplary embodiments of the invention include methods of manufacturing FinFET devices and, in particular, to a method for manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge.
According to an exemplary embodiment of the present invention, a method for manufacturing a fin field-effect transistor (FinFET) device comprises forming a plurality of fins on a substrate, epitaxially growing a sacrificial epitaxy region between the fins, stopping growth of the sacrificial epitaxy region at a beginning of merging of epitaxial shapes between neighboring fins, and forming a dielectric layer on the substrate including the fins and the sacrificial epitaxy region, wherein a portion of the dielectric layer is positioned between the sacrificial epitaxy region extending from fins of adjacent transistors.
According to an exemplary embodiment of the present invention, a fin field-effect transistor (FinFET) device comprises a substrate, a first plurality of fins on the substrate corresponding to a first transistor, a second plurality of fins on the substrate corresponding to a second transistor, a first epitaxy region extending between the first plurality of fins, a second epitaxy region extending between the second plurality of fins, and a dielectric layer on the substrate, wherein a portion of the dielectric layer is positioned between the first epitaxy region and the second epitaxy region preventing contact between the first epitaxy region and the second epitaxy region.
According to an exemplary embodiment of the present invention, a method for manufacturing a fin field-effect transistor (FinFET) device comprises forming a first plurality of fins on a substrate corresponding to a first transistor, forming a second plurality of fins on the substrate corresponding to a second transistor, epitaxially growing a sacrificial epitaxy region between the first plurality of fins corresponding to the first transistor and between the second plurality of fins corresponding to the second transistor, stopping growth of the sacrificial epitaxy region to avoid contact of the sacrificial epitaxy region between the first plurality of fins and the second plurality of fins, and forming a dielectric layer on the substrate between the sacrificial epitaxy region extending from adjacent fins of the first and second transistors.
These and other exemplary embodiments of the invention will be described or become apparent from the following detailed description of exemplary embodiments, which is to be read in connection with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
Exemplary embodiments of the present invention will be described below in more detail, with reference to the accompanying drawings, of which:
FIG. 1 is a cross-sectional view illustrating fin formation in a method of manufacturing a FinFET device, according to an exemplary embodiment of the present invention.
FIG. 2 is a cross-sectional view illustrating formation of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 3 is a cross-sectional view illustrating deposition of a dielectric in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 4 is a cross-sectional view showing opening of a trench in a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 5 is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 6 is a cross-sectional view showing formation of an epitaxy region for a transistor in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 7 is a cross-sectional view illustrating further deposition of a dielectric in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 8 is a cross-sectional view showing opening of a trench in a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 9 is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 10 is a cross-sectional view showing formation of an epitaxy region for a transistor in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
FIG. 11 is a cross-sectional view showing removal of a portion of a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention.
DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
Exemplary embodiments of the invention will now be discussed in further detail with regard to methods of manufacturing FinFET devices and, in particular, to a method for manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein.
The embodiments of the present invention include a replacement epitaxy process, where a sacrificial faceted epitaxy region is first grown to merge the fins. Then, a dielectric is deposited to cover the sacrificial epitaxy region. Trenches are opened in the dielectric, the sacrificial epitaxy is removed and its space is filled with the desired epitaxy.
It is to be understood that the various layers and/or regions shown in the accompanying drawings are not drawn to scale, and that one or more layers and/or regions of a type commonly used in FinFET devices may not be explicitly shown in a given drawing. This does not imply that the layers and/or regions not explicitly shown are omitted from the actual FinFET devices. Moreover, the same or similar reference numbers used throughout the drawings are used to denote the same or similar features, elements, or structures, and thus, a detailed explanation of the same or similar features, elements, or structures will not be repeated for each of the drawings.
The FinFET devices and methods for forming same in accordance with the embodiments of the present invention can be employed in applications, hardware, and/or electronic systems. Suitable hardware and systems for implementing embodiments of the invention may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell and smart phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating the FinFET devices are contemplated embodiments of the invention. Given the teachings of the embodiments of the invention provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of embodiments of the invention.
Referring to FIG. 1, which is a cross-sectional view illustrating fin formation in a method of manufacturing a FinFET device, according to an exemplary embodiment of the present invention, fins 116 are formed by patterning a silicon-on-insulator (SOI) layer. Patterning is performed by, for example, image transfer and etching. In FIG. 1, the cross-section is taken through the fins 116 in the source drain region. The fins 116 are formed on a buried oxide (BOX) layer 112, which is formed on a semiconductor substrate 110. It is to be understood that the embodiments of the invention are not limited to use of an SOI layer, and that the embodiments can be applied independent of the underlying substrate.
In accordance with an embodiment of the present invention, after formation of the fins 116, a gate stack layer (not shown) can be deposited and patterned to form gate stacks around sides and on upper surfaces of designated portions of the fins 116 for the gate areas. A spacer layer is also deposited and patterned by, for example, reactive ion etching (RIE) to form spacer patterns (not shown) along sides of the gate stacks.
Referring to FIG. 2, which is a cross-sectional view illustrating formation of a sacrificial epitaxy region 118 in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, the fins 116 are merged by epitaxially growing SiGe or other material having a relatively high etch selectivity with respect to silicon, such as, for example, germanium (Ge), so that the fins 116 contact each other via the epitaxy region 118 in an integrated structure. According to an embodiment, growth of the sacrificial epitaxy region 118 is stopped when or at some time after the sacrificial epitaxy region 118 between the fins 116 of a transistor 120 a or 120 b is merged as shown in FIG. 2 by the diamond shapes touching each other in each transistor 120 a, 120 b. The growth is stopped so that end fins of adjacent transistors are not merged via the sacrificial epitaxy region 118 (i.e., the sacrificial epitaxy regions 118 of separate transistors 120 a and 120 b are separated from each other and do not touch. According to an embodiment, to make sure that all fins that are desired to be merged are merged (e.g., fins of the same transistor), the growth thickness of the sacrificial epitaxy region 118 from each fin is larger than half of the fin-to-fin spacing. For example, the growth thickness may be greater than 15 nm for fins positioned at a 40 nm fin pitch in a transistor.
In accordance with an embodiment of the present invention, a maximum lateral growth of the sacrificial epitaxy region 118 is determined by the fin height. For example, given a (111) facet (using Miller Indices), the lateral growth of the sacrificial epitaxy region 118 is about equal to
h fin 2 ( 2 ) , ( 1 )
where hfin is the height of the fin.
In accordance with an embodiment, the growth of the sacrificial epitaxy region 118 does not exceed a pre-determined time period so that growth can be stopped once or at some time after the sacrificial epitaxy region 118 between the fins 116 of a transistor 120 a or 120 b is merged, and prior to contact of the sacrificial epitaxy region between fins of adjacent transistors. In accordance with an embodiment, the sacrificial epitaxy region on the fin forms a diamond shape, and with enough time the diamond shape grows bigger and merges with a neighboring diamond shape. According to an embodiment, growth can be stopped at a beginning of merging, such as immediately or shortly after merging of neighboring epitaxial shapes occurs.
Referring to FIG. 3, which is a cross-sectional view illustrating deposition of a dielectric in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, a dielectric layer 128, for example, an oxide, is formed on the substrate 110 including the BOX layer 112, fins 116 and sacrificial epitaxy region 118. In accordance with an embodiment of the present invention, lower gaps 122 between the fins 116 that are not filled by the sacrificial epitaxy region 118 are filled by the dielectric layer 128. Alternatively, the lower gaps 122 are not filled by the sacrificial epitaxy region 118, and are left open. According to an embodiment, the dielectric layer 128 is planarized down to a top of the gate structure, using, for example, chemical mechanical polishing (CMP).
Referring to FIG. 4, which is a cross-sectional view showing opening of a trench in a dielectric layer in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, a portion of the dielectric layer 128 corresponding to a first transistor 120 a is removed by, for example, etching, such as, for example, reactive ion etching (RIE) to form a trench 131. According to an embodiment, the transistor 120 a is an NFET transistor. The trench 131 is an opening formed to expose the sacrificial epitaxy region 118 for transistor 120 a.
Referring to FIG. 5, which is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, the sacrificial epitaxy region 118 corresponding to the transistor 120 a is removed by etching. Etching can include, wet and/or dry etch processes. For example, a wet etch with hot ammonia can be used, or an HCl gas etch can be used. In one embodiment, the HCl gas etch can be done immediately prior to the deposition of the second (or final epitaxy) in the same epitaxial reactor where the final epitaxial deposition is performed. According to an embodiment of the present invention, after trench opening and cleans, the wafer is introduced into the epitaxial reactor, HCl gas etch is employed to remove the sacrificial epitaxy SiGe around the fins, and the final epitaxy is grown after that. The process ensures increased or maximum cleanliness and improved or best epitaxial quality, since the fins are not exposed to ambient air after sacrificial SiGe removal. Both mentioned wet and dry etches are selective to the dielectric.
Referring to FIG. 6, which is a cross-sectional view showing formation of an epitaxy region for a transistor in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, epitaxy region 138 is grown for transistor 120 a in the space previously occupied by the sacrificial epitaxy region 118. As can be seen, the epitaxy region 138 further can be overgrown (e.g., grown higher above a top surface of the fins 116) to result in a smooth top surface of the epitaxy region 138. According to an embodiment, the height above a top surface of the fins 116 can be in the range of about 10 nm to about 20 nm. A height higher than about 20 nm can result in a gate capacitance penalty. Due to the presence of the dielectric layer 128, the epitaxy region 138 is prevented from excessive lateral growth from end fins. As a result, an epitaxy region 138 is blocked by the dielectric layer 128 and does not extend to connect fins from adjacent transistors (e.g., 120 a and 120 b). Thereby, shorts between neighboring transistors as well as source to drain shorts can be prevented.
According to an embodiment, the epitaxy region 138 is for an NFET. Embodiments of the present invention form epitaxy regions for transistors with the same doping at the same time, while shielding transistors with different doping. For example, epitaxy regions for transistors with the same doping as transistor 120 a (in this case n-type) can be formed at the same time, while transistors with the same doping as transistor 120 b (in this case p-type) remain covered. It is to be understood that doping of the transistors 120 a and 120 b can be reversed.
The fins 116 forming the source drain region of transistor 120 a (in this case an NFET) are merged by epitaxially growing Si:P (phosphorus doped silicon), Si:C(P) on the exposed silicon surfaces of the fins 116 so that the fins 116 contact each other through the epitaxy region 138 in an integrated structure. Si:C(P)=epitaxial silicon with carbon and phosphorous doping. In one embodiment, a crystalline semiconductor layer may include carbon doped silicon with an atomic carbon concentration of between about 0.2% to about 4.0% substitutional carbon. In another embodiment, a crystalline semiconductor layer may include a carbon doped silicon type material having a concentration of about 0.3% to about 2.5% substitutional carbon. It is to be understood that the total amount of carbon in a crystalline semiconductor layer may be higher than the substitutional amount. Another material could be phosphorus doped SiGe, with Ge % less than 10% to promote phosphorus incorporation. Si:C(P) allows for the application of a strain on the structure (fin). According to an embodiment, merging is performed with epitaxial in-situ phosphorus (as mentioned above) or arsenic doped silicon. In another alternative embodiment, merging is performed and subsequent ion implantation can follow the epitaxial merging process. The doping level can be about 1.0×1020 cm−3 to about 2.0×1021 cm−3, for example, about 4.0×1020 cm−3 to about 9.0×1020 cm−3.
Referring to FIG. 7, which is a cross-sectional view illustrating further deposition of a dielectric in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, the dielectric layer 128 is deposited on the structure from FIG. 6 to cover epitaxy region 138, and chemical mechanical planarization (CMP) is performed to level the dielectric layer surface. Then, referring to FIG. 8, a portion of the dielectric layer 128 corresponding to a second transistor 120 b is removed by, for example, etching, such as, for example, RIE to form a trench 133. According to an embodiment, the transistor 120 b is a PFET transistor. The trench 133 is an opening formed to expose the sacrificial epitaxy region 118 for transistor 120 b. As can be seen in FIG. 8, in accordance with an embodiment of the present invention, the trench does not necessarily overlap all of the fins for a transistor. According to an embodiment, while the trench can be large enough to overlap all of the fins, it is sufficient that the trench be large enough to remove the sacrificial epitaxy region 118 and fill the resulting gaps with the final epitaxy region. In connection with FIG. 8, the trench 133 overlaps some, but not all of the fins 116 for transistor 120 b, but still permits removal of the sacrificial epitaxy region and growth of the final epitaxy region.
Referring to FIG. 9, which is a cross-sectional view showing removal of a sacrificial epitaxy region in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, the sacrificial epitaxy region 118 corresponding to the transistor 120 b is removed by, for example, etching. Etching can include, wet and/or dry etch processes such as those described in connection with FIG. 5.
Referring to FIG. 10, which is a cross-sectional view showing formation of an epitaxy region for a transistor in a method of manufacturing a FinFET device, according to an exemplary embodiment of the invention, epitaxy region 148 is grown for transistor 120 b in the space previously occupied by the sacrificial epitaxy region 118. As can be seen, the epitaxy region 148 can further be overgrown (e.g., grown relatively high above a top surface of the fins 116) to result in a smooth top surface of the epitaxy region 148. Due to the presence of the dielectric layer 128, like the epitaxy region 138, the epitaxy region 148 is prevented from excessive lateral growth from end fins. As a result, the epitaxy region 148 is blocked by the dielectric layer 128 and does not extend to connect fins from adjacent transistors (e.g., 120 a and 120 b). Thereby, shorts between neighboring transistors as well as source to drain shorts can be prevented.
According to an embodiment, the epitaxy region 148 is for a PFET. Epitaxy regions for transistors with the same doping as transistor 120 b (in this case p-type) can be formed at the same time, while transistors with the same doping as transistor 120 a (in this case n-type) remain covered.
The fins 116 forming the source drain region of transistor 120 b (in this case an PFET) are merged by epitaxially growing in-situ boron doped SiGe (may include an introduced strain or Si) on the exposed silicon surfaces of the fins 116 so that the fins 116 contact each other through the epitaxy region 148 in an integrated structure. According to an embodiment, merging is performed with epitaxial in-situ boron doped silicon. The epitaxy region 148 can be in-situ doped with boron or other appropriate impurity. In another alternative embodiment, merging is performed and subsequent ion implantation can follow the epitaxial merging process. The doping level can be about 1.0×1020 cm−3 to about 2.0×1021 cm−3, for example about 4.0×1020 cm3 to about 9.0×1020 cm−3.
Referring to FIG. 11, after formation of the structure shown in FIG. 10, the portion of the dielectric layer 128 over the transistor 120 a is removed, and remaining processes for forming the FinFET devices can be performed, including, but not limited to, silicide and contact formation, replacement metal gate (RMG), and back-end-of-line (BEOL) processes.
Although illustrative embodiments of the present invention have been described herein with reference to the accompanying drawings, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be made by one skilled in the art without departing from the scope or spirit of the invention.

Claims (16)

We claim:
1. A fin field-effect transistor (FinFET) device, comprising:
a substrate;
a first plurality of fins on the substrate corresponding to a first transistor;
a second plurality of fins on the substrate corresponding to a second transistor;
a first epitaxy region extending between the first plurality of fins;
a second epitaxy region extending between the second plurality of fins; and
a dielectric layer on the substrate, wherein a portion of the dielectric layer is positioned between the first epitaxy region and the second epitaxy region preventing contact between the first epitaxy region and the second epitaxy region;
wherein the first epitaxy region extends over an entirety of the first plurality of fins and the second epitaxy region extends over an entirety of the second plurality of fins;
wherein a height of the first epitaxy region is greater than a height of the first plurality of fins and a height of the second epitaxy region is greater than a height of the second plurality of fins; and
wherein the height of the second epitaxy region over a first fin of the second plurality fins is different from the height of the second epitaxy region over a second fin of the second plurality fins.
2. The FinFET device according to claim 1, wherein the first and second plurality of fins are formed on a buried oxide layer.
3. The FinFET device according to claim 1, wherein the height of the first epitaxy region is in the range of 10 nm to 20 nm greater than the height of the first plurality of fins and the height of the second epitaxy region over a portion of the second plurality of fins is in the range of 10 nm to 20 nm greater than the height of the second plurality of fins.
4. The FinFET device according to claim 1, wherein the first transistor has a first doping.
5. The FinFET device according to claim 4, wherein the first epitaxy region comprises phosphorous doped silicon.
6. The FinFET device according to claim 5, wherein the second transistor has a second doping.
7. The FinFET device according to claim 6, wherein the second epitaxy region comprises boron doped silicon.
8. The FinFET device according to claim 1, wherein the first and second epitaxy regions are doped differently.
9. An electronic device, comprising:
a semiconductor device, the semiconductor device comprising:
a substrate;
a first plurality of fins on the substrate corresponding to a first transistor;
a second plurality of fins on the substrate corresponding to a second transistor;
a first epitaxy region extending between the first plurality of fins;
a second epitaxy region extending between the second plurality of fins; and
a dielectric layer on the substrate, wherein a portion of the dielectric layer is positioned between the first epitaxy region and the second epitaxy region preventing contact between the first epitaxy region and the second epitaxy region;
wherein the first epitaxy region extends over an entirety of the first plurality of fins and the second epitaxy region extends over an entirety of the second plurality of fins;
wherein a height of the first epitaxy region is greater than a height of the first plurality of fins and a height of the second epitaxy region is greater than a height of the second plurality of fins; and
wherein the height of the second epitaxy region over a first fin of the second plurality fins is different from the height of the second epitaxy region over a second fin of the second plurality fins.
10. The electronic device according to claim 9, wherein the first and second plurality of fins are formed on a buried oxide layer.
11. The electronic device according to claim 9, wherein the height of the first epitaxy region is in the range of 10 nm to 20 nm greater than the height of the first plurality of fins and the height of the second epitaxy region over a portion of the second plurality of fins is in the range of 10 nm to 20 nm greater than the height of the second plurality of fins.
12. The electronic device according to claim 9, wherein the first transistor has a first doping.
13. The electronic device according to claim 12, wherein the first epitaxy region comprises phosphorous doped silicon.
14. The electronic device according to claim 13, wherein the second transistor has a second doping.
15. The electronic device according to claim 14, wherein the second epitaxy region comprises boron doped silicon.
16. The electronic device according to claim 9, wherein the first and second epitaxy regions are doped differently.
US14/694,243 2013-08-07 2015-04-23 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same Active US9362310B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/694,243 US9362310B2 (en) 2013-08-07 2015-04-23 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/961,248 US9054218B2 (en) 2013-08-07 2013-08-07 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US14/694,243 US9362310B2 (en) 2013-08-07 2015-04-23 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/961,248 Division US9054218B2 (en) 2013-08-07 2013-08-07 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same

Publications (2)

Publication Number Publication Date
US20150228671A1 US20150228671A1 (en) 2015-08-13
US9362310B2 true US9362310B2 (en) 2016-06-07

Family

ID=52447917

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/961,248 Active US9054218B2 (en) 2013-08-07 2013-08-07 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US14/694,243 Active US9362310B2 (en) 2013-08-07 2015-04-23 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/961,248 Active US9054218B2 (en) 2013-08-07 2013-08-07 Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same

Country Status (1)

Country Link
US (2) US9054218B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10943900B2 (en) 2018-07-27 2021-03-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US9373720B2 (en) 2013-10-14 2016-06-21 Globalfoundries Inc. Three-dimensional transistor with improved channel mobility
EP3123521A4 (en) 2014-03-27 2017-10-25 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
US9142640B1 (en) * 2014-06-17 2015-09-22 Globalfoundries Inc. Containment structure for epitaxial growth in non-planar semiconductor structure
US9773906B2 (en) * 2015-04-28 2017-09-26 Samsung Electronics Co., Ltd. Relaxed semiconductor layers with reduced defects and methods of forming the same
KR102422430B1 (en) 2015-07-16 2022-07-18 삼성전자주식회사 Semiconductor device and fabricating method thereof
US9837277B2 (en) 2015-08-12 2017-12-05 International Business Machines Corporation Forming a contact for a tall fin transistor
US10084090B2 (en) * 2015-11-09 2018-09-25 International Business Machines Corporation Method and structure of stacked FinFET
US9472447B1 (en) 2015-12-17 2016-10-18 International Business Machines Corporation Confined eptaxial growth for continued pitch scaling
US10205025B2 (en) * 2016-03-11 2019-02-12 Samsung Electronics Co., Ltd. Methods to achieve strained channel finFET devices
US9748245B1 (en) * 2016-09-23 2017-08-29 International Business Machines Corporation Multiple finFET formation with epitaxy separation
KR102330087B1 (en) * 2017-04-03 2021-11-22 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
US10923595B2 (en) * 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
DE102018106581B4 (en) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor component and method for its production
US11455715B2 (en) * 2021-02-16 2022-09-27 Applied Materials Israel Ltd. Epitaxy metrology in fin field effect transistors

Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050077553A1 (en) 2003-10-14 2005-04-14 Kim Sung-Min Methods of forming multi fin FETs using sacrificial fins and devices so formed
US20070111404A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US20080206933A1 (en) 2007-02-23 2008-08-28 Brownson Rickey S Semiconductor fin integration using a sacrificial fin
US20100171805A1 (en) * 2009-01-07 2010-07-08 Modu Ltd. Digital photo frame with dial-a-tag functionality
US20120025316A1 (en) 2010-08-02 2012-02-02 Advanced Micro Devices, Inc. Process for Forming FINS for a FinFET Device
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US20120043610A1 (en) 2010-08-17 2012-02-23 International Business Machines Corporation Controlled Fin-Merging for Fin Type FET Devices
US20120126375A1 (en) 2010-11-19 2012-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metrology structures from fins in integrated circuitry
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US20120276695A1 (en) 2011-04-29 2012-11-01 International Business Machines Corporation Strained thin body CMOS with Si:C and SiGe stressor
US20120314485A1 (en) 2011-06-12 2012-12-13 International Business Machines Corporation Complementary soi lateral bipolar for sram in a low-voltage cmos platform
US20130020642A1 (en) 2009-11-03 2013-01-24 International Business Machines Corporation Finfet spacer formation by oriented implantation
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US20130187228A1 (en) 2012-01-19 2013-07-25 Globalfoundries Inc. FinFET Semiconductor Devices with Improved Source/Drain Resistance and Methods of Making Same
US8569152B1 (en) 2012-06-04 2013-10-29 International Business Machines Corporation Cut-very-last dual-epi flow
US8586455B1 (en) 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8617996B1 (en) * 2013-01-10 2013-12-31 Globalfoundries Inc. Fin removal method
US8656599B2 (en) 2009-09-01 2014-02-25 Marietta Anderegg Cutting tool
US20140106528A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140120678A1 (en) 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US8716156B1 (en) 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US20140183605A1 (en) 2012-12-28 2014-07-03 International Business Machines Corporation Semiconductor device and method of manufacturing the semiconductor device
US20140203370A1 (en) 2013-01-24 2014-07-24 Shigenobu Maeda Semiconductor Device and Fabricating Method Thereof
US8796093B1 (en) * 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US20140217517A1 (en) 2013-02-05 2014-08-07 International Business Machines Corporation Integrated circuits including finfet devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US20140239395A1 (en) 2013-02-25 2014-08-28 International Business Machines Corporation Contact resistance reduction in finfets
US20140284760A1 (en) 2013-03-20 2014-09-25 International Business Machines Corporation Integrated passive devices for finfet technologies
US20140284719A1 (en) 2013-03-21 2014-09-25 International Business Machines Corporation Method and structure for finfet cmos
US20140291766A1 (en) 2013-03-30 2014-10-02 Walid M. Hafez Planar device on fin-based transistor architecture
US8851659B2 (en) 2008-12-10 2014-10-07 Seiko Epson Corporation Recording apparatus
US8946033B2 (en) * 2012-07-30 2015-02-03 International Business Machines Corporation Merged fin finFET with (100) sidewall surfaces and method of making same
US8946792B2 (en) * 2012-11-26 2015-02-03 International Business Machines Corporation Dummy fin formation by gas cluster ion beam
US8999779B2 (en) * 2013-09-06 2015-04-07 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US20150155307A1 (en) * 2013-12-02 2015-06-04 International Business Machines Corporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US20150155306A1 (en) * 2013-12-02 2015-06-04 International Business Machines Corpporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US20150179789A1 (en) * 2013-09-10 2015-06-25 International Business Machines Corporation FinFET DEVICE HAVING A MERGE SOURCE DRAIN REGION UNDER CONTACT AREAS AND UNMERGED FINS BETWEEN CONTACT AREAS, AND A METHOD OF MANUFACTURING SAME

Patent Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US20050077553A1 (en) 2003-10-14 2005-04-14 Kim Sung-Min Methods of forming multi fin FETs using sacrificial fins and devices so formed
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20070111404A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US20080206933A1 (en) 2007-02-23 2008-08-28 Brownson Rickey S Semiconductor fin integration using a sacrificial fin
US8851659B2 (en) 2008-12-10 2014-10-07 Seiko Epson Corporation Recording apparatus
US20100171805A1 (en) * 2009-01-07 2010-07-08 Modu Ltd. Digital photo frame with dial-a-tag functionality
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8656599B2 (en) 2009-09-01 2014-02-25 Marietta Anderegg Cutting tool
US20130020642A1 (en) 2009-11-03 2013-01-24 International Business Machines Corporation Finfet spacer formation by oriented implantation
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US20130001705A1 (en) 2010-02-26 2013-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy Profile Engineering for FinFETs
US20120025316A1 (en) 2010-08-02 2012-02-02 Advanced Micro Devices, Inc. Process for Forming FINS for a FinFET Device
US20120043610A1 (en) 2010-08-17 2012-02-23 International Business Machines Corporation Controlled Fin-Merging for Fin Type FET Devices
US8564064B2 (en) * 2010-08-17 2013-10-22 International Business Machines Corporation Controlled fin-merging for fin type FET devices
US20120126375A1 (en) 2010-11-19 2012-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metrology structures from fins in integrated circuitry
US20120276695A1 (en) 2011-04-29 2012-11-01 International Business Machines Corporation Strained thin body CMOS with Si:C and SiGe stressor
US20120314485A1 (en) 2011-06-12 2012-12-13 International Business Machines Corporation Complementary soi lateral bipolar for sram in a low-voltage cmos platform
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US20130187228A1 (en) 2012-01-19 2013-07-25 Globalfoundries Inc. FinFET Semiconductor Devices with Improved Source/Drain Resistance and Methods of Making Same
US8586455B1 (en) 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8569152B1 (en) 2012-06-04 2013-10-29 International Business Machines Corporation Cut-very-last dual-epi flow
US8946033B2 (en) * 2012-07-30 2015-02-03 International Business Machines Corporation Merged fin finFET with (100) sidewall surfaces and method of making same
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140106528A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US20140103451A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US20140120678A1 (en) 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US8946792B2 (en) * 2012-11-26 2015-02-03 International Business Machines Corporation Dummy fin formation by gas cluster ion beam
US20140183605A1 (en) 2012-12-28 2014-07-03 International Business Machines Corporation Semiconductor device and method of manufacturing the semiconductor device
US8617996B1 (en) * 2013-01-10 2013-12-31 Globalfoundries Inc. Fin removal method
US20140203370A1 (en) 2013-01-24 2014-07-24 Shigenobu Maeda Semiconductor Device and Fabricating Method Thereof
US8716156B1 (en) 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US20140217517A1 (en) 2013-02-05 2014-08-07 International Business Machines Corporation Integrated circuits including finfet devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US20140239395A1 (en) 2013-02-25 2014-08-28 International Business Machines Corporation Contact resistance reduction in finfets
US8796093B1 (en) * 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US20140284760A1 (en) 2013-03-20 2014-09-25 International Business Machines Corporation Integrated passive devices for finfet technologies
US20140284719A1 (en) 2013-03-21 2014-09-25 International Business Machines Corporation Method and structure for finfet cmos
US20140291766A1 (en) 2013-03-30 2014-10-02 Walid M. Hafez Planar device on fin-based transistor architecture
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US20150228671A1 (en) * 2013-08-07 2015-08-13 International Business Machines Corporation METHOD OF MANUFACTURING A FinFET DEVICE USING A SACRIFICIAL EPITAXY REGION FOR IMPROVED FIN MERGE AND FinFET DEVICE FORMED BY SAME
US8999779B2 (en) * 2013-09-06 2015-04-07 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US20150179789A1 (en) * 2013-09-10 2015-06-25 International Business Machines Corporation FinFET DEVICE HAVING A MERGE SOURCE DRAIN REGION UNDER CONTACT AREAS AND UNMERGED FINS BETWEEN CONTACT AREAS, AND A METHOD OF MANUFACTURING SAME
US20150155307A1 (en) * 2013-12-02 2015-06-04 International Business Machines Corporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US20150155306A1 (en) * 2013-12-02 2015-06-04 International Business Machines Corpporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10943900B2 (en) 2018-07-27 2021-03-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20150041908A1 (en) 2015-02-12
US20150228671A1 (en) 2015-08-13
US9054218B2 (en) 2015-06-09

Similar Documents

Publication Publication Date Title
US9362310B2 (en) Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US10002794B2 (en) Multiple gate length vertical field-effect-transistors
US9230989B2 (en) Hybrid CMOS nanowire mesh device and FINFET device
US9595614B2 (en) Semiconductor structures and methods with high mobility and high energy bandgap materials
US8709888B2 (en) Hybrid CMOS nanowire mesh device and PDSOI device
US9024355B2 (en) Embedded planar source/drain stressors for a finFET including a plurality of fins
US8896063B2 (en) FinFET devices containing merged epitaxial Fin-containing contact regions
US11430878B2 (en) Method for fabricating semiconductor device
US8563376B2 (en) Hybrid CMOS nanowire mesh device and bulk CMOS device
US20180047835A1 (en) Self-aligned inner-spacer replacement process using implantation
CN103824777A (en) Source and drain doping using doped raised source and drain regions
US9379135B2 (en) FinFET semiconductor device having increased gate height control
US10978356B2 (en) Tri-layer STI liner for nanosheet leakage control
US9595597B1 (en) Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
US20230377979A1 (en) Embedded stressors in epitaxy source/drain regions
US20190229183A1 (en) Self-aligned single diffusion break isolation with reduction of strain loss
US8802535B2 (en) Doped core trigate FET structure and method
US11610890B2 (en) Epitaxy regions extending below STI regions and profiles thereof
US11854904B2 (en) Different source/drain profiles for n-type FinFETs and p-type FinFETs
CN111627815B (en) Forming method of non-planar field effect transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADAM, THOMAS N.;CHENG, KANGGUO;DORIS, BRUCE B.;AND OTHERS;REEL/FRAME:035480/0547

Effective date: 20130806

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:037941/0684

Effective date: 20160307

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES U.S. 2 LLC;REEL/FRAME:038224/0720

Effective date: 20160407

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8