US9588845B2 - Processor that recovers from excessive approximate computing error - Google Patents

Processor that recovers from excessive approximate computing error Download PDF

Info

Publication number
US9588845B2
US9588845B2 US14/522,520 US201414522520A US9588845B2 US 9588845 B2 US9588845 B2 US 9588845B2 US 201414522520 A US201414522520 A US 201414522520A US 9588845 B2 US9588845 B2 US 9588845B2
Authority
US
United States
Prior art keywords
processor
computations
error
approximation
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US14/522,520
Other versions
US20150227429A1 (en
Inventor
G. Glenn Henry
Terry Parks
Rodney E. Hooker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Zhaoxin Semiconductor Co Ltd
Original Assignee
VIA Alliance Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to VIA TECHNOLOGIES, INC. reassignment VIA TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HENRY, G. GLENN, HOOKER, RODNEY E., PARKS, TERRY
Priority to US14/522,520 priority Critical patent/US9588845B2/en
Application filed by VIA Alliance Semiconductor Co Ltd filed Critical VIA Alliance Semiconductor Co Ltd
Priority to CN201480028808.9A priority patent/CN105793825B/en
Priority to JP2016514497A priority patent/JP6133498B2/en
Priority to KR1020157032811A priority patent/KR101720452B1/en
Priority to PCT/IB2014/003167 priority patent/WO2015118376A1/en
Priority to EP15154560.5A priority patent/EP2908242B1/en
Publication of US20150227429A1 publication Critical patent/US20150227429A1/en
Assigned to VIA ALLIANCE SEMICONDUCTOR CO., LTD reassignment VIA ALLIANCE SEMICONDUCTOR CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VIA TECHNOLOGIES, INC.
Priority to TW104134493A priority patent/TWI543077B/en
Publication of US9588845B2 publication Critical patent/US9588845B2/en
Application granted granted Critical
Priority to JP2017082650A priority patent/JP6586435B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/14Error detection or correction of the data by redundancy in operation
    • G06F11/1402Saving, restoring, recovering or retrying
    • G06F11/1446Point-in-time backing up or restoration of persistent data
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0751Error or fault detection not based on redundancy
    • G06F11/0754Error or fault detection not based on redundancy by exceeding limits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0793Remedial or corrective actions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/14Error detection or correction of the data by redundancy in operation
    • G06F11/1402Saving, restoring, recovering or retrying
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/14Error detection or correction of the data by redundancy in operation
    • G06F11/1402Saving, restoring, recovering or retrying
    • G06F11/1415Saving, restoring, recovering or retrying at system level
    • G06F11/1435Saving, restoring, recovering or retrying at system level using file system or storage system metadata
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30181Instruction operation extension or modification
    • G06F9/30185Instruction operation extension or modification according to one or more bits in the instruction, e.g. prefix, sub-opcode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/84Using snapshots, i.e. a logical point-in-time copy of the data

Definitions

  • the present invention provides a processor.
  • the processor includes a storage configured to receive a snapshot of a state of the processor prior to performing a set of computations in an approximating manner.
  • the processor also includes an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner.
  • the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage.
  • the present invention provides a method for operation on a processor.
  • the method includes taking a snapshot of a state of the processor prior to performing a set of computations in an approximating manner, determining an indicator of an amount of error accumulated while the set of computations is performed in the approximating manner, and restoring the state of the processor to the snapshot when the processor detects that the amount of error accumulated has exceeded an error bound.
  • the present invention provides a computer program product encoded in at least one non-transitory computer usable medium for use with a computing device, the computer program product comprising computer usable program code embodied in said medium for specifying a processor.
  • the computer usable program code includes first program code for specifying a storage configured to receive a snapshot of a state of the processor prior to performing a set of computations in an approximating manner.
  • the computer usable program code also includes second program code for specifying an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner.
  • the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage.
  • FIG. 1 is a block diagram illustrating an embodiment of a processor.
  • FIG. 2 is block diagrams illustrating three embodiments of the approximating functional units of FIG. 1 .
  • FIG. 3 is a block diagram illustrating approximation instructions.
  • FIGS. 4A and 4B are a flowchart illustrating operation of the processor of FIG. 1 according to one embodiment.
  • FIG. 5 is a flowchart illustrating operation of the processor of FIG. 1 within a computer system.
  • FIG. 6 is a block diagram illustrating three different computing systems.
  • FIG. 7 is a flowchart illustrating operation of the systems of FIG. 6 .
  • FIG. 8 is a flowchart illustrating a process for the development of software to run on an approximate computing-aware processor.
  • FIG. 9 is a flowchart illustrating an alternate process for the development of software to run on an approximate computing-aware processor.
  • FIG. 10 is a flowchart illustrating operation of the processor of FIG. 1 to run a program that performs approximate computations.
  • FIG. 11 is a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to one embodiment.
  • FIG. 12 is a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to an alternate embodiment.
  • Embodiments are described in which a processor performs approximate computations. Approximate computations occur when a computation is performed with a degree of accuracy that is less than the full accuracy that may be specified by the instruction set architecture of the processor.
  • the processor 100 comprises a programmable data processor that performs stored instructions, such as a central processing unit (CPU) or a graphics processing unit (GPU).
  • the processor 100 includes an instruction cache 102 ; an instruction translator 104 coupled to the instruction cache 102 ; one or more approximating functional units 106 coupled to receive microinstructions from the instruction translator 104 ; architectural registers 108 coupled to provide instruction operands 166 to the approximating functional units 106 ; an approximation control register 132 coupled to the approximating functional units 106 ; a data cache memory 138 coupled to the approximating functional units 106 ; and a snapshot storage 134 coupled to the approximating functional units 106 .
  • the processor 100 may also include other units, for example, a renaming unit, instruction scheduler and/or reservation stations may be employed between the instruction translator 104 and the approximating functional units 106 and a reorder buffer may be employed to accommodate out-of-order instruction execution.
  • a renaming unit for example, instruction scheduler and/or reservation stations may be employed between the instruction translator 104 and the approximating functional units 106 and a reorder buffer may be employed to accommodate out-of-order instruction execution.
  • the instruction cache 102 caches architectural instructions 174 fetched from memory and performed by the processor 100 .
  • the architectural instructions 174 may include approximate computing instructions, such as embodiments of approximate computing instructions 399 described with respect to FIG. 3 .
  • the approximate computing instructions 399 control the approximate computing policies of the processor 100 , namely, whether the approximating functional units 106 perform computations with a full degree of accuracy or with less than a full degree of accuracy and the degree less than the full degree.
  • the approximate computing instructions 399 also control the clearing of an error amount associated with each of the general purpose registers of the processor 100 , as described herein.
  • the processor 100 includes other functional units that are not approximating.
  • the architectural instructions 174 substantially conform to the x86 instruction set architecture (ISA) modified to include embodiments of the approximate computing instructions 399 described herein.
  • ISA x86 instruction set architecture
  • Other embodiments are contemplated in which the ISA of the processor 100 is other than the x86 ISA.
  • the instruction translator 104 receives the architectural instructions 174 from the instruction cache 102 .
  • the instruction translator 104 includes an instruction decoder that decodes the architectural instructions 174 and translates them into microinstructions.
  • the microinstructions are defined by a different instruction set than the architectural instruction set, namely the microarchitectural instruction set.
  • the microinstructions implement the architectural instructions 174 .
  • the instruction translator 104 also includes microcode 136 that comprises microcode instructions, preferably stored in a read-only memory of the processor 100 .
  • the microcode instructions are microinstructions.
  • the microcode instructions are translated into microinstructions by a micro-translator.
  • the microcode 136 implements a subset of the architectural instructions 174 of the processor 100 ISA that are not directly translated into microinstructions by a programmable logic array of the instruction translator 104 .
  • the microcode 136 handles microarchitectural exceptions, such as are generated when the cumulative error bound generated by approximate computations exceeds an error bound, according to one embodiment.
  • the architectural registers 108 provide instruction (e.g., microinstruction) operands 166 to the approximating functional units 106 and receive the results generated by the approximating functional units 106 , preferably via a reorder buffer (not shown).
  • instruction e.g., microinstruction
  • Associated with each of the architectural registers 108 is error storage 109 that holds an indication of an amount of error in the result stored in the associated register 108 .
  • the approximating functional unit 106 also generates an indication of the amount error 168 associated with the result 164 that has accumulated due to approximating computations.
  • the error 168 is written to the error storage 109 associated with the destination register 108 .
  • the associated error storage 109 provides to the approximating functional unit 106 the error 162 associated with the operand. This enables the approximating functional unit 106 to accumulate both the error of the input operands 166 of the computation and the error introduced by the approximating functional unit 106 when performing the approximate computation.
  • the snapshot storage 134 holds a snapshot of the state of the processor 100 . Before the processor 100 begins to perform approximate computations, it writes its state to the snapshot storage 134 so that if the accumulated error of a result of an approximate computation exceeds an error bound, the processor 100 may restore its state from the snapshot 134 and re-perform the computations without approximation, as described in more detail below according to one embodiment.
  • the snapshot storage 134 comprises a private memory of the processor 100 .
  • the snapshot 134 includes the address of the first instruction in a set of instructions that perform approximate computations.
  • the microcode 136 causes re-execution of the set of instructions but without approximation
  • the microcode 136 causes a branch to the address of the first instruction held in the snapshot 134 .
  • the data cache 138 caches data from system memory locations.
  • the data cache 138 is a hierarchy of cache memories that includes a first-level data cache and a second level cache that backs the instruction cache 102 and the first-level cache.
  • the program that employs the approximate computations must insure that its data does not overflow the data cache 138 if it is to enjoy the recovery after exceeding the error bound feature provided by the processor 100 .
  • the approximation control register 132 holds information that specifies the approximation policy 176 for the processor 100 that is provided to the approximating functional units 106 .
  • the approximation control register 132 includes an approximation flag, an approximation amount, and an error bound (or error threshold).
  • the approximation flag indicates whether computations performed by the approximating functional units 106 should be full accuracy computations or approximate computations, i.e., in full accuracy mode or approximate computation mode (or approximating mode).
  • the approximation amount tells the approximating functional units 106 the degree of accuracy less than the full degree they may employ to perform their approximate calculations.
  • the error bound specifies the amount of accumulated error 168 that may be tolerated in a result 164 of an approximate computation, and beyond which the processor 100 signals that the error bound has been exceeded, preferably so that the computations may be performed again without approximation.
  • the approximating functional units 106 perform computations according to the approximation policy stored in the approximation control register 132 .
  • each instruction specifies the approximation policy to the approximating functional units 106 , such as in a prefix.
  • the approximation control register 132 is writable by an instruction of the instruction set architecture of the processor 100 .
  • the approximating functional units 106 are capable of selectively performing normal computations (i.e., with the full degree of accuracy specified by the instruction set architecture) or approximate computations (i.e., with less than the full degree of accuracy specified by the instruction set architecture).
  • Each of the approximating functional units 106 is hardware or a combination of hardware and microcode within the processor 100 that performs a function associated with the processing of an instruction. More specifically, the hardware or combination of hardware and microcode performs a computation to generate a result.
  • Examples of functional units include, but are not limited to, execution units, such as an integer unit, a single issue multiple data (SIMD) unit, a multimedia unit, and a floating point unit, such as a floating point multiplier, floating point divider and floating point adder.
  • the approximating functional units 106 consume less power when performing approximate computations than when performing normal computations. Embodiments of the approximating functional units 106 are described in more detail with respect to FIG. 2 .
  • FIG. 2 block diagrams illustrating three embodiments of the approximating functional units 106 of FIG. 1 are shown.
  • the three embodiments are an approximating floating point multiplier 106 A, an approximating transcendental function computation unit 106 B, and an approximating divider 106 C.
  • the approximating floating point multiplier 106 A receives input operands 166 from the registers 108 and generates the result 164 of FIG. 1 .
  • the approximating floating point multiplier 106 A includes gates 202 that perform multiplication on the most significant bits of the input operands 166 and gates 204 that perform multiplication on the least significant bits of the input operands 166 .
  • the approximating floating point multiplier 106 A also includes power control logic 206 that controls the selective provision of power to the least significant bit multiplication gates 204 based on the approximation policy 176 .
  • the power control 206 causes power to be provided to the transistors of the least significant bit multiplication gates 204 ; whereas, if the approximation mode is less than the full accuracy, the power control 206 causes power not to be provided to the transistors of the least significant bit multiplication gates 204 .
  • the least significant bit multiplication gates 204 are grouped such that the power control 206 powers off the gates associated with the multiplication of lesser or fewer of the least significant bits based on the approximation amount indicated in the approximation policy 176 .
  • the approximating floating point multiplier 106 A is configured such that intermediate results of the least significant bit multiplication gates 204 are provided to the most significant bit multiplication gates 202 (e.g., carries), and when the least significant bit multiplication gates 204 are powered-off in approximate computation mode, default values (e.g., zeroes) are provided as the intermediate results to the most significant bit multiplication gates 202 .
  • the most significant bit multiplication gates 202 e.g., carries
  • default values e.g., zeroes
  • the approximating multiplier 106 A is capable of multiplying N bits of each of two factors 166 , where N bits is the full accuracy specified by the instruction set architecture. However, the approximating multiplier 106 A is also capable of multiplying fewer than the N bits of each of the two factors 166 to generate a less accurate result 164 than the full accuracy. Preferably, the multiplier excludes M of the least significant bits of the factors 166 when performing the multiplication, where M is less than N.
  • the transistors of the gates 204 of the approximating multiplier that would normally be used in the multiplication of the lower N bits of the 53 bits of the factors 166 are turned off such that the lower M bits of the factors 166 are not included in the approximate multiply, where the number of bits M is specified in the approximation policy, e.g., in the approximation control register 132 .
  • the approximating multiplier 106 A potentially uses less power in the approximating mode than in the full accuracy mode because it may turn off transistors that would normally be used to multiply the excluded bits.
  • the number of excluded bits M is quantized such that only a limited number of values of M may be specified by the approximation policy in order to reduce the complexity of the power gating logic 206 .
  • the approximating transcendental function unit 106 B receives input operands 166 from the registers 108 and generates the result 164 of FIG. 1 .
  • the approximating transcendental function computation unit 106 B includes transcendental computation logic 214 that performs transcendental functions on the input operands 166 to generate the result 164 based on a polynomial.
  • the polynomial is selected from a mux 216 that selects either a high order polynomial 212 A or a low order polynomial 212 B based on a select control input from the computation policy 176 , such as the approximation mode.
  • the mux 216 selects the high order polynomial 212 A when the approximating mode is full accuracy and selects the low order polynomial 212 B when the approximating mode is less than the full accuracy.
  • the approximating transcendental function computation unit 106 B uses a polynomial of order N to perform transcendental functions with full accuracy and uses a polynomial of order M, where M is less than N, to perform transcendental functions with less than the full accuracy, and where M is specified by the approximation policy.
  • the approximating transcendental function computation unit 106 B may consume less power and perform better than when operating in full accuracy mode. This is because employing a lower order polynomial requires fewer multiplies and adds than a higher order polynomial.
  • the approximating divider 106 C receives input operands 166 from the registers 108 and generates the result 164 of FIG. 1 .
  • the approximating divider 106 C includes dividing logic 222 and iteration control logic 224 .
  • the dividing logic 222 performs a division computation on the input operands 166 to generate an intermediate result 164 and an indication 226 of the accuracy of the intermediate result 164 during a first iteration.
  • the intermediate result 164 is fed back as an input to the dividing logic 222 , and the accuracy indication 226 is provided to iteration control logic 224 .
  • the dividing logic 222 performs a division computation on the input operands 166 and intermediate result 164 of the previous iteration to generate another intermediate result 164 and an indication 226 of the accuracy of the intermediate result 164 during the present iteration, and the intermediate result 164 is fed back as an input to the dividing logic 222 , and the accuracy indication 226 is provided to iteration control logic 224 .
  • the iteration control 224 monitors the accuracy 226 and stops the iterating once the accuracy 226 has reached an acceptable level indicated in the approximation policy 176 .
  • a reduction in power consumption may be accomplished by the approximating divider 106 C.
  • each of the approximating functional units 106 includes a lookup table that outputs the amount of error 168 associated with the result 164 generated by the approximating functional unit 106 based on the input error 162 and approximating amount of the approximation policy.
  • the amount of error 168 output by the lookup table is itself an approximation that specifies a maximum amount of error associated with the result 164 .
  • the approximating functional units 106 include an instruction decoder that decodes microinstructions generated by the instruction translator 104 when translating the approximating instructions 399 in order to determine all or a portion of the approximation policy rather than, or in addition to, the approximation policy provided by the approximation control register 132 .
  • the instruction decoder decodes the approximating instructions 399 themselves, e.g., in an embodiment in which the instruction translator 104 simply decodes instructions 174 for the purpose of routing to the appropriate approximating functional unit 106 , and the approximating functional unit 106 decodes the instructions 174 to determine the approximation policy.
  • the approximation instructions include a computation instruction with an approximation prefix 300 , an approximate computation instruction 310 , a computation instruction with a start approximation prefix 320 , a start approximation instruction 330 , a computation instruction with a stop approximation prefix 340 , a stop approximation instruction 350 , a computation instruction with a clear error prefix 360 , a clear error instruction 370 , and a load register instruction 380 .
  • the computation instruction with an approximation prefix 300 includes an opcode and other fields 304 such as generally found in the instruction set of the processor 100 .
  • the opcode 304 may specify any of various computations that may be performed by the approximating functional units 106 , such as addition, subtraction, multiplication, division, fused multiply add, square root, reciprocal, reciprocal square root, and transcendental functions, for example, that are susceptible to generating a result that has less accuracy than the full accuracy with which the approximating functional units 106 are capable of performing the computation, i.e., according to the full accuracy mode.
  • the computation instruction with an approximation prefix 300 also includes an approximation prefix 302 .
  • the approximation prefix 302 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 304 instructs the processor 100 to perform the specified computation in an approximating manner.
  • the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA.
  • a portion of the approximation prefix 302 specifies the approximation policy, or at least a portion thereof, such as the approximation amount and/or error bound, to be employed in the computation specified by the opcode and other fields 304 .
  • the approximation prefix 302 simply indicates that the computation specified by the opcode and other fields 304 should be performed approximately, and the approximation policy is taken from the overall approximation policy previously communicated by the to the processor 100 , which may be stored, for example, in a register, such as the approximation control register 132 .
  • the approximation policy for the instruction 300 is derived from a combination of the prefix 302 and the overall approximation policy.
  • the approximate computation instruction 310 includes an approximate computation opcode and other fields 312 .
  • the approximate computation opcode value is distinct from other opcode values in the instruction set of the processor 100 . That is, the approximate computation opcode value is distinct from other opcode values that normally (e.g., in the absence of a prefix, such as approximation prefix 302 ) instruct the processor 100 to perform a computation with full accuracy.
  • the instruction set includes multiple approximate computation instructions 310 , one for each type of computation, e.g., one for addition with its own distinct opcode value, one for subtraction with its own distinct opcode value, and so forth.
  • the computation instruction with start approximation prefix 320 includes an opcode and other fields 314 such as generally found in the instruction set of the processor 100 .
  • the opcode 314 may specify any of various computations, or it may be a non-computation instruction.
  • the computation instruction with start approximation prefix 320 also includes a start approximation prefix 322 .
  • the start approximation prefix 322 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 324 instructs the processor 100 to perform subsequent computations (including the computation specified in the instruction 320 , if present) in an approximating manner until instructed to stop performing computations in an approximating manner (e.g., by instructions 340 and 350 described below).
  • the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA, and is distinct from the other prefixes described herein (e.g., approximation prefix 302 , stop approximation prefix 342 and clear error prefix 362 ).
  • Embodiments of the start approximation prefix 322 are similar to the approximation prefix 302 in that a portion of the start approximation prefix 322 may specify the approximation policy, or simply indicate that subsequent computations should be performed approximately using the overall approximation policy, or a combination thereof.
  • the start approximation instruction 330 includes a start approximation opcode 332 .
  • the start approximation instruction 330 instructs the processor 100 to perform subsequent computations in an approximating manner until instructed to stop performing computations in an approximating manner.
  • Embodiments of the start approximation opcode 332 are similar to the approximation prefix 302 regarding specification of the approximation policy.
  • the start approximation opcode 332 value is distinct from other opcode values in the instruction set of the processor 100 .
  • the computation instruction with stop approximation prefix 340 includes an opcode and other fields 344 such as generally found in the instruction set of the processor 100 .
  • the opcode 344 may specify any of various computations, or it may be a non-computation instruction.
  • the computation instruction with stop approximation prefix 340 also includes a stop approximation prefix 342 .
  • the stop approximation prefix 342 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 344 instructs the processor 100 to stop performing computations (including the computation specified in the instruction 340 , if present) in an approximating manner (until instructed to perform computations in an approximating manner, e.g., by instructions 300 , 310 , 320 or 330 ).
  • the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA, and is distinct from the other prefixes described herein.
  • the stop approximation instruction 350 includes a stop approximation opcode 352 .
  • the stop approximation instruction 350 instructs the processor 100 to stop performing computations in an approximating manner (until instructed to perform computations in an approximating manner).
  • the stop approximation opcode 352 value is distinct from other opcode values in the instruction set of the processor 100 .
  • the generation of an exception by the processor 100 also instructs the processor 100 to stop performing computations in an approximate manner, i.e., causes the approximation mode to be set to full accuracy.
  • the computation instruction with clear error prefix 360 includes an opcode and other fields 364 such as generally found in the instruction set of the processor 100 .
  • the opcode 364 may specify any of various computations.
  • the computation instruction with clear error prefix 360 also includes a register field 366 that specifies a destination register to which the processor 100 writes the result of the computation.
  • the computation instruction with clear error prefix 360 also includes a clear error prefix 362 .
  • the clear error prefix 362 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 364 instructs the processor 100 to clear the error 109 associated with the register 108 specified by the register field 366 .
  • the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA, and is distinct from the other prefixes described herein.
  • the clear error instruction 370 includes a clear error opcode 372 and a register field 376 .
  • the clear error instruction 370 instructs the processor 100 to clear the error 109 associated with the register 108 specified by the register field 376 .
  • the clear error opcode 372 value is distinct from other opcode values in the instruction set of the processor 100 .
  • the load register and clear error instruction 380 includes a load register opcode 382 , memory address operand fields 384 and a register field 386 .
  • the opcode 382 instructs the processor 100 to load data from a memory location specified by the memory address operands 384 into the destination register specified in the register field 386 .
  • the opcode 382 also instructs the processor 100 to clear the error 109 associated with the register 108 specified by the register field 386 .
  • the clear error instruction 370 clears the error 109 for all registers 108 , rather than a single register 108 .
  • the register field 376 value may a predetermined value to indicate to clear all registers 108 .
  • a similar embodiment is contemplated with respect to the computation instruction with a clear error prefix 360 and the load register and clear error instruction 380 .
  • the instruction translator 104 maintains a flag that indicates whether the processor 100 is in approximate computation mode or full accuracy mode. For example, the instruction translator 104 may set the flag in response to encountering a start approximation instruction 330 or a computation instruction with start approximation prefix 320 and may clear the flag in response to encountering a stop approximation instruction 350 or a computation instruction with stop approximation prefix 340 .
  • Each microinstruction includes an indicator that indicates whether the computation specified by the microinstruction should be performed with full accuracy or in an approximate manner.
  • the instruction translator 104 When the instruction translator 104 translates an architectural instruction 166 into one or more microinstructions, the instruction translator 104 populates the indicator accordingly based on the current value of the mode flag.
  • the instruction translator 104 populates the indicator of the microinstruction according to the prefix 302 or opcode 312 , respectively.
  • the indicator of the microinstruction comprises a microinstruction opcode (distinct within the microarchitectural instruction set) that specifies an approximate computation.
  • FIG. 4 a flowchart illustrating operation of the processor 100 of FIG. 1 according to one embodiment is shown. Flow begins at block 402 .
  • the processor 100 decodes an architectural instruction 166 . Flow proceeds to decision block 404 .
  • the processor 100 determines whether the instruction 166 is a start approximation instruction, e.g., 320 or 330 of FIG. 3 . If so, flow proceeds to block 406 ; otherwise, flow proceeds to decision block 414 .
  • the processor 100 performs subsequent computations according to the approximation policy (e.g., specified in the start approximation instruction, the approximation policy specified in the approximation control register 132 , or a combination thereof) until it encounters a stop approximation instruction, e.g., 340 or 350 of FIG. 3 .
  • the approximation policy e.g., specified in the start approximation instruction, the approximation policy specified in the approximation control register 132 , or a combination thereof.
  • the processor 100 determines whether the instruction 166 is a stop approximation instruction, e.g., 340 or 350 of FIG. 3 . If so, flow proceeds to block 416 ; otherwise, flow proceeds to decision block 424 .
  • a stop approximation instruction e.g., 340 or 350 of FIG. 3 .
  • the processor 100 stops performing computations in an approximate manner and instead performs them with full accuracy (until it encounters a start approximation instruction, e.g., 320 or 330 or approximate computation instruction 300 or 310 of FIG. 3 ). Flow ends at block 416 .
  • a start approximation instruction e.g., 320 or 330 or approximate computation instruction 300 or 310 of FIG. 3 .
  • the processor 100 determines whether the instruction 166 is a clear error instruction, e.g., 360 or 370 or 380 of FIG. 3 . If so, flow proceeds to block 426 ; otherwise, flow proceeds to decision block 434 .
  • the processor 100 clears the error 109 associated with the register 108 specified in the register field 366 / 376 / 386 . Flow ends at block 426 .
  • the processor 100 determines whether the instruction 166 is a computational instruction 166 . If so, flow proceeds to block 452 ; otherwise, flow proceeds to block 446 .
  • the processor 100 performs the other instruction 166 , i.e., the instruction of the instruction set architecture other than the computational instructions 399 . Flow ends at block 446 .
  • the relevant approximating functional unit 106 receives the computational instruction 166 and decodes it. Flow proceeds to decision block 454 .
  • the approximating functional unit 106 determines whether the approximation policy is approximating or full accuracy. If approximating, flow proceeds to block 456 ; if full accuracy, flow proceeds to block 458 .
  • the approximating functional unit 106 performs the computation in an approximating manner, e.g., as described herein, such as above with respect to FIG. 2 .
  • the approximating functional unit 106 performs the computation in a non-approximating manner, i.e., with full accuracy. Flow ends at block 458 .
  • FIG. 5 a flowchart illustrating operation of the processor 100 of FIG. 1 within a computer system is shown. Flow begins at block 502 .
  • a program e.g., operating system or other program executing on the processor 100 determines an approximation policy to be used by the processor 100 to perform computations.
  • the approximation policy specifies the tolerable error bound and the approximation amount in the computations themselves, i.e., the amount of approximation each approximating functional unit 106 should employ in each approximated calculation.
  • the program determines the approximation policy based, at least in part, on the current system configuration. For example, the program may detect whether the computer system is operating from battery power or from an effectively limitless source, such as A/C wall power. Additionally, the program may detect the hardware configuration of the computer system, such as the display size and speaker quality. The program may consider such factors in determining the desirability and/or acceptability of performing certain computations approximately rather than with full accuracy, such as audio/video-related computations.
  • Flow proceeds to block 504 .
  • the program provides the approximation policy to the processor 100 .
  • the program writes the approximation policy to the approximation control register 132 .
  • the program executes an x86 WRMSR instruction to provide the processor 100 with the new approximation policy. Flow ends at block 504 .
  • the program detects the configuration change and changes the approximation policy at block 502 and communicates the new approximation policy to the processor 100 at block 504 .
  • FIG. 6 a block diagram illustrating three different computing systems is shown.
  • Each of the systems includes an approximation computation-capable processor 100 of FIG. 1 , a display 606 , and a buffer containing data 604 upon which the processor 100 performs computations to render pixels to be shown on the display 606 using, for example, the approximate computation instructions 399 of FIG. 3 .
  • the first system is a desktop computer 602 A that includes a large display 606 A (e.g., 24-inch or larger) and receives power from an essentially limitless power source, e.g., a wall outlet.
  • the second system is a laptop computer 602 B that includes a medium size display 606 B (e.g., 15-inch) and receives power either from a wall outlet or from a battery, depending upon the choice of the user.
  • the third system is a hand-held computer, such as a smartphone or table computer 602 C that includes a relatively small display (e.g., 4.8-inch) 606 C and receives its power primarily from a battery.
  • systems 602 are intended to be representative of systems that may include the approximation computation-capable processor 100 and are provided with different characteristics for comparison to illustrate the varying uses of the approximate computing embodiments described herein; however, other embodiments are contemplated, and the use of the approximation computation-capable processor 100 is not limited to the embodiments shown.
  • the first system 602 A tends to be intolerant of approximation and demand high accuracy because visual distortion caused by approximation of the pixel rendering would likely be readily apparent on the large display 602 A, and the power source likely renders the need for power savings due to approximate computations less necessary.
  • the second system 602 B tends to demand a moderate amount of accuracy and tolerate a moderate amount of approximation, particularly when running on battery power, because the visual distortion caused by a moderate amount of approximation that may be apparent, although less than on a larger display with a similar resolution, may be an acceptable tradeoff for the advantage in battery life.
  • the preferred approximation policy may be similar to that of the first system 602 A.
  • the third system 602 C tends to demand the least accuracy since the visual distortion due to approximation may be non-apparent, or largely unapparent, on a small display 606 C at a nominal zoom level, and the need to save battery power is relatively great.
  • FIG. 7 a flowchart illustrating operation of the systems 602 of FIG. 6 is shown. Flow begins at block 702 .
  • a program detects the type of display 606 in the system 602 , such as when the system 602 is powered-on or reset.
  • the program may detect a change in the display 606 , e.g., when an external monitor is plugged into or unplugged from a laptop 602 B.
  • the program may detect a change in the power source, such as plugging into or unplugging from a wall outlet. Flow proceeds to block 502 .
  • the program determines the approximation policy based on the system configuration, as described above with respect to FIG. 5 .
  • Flow proceeds to block 504 .
  • the program provides the processor 100 with the approximation policy, as described above with respect to FIG. 5 .
  • Flow proceeds to block 708 .
  • the processor 100 performs calculations based on the received approximation policy as described herein, e.g., with respect to FIGS. 4 and 10 through 12 . Flow ends at block 708 .
  • the software running on the processor 100 includes different routines of code (that include computation instructions 399 ) associated with different approximation policies (e.g., for each of the different approximation policies associated with the different system configurations of FIG. 6 ), and the software branches to the appropriate routine based on the current system configuration.
  • FIG. 8 a flowchart illustrating a process for the development of software to run on an approximate computing-aware processor 100 such as described herein is shown. Flow begins at block 802 .
  • a programmer develops a program, such as graphics software, with a conventional programming language, such as the C language, and invokes an approximation-aware compiler with an approximation directive.
  • the approximation-aware compiler knows the approximate computing capabilities of the target processor 100 , more specifically, the set of approximation instructions 399 supported by the processor 100 .
  • the approximation directive may be a command-line option or other method of communicating to the compiler that the object code generated by the compiler should include approximation instructions 399 to perform approximate computations.
  • the approximation-aware compiler is invoked with the approximation directive only to compile routines in which the computations specified by the programming language are tolerant of approximate computations; whereas, other routines that are not tolerant of approximate computations are compiled without the approximation directive; and the object files generated by the respective methods are linked together into an executable program.
  • the approximation-tolerant routines may tend to be relatively specialized routines.
  • pixel-rendering routines may include calculations on floating point data that are susceptible to approximate computations for which the approximation-aware compiler generates approximating instructions 399 ; whereas, loop control variables may be integer data, and the approximation-aware compiler does not generate approximating instructions 399 to perform calculations that update the loop control variables, for example.
  • Flow proceeds to block 804 .
  • the approximation-aware compiler compiles the program and generates machine language instructions that include approximation instructions 399 that instruct the processor 100 to perform approximate computations as object code.
  • the machine code generated by the compiler is similar to the machine code that would otherwise be generated without the use of the approximation directive, but in which some of the instructions are preceded by an approximation-related prefix, such as the approximation prefix 302 , the start approximation prefix 322 , the stop approximation prefix 342 , or the clear error prefix 362 of FIG. 3 .
  • the approximation-aware compiler generates approximate computation instructions 310 in place of normal computation instructions that it would otherwise generate in the absence of the approximation directive. In one embodiment, the approximation-aware compiler generates normal instruction sequences punctuated with start/stop approximation instructions 330 / 350 and/or start/stop approximation prefixes 322 / 342 . In one embodiment, the approximation-aware compiler generates multiple code routines each of which employs a different approximation policy, as described above, and the compiler generates code that calls the appropriate subroutine based on the current system configuration, which the program may determine itself or may obtain from the operating system. Flow ends at block 804 .
  • FIG. 9 a flowchart illustrating an alternate process for the development of software to run on an approximate computing-aware processor 100 such as described herein is shown. Flow begins at block 902 .
  • a programmer develops a program similar to the description at block 802 and invokes an approximation-aware compiler.
  • the programming language and compiler support approximation directives and/or approximation-tolerant data types.
  • the approximation directives may include compiler directives (e.g., similar to the C language #include or #define directives) that the programmer may include in the source code to mark selective program variables as approximation-tolerant data.
  • the programmer may include in the source code program variables declared as approximation-tolerant data type variables for which the compiler knows to generate instructions 399 that cause approximate computations to be performed on the variables.
  • Flow proceeds to block 904 .
  • the approximation-aware compiler compiles the program to generate object code similar to the manner described above with respect to block 804 , but in response to the approximation directives and/or approximation-tolerant data types included in the source code being compiled. Flow ends at block 904 .
  • FIG. 10 a flowchart illustrating operation of the processor 100 of FIG. 1 to run a program that performs approximate computations is shown. Flow begins at block 1002 .
  • the program provides an approximation policy to the processor 100 , similar to the manner described above.
  • the program itself provides the approximation policy (and restores the current approximation policy upon exit).
  • an alternate code path is specified that does not perform approximate computations that may be executed in the event that the error threshold is exceeded, as described below. Flow proceeds to block 1004 .
  • the processor 100 takes a snapshot of its current state by writing its state to the snapshot storage 134 of FIG. 1 .
  • the processor 100 takes the snapshot in response to encountering an instruction executed by the program.
  • the instruction comprises an x86 WRMSR instruction.
  • taking the snapshot includes writing back to memory dirty cache lines that will be touched by the set of approximate computations of the program so that clean copies of the cache lines reside in the cache 138 and then marking the cache lines as special to denote they may be the target of approximate computations. Because the cache lines are marked as special, as they are modified by the results of approximate computations, they are not written back to memory—at least not until it has been verified that the program can complete without exceeding the acceptable error bound.
  • the processor 100 determines that the error bound has been exceeded (e.g., at block 1012 ), then the special cache lines are invalidated and marked as non-special, and the pre-approximate computation state of the cache lines is then available in memory for the subsequent non-approximate set of computations (e.g., at block 1014 ).
  • the programmer must be aware that the special cache lines must not spill out of the cache 138 ; otherwise, the processor 100 treats such a condition as exceeding the error bounds.
  • the cache 138 must be local to the core executing the set of approximate computations. Flow proceeds to block 1006 .
  • the processor 100 performs an approximate computation specified by a program instruction based on the approximation policy to generate an approximate result 164 .
  • the approximating functional unit 106 also approximates the error 168 of the result 164 based on the error values 162 of the input operands and the error introduced by the approximate calculation, as described above. Flow proceeds to block 1008 .
  • the approximating functional unit 106 writes the cumulative error 168 to the error storage 109 associated with the destination register 108 that receives the approximate result 164 .
  • Flow proceeds to decision block 1012 .
  • the processor 100 determines whether the error 168 generated at block 1008 exceeds the error bound of the approximation policy. If so, flow proceeds to block 1014 ; otherwise, flow returns to block 1006 to execute another approximate computation of the program.
  • the processor 100 restores the processor 100 state to the snapshot that is stored in the snapshot storage 134 and re-runs the program without approximation, or at least a portion thereof after the taking of the snapshot at block 1004 that involved computations performed in an approximate manner that exceeded the error bound. Embodiments of the operation of block 1014 are described below with respect to FIGS. 11 and 12 . Flow ends at block 1014 .
  • FIG. 11 a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to one embodiment is shown. Flow begins at block 1102 .
  • control is transferred to the microcode 136 of the processor 100 via a micro-exception (i.e., a non-architectural exception) generated in response to detecting that the error bound was exceeded at decision block 1012 .
  • the microcode 136 restores the processor 100 state to the snapshot as described above with respect to FIG. 10 . Additionally, the microcode 136 generates an architectural exception. Flow proceeds to block 1104 .
  • the architectural exception handler transfers control to the alternate code path specified at block 1002 of FIG. 10 so that the set of approximate computations are performed with full accuracy.
  • the exception handler sets the approximation policy to disable approximation (i.e., sets the approximation policy to full accuracy) and then jumps to the same code that was previously executed when approximation was enabled and which will now be executed with approximation disabled. Flow ends at block 1104 .
  • FIG. 12 a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to an alternate embodiment is shown. Flow begins at block 1202 .
  • control is transferred to the microcode 136 of the processor 100 via a micro-exception generated in response to detecting that the error bound was exceeded, and the microcode 136 restores the processor 100 state to the snapshot.
  • Flow proceeds to block 1204 .
  • the microcode 136 sets the approximation policy (e.g., writes the approximation control register 132 ) to full accuracy.
  • the microcode 136 also clears the error values 109 associated with all the registers 108 .
  • the microcode 136 also causes re-execution of the program, e.g., from the point after the taking of the snapshot at block 1004 .
  • the microcode 136 re-runs the program from an instruction address stored in the snapshot storage 134 . Flow ends at block 1204 .
  • software can enable, for example, the function, fabrication, modeling, simulation, description and/or testing of the apparatus and methods described herein. This can be accomplished through the use of general programming languages (e.g., C, C++), hardware description languages (HDL) including Verilog HDL, VHDL, and so on, or other available programs.
  • general programming languages e.g., C, C++
  • HDL hardware description languages
  • Such software can be disposed in any known computer usable medium such as magnetic tape, semiconductor, magnetic disk, or optical disc (e.g., CD-ROM, DVD-ROM, etc.), a network, wire line, wireless or other communications medium.
  • Embodiments of the apparatus and method described herein may be included in a semiconductor intellectual property core, such as a processor core (e.g., embodied, or specified, in a HDL) and transformed to hardware in the production of integrated circuits.
  • the apparatus and methods described herein may be embodied as a combination of hardware and software.
  • the present invention should not be limited by any of the exemplary embodiments described herein, but should be defined only in accordance with the following claims and their equivalents.
  • the present invention may be implemented within a processor device that may be used in a general-purpose computer.
  • a processor device that may be used in a general-purpose computer.

Abstract

A processor includes a storage configured to receive a snapshot of a state of the processor prior to performing a set of computations in an approximating manner. The processor also includes an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner. When the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage.

Description

CROSS REFERENCE TO RELATED APPLICATION(S)
This application claims priority based on U.S. Provisional Application, Ser. No. 61/937,741, filed Feb. 10, 2014, entitled PROCESSOR THAT PERFORMS APPROXIMATE COMPUTING INSTRUCTIONS; PROCESSOR THAT RECOVERS FROM EXCESSIVE APPROXIMATE COMPUTING ERROR; PROCESSOR WITH APPROXIMATE COMPUTING FUNCTIONAL UNIT, which is hereby incorporated by reference in its entirety.
This application is related to the following U.S. Non-Provisional Applications filed concurrently herewith and each of which is hereby incorporated by reference in its entirety: Ser. Nos. 14/522,512, 14/522,520, 14/522,530.
BACKGROUND
There has been a considerable amount of theoretical work in the area of approximate computing. Approximate computing attempts to perform computations in a manner that reduces power consumption in exchange for potentially reduced accuracy. Although approximate computing has been a favorite topic of academia, little has been produced regarding how to use approximate computing in a commercially viable processor.
BRIEF SUMMARY
In one aspect the present invention provides a processor. The processor includes a storage configured to receive a snapshot of a state of the processor prior to performing a set of computations in an approximating manner. The processor also includes an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner. When the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage.
In another aspect, the present invention provides a method for operation on a processor. The method includes taking a snapshot of a state of the processor prior to performing a set of computations in an approximating manner, determining an indicator of an amount of error accumulated while the set of computations is performed in the approximating manner, and restoring the state of the processor to the snapshot when the processor detects that the amount of error accumulated has exceeded an error bound.
In yet another aspect, the present invention provides a computer program product encoded in at least one non-transitory computer usable medium for use with a computing device, the computer program product comprising computer usable program code embodied in said medium for specifying a processor. The computer usable program code includes first program code for specifying a storage configured to receive a snapshot of a state of the processor prior to performing a set of computations in an approximating manner. The computer usable program code also includes second program code for specifying an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner. When the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a block diagram illustrating an embodiment of a processor.
FIG. 2 is block diagrams illustrating three embodiments of the approximating functional units of FIG. 1.
FIG. 3 is a block diagram illustrating approximation instructions.
FIGS. 4A and 4B are a flowchart illustrating operation of the processor of FIG. 1 according to one embodiment.
FIG. 5 is a flowchart illustrating operation of the processor of FIG. 1 within a computer system.
FIG. 6 is a block diagram illustrating three different computing systems.
FIG. 7 is a flowchart illustrating operation of the systems of FIG. 6.
FIG. 8 is a flowchart illustrating a process for the development of software to run on an approximate computing-aware processor.
FIG. 9 is a flowchart illustrating an alternate process for the development of software to run on an approximate computing-aware processor.
FIG. 10 is a flowchart illustrating operation of the processor of FIG. 1 to run a program that performs approximate computations.
FIG. 11 is a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to one embodiment.
FIG. 12 is a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to an alternate embodiment.
DETAILED DESCRIPTION OF THE EMBODIMENTS
Embodiments are described in which a processor performs approximate computations. Approximate computations occur when a computation is performed with a degree of accuracy that is less than the full accuracy that may be specified by the instruction set architecture of the processor.
Referring now to FIG. 1, a block diagram illustrating an embodiment of a processor 100 is shown. The processor 100 comprises a programmable data processor that performs stored instructions, such as a central processing unit (CPU) or a graphics processing unit (GPU). The processor 100 includes an instruction cache 102; an instruction translator 104 coupled to the instruction cache 102; one or more approximating functional units 106 coupled to receive microinstructions from the instruction translator 104; architectural registers 108 coupled to provide instruction operands 166 to the approximating functional units 106; an approximation control register 132 coupled to the approximating functional units 106; a data cache memory 138 coupled to the approximating functional units 106; and a snapshot storage 134 coupled to the approximating functional units 106. The processor 100 may also include other units, for example, a renaming unit, instruction scheduler and/or reservation stations may be employed between the instruction translator 104 and the approximating functional units 106 and a reorder buffer may be employed to accommodate out-of-order instruction execution.
The instruction cache 102 caches architectural instructions 174 fetched from memory and performed by the processor 100. The architectural instructions 174 may include approximate computing instructions, such as embodiments of approximate computing instructions 399 described with respect to FIG. 3. The approximate computing instructions 399 control the approximate computing policies of the processor 100, namely, whether the approximating functional units 106 perform computations with a full degree of accuracy or with less than a full degree of accuracy and the degree less than the full degree. The approximate computing instructions 399 also control the clearing of an error amount associated with each of the general purpose registers of the processor 100, as described herein. Preferably, the processor 100 includes other functional units that are not approximating. In one embodiment, the architectural instructions 174 substantially conform to the x86 instruction set architecture (ISA) modified to include embodiments of the approximate computing instructions 399 described herein. Other embodiments are contemplated in which the ISA of the processor 100 is other than the x86 ISA.
The instruction translator 104 receives the architectural instructions 174 from the instruction cache 102. The instruction translator 104 includes an instruction decoder that decodes the architectural instructions 174 and translates them into microinstructions. The microinstructions are defined by a different instruction set than the architectural instruction set, namely the microarchitectural instruction set. The microinstructions implement the architectural instructions 174.
Preferably, the instruction translator 104 also includes microcode 136 that comprises microcode instructions, preferably stored in a read-only memory of the processor 100. In one embodiment, the microcode instructions are microinstructions. In an alternate embodiment, the microcode instructions are translated into microinstructions by a micro-translator. The microcode 136 implements a subset of the architectural instructions 174 of the processor 100 ISA that are not directly translated into microinstructions by a programmable logic array of the instruction translator 104. Additionally, the microcode 136 handles microarchitectural exceptions, such as are generated when the cumulative error bound generated by approximate computations exceeds an error bound, according to one embodiment.
The architectural registers 108 provide instruction (e.g., microinstruction) operands 166 to the approximating functional units 106 and receive the results generated by the approximating functional units 106, preferably via a reorder buffer (not shown). Associated with each of the architectural registers 108 is error storage 109 that holds an indication of an amount of error in the result stored in the associated register 108. Each time an approximating functional unit 106 generates a result 164 (which is written to an architectural register 108), the approximating functional unit 106 also generates an indication of the amount error 168 associated with the result 164 that has accumulated due to approximating computations. The error 168 is written to the error storage 109 associated with the destination register 108. Furthermore, each time a register 108 provides an operand to an approximating functional unit 106, the associated error storage 109 provides to the approximating functional unit 106 the error 162 associated with the operand. This enables the approximating functional unit 106 to accumulate both the error of the input operands 166 of the computation and the error introduced by the approximating functional unit 106 when performing the approximate computation.
The snapshot storage 134 holds a snapshot of the state of the processor 100. Before the processor 100 begins to perform approximate computations, it writes its state to the snapshot storage 134 so that if the accumulated error of a result of an approximate computation exceeds an error bound, the processor 100 may restore its state from the snapshot 134 and re-perform the computations without approximation, as described in more detail below according to one embodiment. In one embodiment, the snapshot storage 134 comprises a private memory of the processor 100. Preferably, the snapshot 134 includes the address of the first instruction in a set of instructions that perform approximate computations. In an embodiment (e.g., FIG. 10) in which the microcode 136 causes re-execution of the set of instructions but without approximation, the microcode 136 causes a branch to the address of the first instruction held in the snapshot 134.
The data cache 138 caches data from system memory locations. In one embodiment, the data cache 138 is a hierarchy of cache memories that includes a first-level data cache and a second level cache that backs the instruction cache 102 and the first-level cache. In one embodiment, the program that employs the approximate computations must insure that its data does not overflow the data cache 138 if it is to enjoy the recovery after exceeding the error bound feature provided by the processor 100.
In one embodiment, the approximation control register 132 holds information that specifies the approximation policy 176 for the processor 100 that is provided to the approximating functional units 106. Preferably, the approximation control register 132 includes an approximation flag, an approximation amount, and an error bound (or error threshold). The approximation flag indicates whether computations performed by the approximating functional units 106 should be full accuracy computations or approximate computations, i.e., in full accuracy mode or approximate computation mode (or approximating mode). The approximation amount tells the approximating functional units 106 the degree of accuracy less than the full degree they may employ to perform their approximate calculations. The error bound specifies the amount of accumulated error 168 that may be tolerated in a result 164 of an approximate computation, and beyond which the processor 100 signals that the error bound has been exceeded, preferably so that the computations may be performed again without approximation. In one embodiment, the approximating functional units 106 perform computations according to the approximation policy stored in the approximation control register 132. In an alternate embodiment, each instruction specifies the approximation policy to the approximating functional units 106, such as in a prefix. In one embodiment, the approximation control register 132 is writable by an instruction of the instruction set architecture of the processor 100.
The approximating functional units 106 are capable of selectively performing normal computations (i.e., with the full degree of accuracy specified by the instruction set architecture) or approximate computations (i.e., with less than the full degree of accuracy specified by the instruction set architecture). Each of the approximating functional units 106 is hardware or a combination of hardware and microcode within the processor 100 that performs a function associated with the processing of an instruction. More specifically, the hardware or combination of hardware and microcode performs a computation to generate a result. Examples of functional units include, but are not limited to, execution units, such as an integer unit, a single issue multiple data (SIMD) unit, a multimedia unit, and a floating point unit, such as a floating point multiplier, floating point divider and floating point adder. Advantageously, the approximating functional units 106 consume less power when performing approximate computations than when performing normal computations. Embodiments of the approximating functional units 106 are described in more detail with respect to FIG. 2.
Referring now to FIG. 2, block diagrams illustrating three embodiments of the approximating functional units 106 of FIG. 1 are shown. The three embodiments are an approximating floating point multiplier 106A, an approximating transcendental function computation unit 106B, and an approximating divider 106C.
The approximating floating point multiplier 106A receives input operands 166 from the registers 108 and generates the result 164 of FIG. 1. The approximating floating point multiplier 106A includes gates 202 that perform multiplication on the most significant bits of the input operands 166 and gates 204 that perform multiplication on the least significant bits of the input operands 166. The approximating floating point multiplier 106A also includes power control logic 206 that controls the selective provision of power to the least significant bit multiplication gates 204 based on the approximation policy 176. For example, if the approximation mode is full accuracy, the power control 206 causes power to be provided to the transistors of the least significant bit multiplication gates 204; whereas, if the approximation mode is less than the full accuracy, the power control 206 causes power not to be provided to the transistors of the least significant bit multiplication gates 204. In one embodiment, the least significant bit multiplication gates 204 are grouped such that the power control 206 powers off the gates associated with the multiplication of lesser or fewer of the least significant bits based on the approximation amount indicated in the approximation policy 176. Preferably, the approximating floating point multiplier 106A is configured such that intermediate results of the least significant bit multiplication gates 204 are provided to the most significant bit multiplication gates 202 (e.g., carries), and when the least significant bit multiplication gates 204 are powered-off in approximate computation mode, default values (e.g., zeroes) are provided as the intermediate results to the most significant bit multiplication gates 202.
Generally speaking, the approximating multiplier 106A is capable of multiplying N bits of each of two factors 166, where N bits is the full accuracy specified by the instruction set architecture. However, the approximating multiplier 106A is also capable of multiplying fewer than the N bits of each of the two factors 166 to generate a less accurate result 164 than the full accuracy. Preferably, the multiplier excludes M of the least significant bits of the factors 166 when performing the multiplication, where M is less than N. For example, assume the mantissas of the factors 166 are each 53 bits, then the transistors of the gates 204 of the approximating multiplier that would normally be used in the multiplication of the lower N bits of the 53 bits of the factors 166 are turned off such that the lower M bits of the factors 166 are not included in the approximate multiply, where the number of bits M is specified in the approximation policy, e.g., in the approximation control register 132. In this manner, the approximating multiplier 106A potentially uses less power in the approximating mode than in the full accuracy mode because it may turn off transistors that would normally be used to multiply the excluded bits. Preferably, the number of excluded bits M is quantized such that only a limited number of values of M may be specified by the approximation policy in order to reduce the complexity of the power gating logic 206.
The approximating transcendental function unit 106B receives input operands 166 from the registers 108 and generates the result 164 of FIG. 1. The approximating transcendental function computation unit 106B includes transcendental computation logic 214 that performs transcendental functions on the input operands 166 to generate the result 164 based on a polynomial. The polynomial is selected from a mux 216 that selects either a high order polynomial 212A or a low order polynomial 212B based on a select control input from the computation policy 176, such as the approximation mode. That is, the mux 216 selects the high order polynomial 212A when the approximating mode is full accuracy and selects the low order polynomial 212B when the approximating mode is less than the full accuracy. Generally speaking, the approximating transcendental function computation unit 106B uses a polynomial of order N to perform transcendental functions with full accuracy and uses a polynomial of order M, where M is less than N, to perform transcendental functions with less than the full accuracy, and where M is specified by the approximation policy. Advantageously, by employing a lower order polynomial to perform the transcendental function computations when in approximating mode, the approximating transcendental function computation unit 106B may consume less power and perform better than when operating in full accuracy mode. This is because employing a lower order polynomial requires fewer multiplies and adds than a higher order polynomial.
The approximating divider 106C receives input operands 166 from the registers 108 and generates the result 164 of FIG. 1. The approximating divider 106C includes dividing logic 222 and iteration control logic 224. The dividing logic 222 performs a division computation on the input operands 166 to generate an intermediate result 164 and an indication 226 of the accuracy of the intermediate result 164 during a first iteration. The intermediate result 164 is fed back as an input to the dividing logic 222, and the accuracy indication 226 is provided to iteration control logic 224. On subsequent iterations, the dividing logic 222 performs a division computation on the input operands 166 and intermediate result 164 of the previous iteration to generate another intermediate result 164 and an indication 226 of the accuracy of the intermediate result 164 during the present iteration, and the intermediate result 164 is fed back as an input to the dividing logic 222, and the accuracy indication 226 is provided to iteration control logic 224. The iteration control 224 monitors the accuracy 226 and stops the iterating once the accuracy 226 has reached an acceptable level indicated in the approximation policy 176. Advantageously, by performing fewer iterations in exchange for less than the full accuracy when the approximation policy indicates approximation mode, a reduction in power consumption may be accomplished by the approximating divider 106C.
In one embodiment, each of the approximating functional units 106 includes a lookup table that outputs the amount of error 168 associated with the result 164 generated by the approximating functional unit 106 based on the input error 162 and approximating amount of the approximation policy. Preferably, the amount of error 168 output by the lookup table is itself an approximation that specifies a maximum amount of error associated with the result 164.
In one embodiment, the approximating functional units 106 include an instruction decoder that decodes microinstructions generated by the instruction translator 104 when translating the approximating instructions 399 in order to determine all or a portion of the approximation policy rather than, or in addition to, the approximation policy provided by the approximation control register 132. In another embodiment, the instruction decoder decodes the approximating instructions 399 themselves, e.g., in an embodiment in which the instruction translator 104 simply decodes instructions 174 for the purpose of routing to the appropriate approximating functional unit 106, and the approximating functional unit 106 decodes the instructions 174 to determine the approximation policy.
Referring now to FIG. 3, a block diagram illustrating approximation instructions 399 is shown. More specifically, the approximation instructions include a computation instruction with an approximation prefix 300, an approximate computation instruction 310, a computation instruction with a start approximation prefix 320, a start approximation instruction 330, a computation instruction with a stop approximation prefix 340, a stop approximation instruction 350, a computation instruction with a clear error prefix 360, a clear error instruction 370, and a load register instruction 380.
The computation instruction with an approximation prefix 300 includes an opcode and other fields 304 such as generally found in the instruction set of the processor 100. The opcode 304 may specify any of various computations that may be performed by the approximating functional units 106, such as addition, subtraction, multiplication, division, fused multiply add, square root, reciprocal, reciprocal square root, and transcendental functions, for example, that are susceptible to generating a result that has less accuracy than the full accuracy with which the approximating functional units 106 are capable of performing the computation, i.e., according to the full accuracy mode. The computation instruction with an approximation prefix 300 also includes an approximation prefix 302. In one embodiment, the approximation prefix 302 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 304 instructs the processor 100 to perform the specified computation in an approximating manner. In one embodiment, the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA. In one embodiment, a portion of the approximation prefix 302 specifies the approximation policy, or at least a portion thereof, such as the approximation amount and/or error bound, to be employed in the computation specified by the opcode and other fields 304. In another embodiment, the approximation prefix 302 simply indicates that the computation specified by the opcode and other fields 304 should be performed approximately, and the approximation policy is taken from the overall approximation policy previously communicated by the to the processor 100, which may be stored, for example, in a register, such as the approximation control register 132. Other embodiments are contemplated in which the approximation policy for the instruction 300 is derived from a combination of the prefix 302 and the overall approximation policy.
In an alternate embodiment, the approximate computation instruction 310 includes an approximate computation opcode and other fields 312. The approximate computation opcode value is distinct from other opcode values in the instruction set of the processor 100. That is, the approximate computation opcode value is distinct from other opcode values that normally (e.g., in the absence of a prefix, such as approximation prefix 302) instruct the processor 100 to perform a computation with full accuracy. Preferably, the instruction set includes multiple approximate computation instructions 310, one for each type of computation, e.g., one for addition with its own distinct opcode value, one for subtraction with its own distinct opcode value, and so forth.
The computation instruction with start approximation prefix 320 includes an opcode and other fields 314 such as generally found in the instruction set of the processor 100. The opcode 314 may specify any of various computations, or it may be a non-computation instruction. The computation instruction with start approximation prefix 320 also includes a start approximation prefix 322. In one embodiment, the start approximation prefix 322 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 324 instructs the processor 100 to perform subsequent computations (including the computation specified in the instruction 320, if present) in an approximating manner until instructed to stop performing computations in an approximating manner (e.g., by instructions 340 and 350 described below). In one embodiment, the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA, and is distinct from the other prefixes described herein (e.g., approximation prefix 302, stop approximation prefix 342 and clear error prefix 362). Embodiments of the start approximation prefix 322 are similar to the approximation prefix 302 in that a portion of the start approximation prefix 322 may specify the approximation policy, or simply indicate that subsequent computations should be performed approximately using the overall approximation policy, or a combination thereof.
In an alternate embodiment, the start approximation instruction 330 includes a start approximation opcode 332. The start approximation instruction 330 instructs the processor 100 to perform subsequent computations in an approximating manner until instructed to stop performing computations in an approximating manner. Embodiments of the start approximation opcode 332 are similar to the approximation prefix 302 regarding specification of the approximation policy. The start approximation opcode 332 value is distinct from other opcode values in the instruction set of the processor 100.
The computation instruction with stop approximation prefix 340 includes an opcode and other fields 344 such as generally found in the instruction set of the processor 100. The opcode 344 may specify any of various computations, or it may be a non-computation instruction. The computation instruction with stop approximation prefix 340 also includes a stop approximation prefix 342. In one embodiment, the stop approximation prefix 342 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 344 instructs the processor 100 to stop performing computations (including the computation specified in the instruction 340, if present) in an approximating manner (until instructed to perform computations in an approximating manner, e.g., by instructions 300, 310, 320 or 330). In one embodiment, the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA, and is distinct from the other prefixes described herein.
In an alternate embodiment, the stop approximation instruction 350 includes a stop approximation opcode 352. The stop approximation instruction 350 instructs the processor 100 to stop performing computations in an approximating manner (until instructed to perform computations in an approximating manner). The stop approximation opcode 352 value is distinct from other opcode values in the instruction set of the processor 100. In one embodiment, the generation of an exception by the processor 100 also instructs the processor 100 to stop performing computations in an approximate manner, i.e., causes the approximation mode to be set to full accuracy.
The computation instruction with clear error prefix 360 includes an opcode and other fields 364 such as generally found in the instruction set of the processor 100. The opcode 364 may specify any of various computations. The computation instruction with clear error prefix 360 also includes a register field 366 that specifies a destination register to which the processor 100 writes the result of the computation. The computation instruction with clear error prefix 360 also includes a clear error prefix 362. In one embodiment, the clear error prefix 362 comprises a predetermined value whose presence within the stream of instruction bytes and preceding the opcode and other fields 364 instructs the processor 100 to clear the error 109 associated with the register 108 specified by the register field 366. In one embodiment, the predetermined value is a value not already in use as a prefix value in the ISA, such as the x86 ISA, and is distinct from the other prefixes described herein.
In an alternate embodiment, the clear error instruction 370 includes a clear error opcode 372 and a register field 376. The clear error instruction 370 instructs the processor 100 to clear the error 109 associated with the register 108 specified by the register field 376. The clear error opcode 372 value is distinct from other opcode values in the instruction set of the processor 100.
The load register and clear error instruction 380 includes a load register opcode 382, memory address operand fields 384 and a register field 386. The opcode 382 instructs the processor 100 to load data from a memory location specified by the memory address operands 384 into the destination register specified in the register field 386. The opcode 382 also instructs the processor 100 to clear the error 109 associated with the register 108 specified by the register field 386.
In one embodiment, the clear error instruction 370 clears the error 109 for all registers 108, rather than a single register 108. For example, the register field 376 value may a predetermined value to indicate to clear all registers 108. A similar embodiment is contemplated with respect to the computation instruction with a clear error prefix 360 and the load register and clear error instruction 380.
In one embodiment, the instruction translator 104 maintains a flag that indicates whether the processor 100 is in approximate computation mode or full accuracy mode. For example, the instruction translator 104 may set the flag in response to encountering a start approximation instruction 330 or a computation instruction with start approximation prefix 320 and may clear the flag in response to encountering a stop approximation instruction 350 or a computation instruction with stop approximation prefix 340. Each microinstruction includes an indicator that indicates whether the computation specified by the microinstruction should be performed with full accuracy or in an approximate manner. When the instruction translator 104 translates an architectural instruction 166 into one or more microinstructions, the instruction translator 104 populates the indicator accordingly based on the current value of the mode flag. Alternatively, in the case of an architectural approximate computation instruction such as 300 or 310, the instruction translator 104 populates the indicator of the microinstruction according to the prefix 302 or opcode 312, respectively. In yet another embodiment, the indicator of the microinstruction comprises a microinstruction opcode (distinct within the microarchitectural instruction set) that specifies an approximate computation.
Referring now to FIG. 4, a flowchart illustrating operation of the processor 100 of FIG. 1 according to one embodiment is shown. Flow begins at block 402.
At block 402, the processor 100 decodes an architectural instruction 166. Flow proceeds to decision block 404.
At decision block 404, the processor 100 determines whether the instruction 166 is a start approximation instruction, e.g., 320 or 330 of FIG. 3. If so, flow proceeds to block 406; otherwise, flow proceeds to decision block 414.
At block 406, the processor 100 performs subsequent computations according to the approximation policy (e.g., specified in the start approximation instruction, the approximation policy specified in the approximation control register 132, or a combination thereof) until it encounters a stop approximation instruction, e.g., 340 or 350 of FIG. 3. Flow ends at block 406.
At decision block 414, the processor 100 determines whether the instruction 166 is a stop approximation instruction, e.g., 340 or 350 of FIG. 3. If so, flow proceeds to block 416; otherwise, flow proceeds to decision block 424.
At block 416, the processor 100 stops performing computations in an approximate manner and instead performs them with full accuracy (until it encounters a start approximation instruction, e.g., 320 or 330 or approximate computation instruction 300 or 310 of FIG. 3). Flow ends at block 416.
At decision block 424, the processor 100 determines whether the instruction 166 is a clear error instruction, e.g., 360 or 370 or 380 of FIG. 3. If so, flow proceeds to block 426; otherwise, flow proceeds to decision block 434.
At block 426, the processor 100 clears the error 109 associated with the register 108 specified in the register field 366/376/386. Flow ends at block 426.
At decision block 434, the processor 100 determines whether the instruction 166 is a computational instruction 166. If so, flow proceeds to block 452; otherwise, flow proceeds to block 446.
At block 446, the processor 100 performs the other instruction 166, i.e., the instruction of the instruction set architecture other than the computational instructions 399. Flow ends at block 446.
At block 452, the relevant approximating functional unit 106 receives the computational instruction 166 and decodes it. Flow proceeds to decision block 454.
At decision block 454, the approximating functional unit 106 determines whether the approximation policy is approximating or full accuracy. If approximating, flow proceeds to block 456; if full accuracy, flow proceeds to block 458.
At block 456, the approximating functional unit 106 performs the computation in an approximating manner, e.g., as described herein, such as above with respect to FIG. 2. Flow ends at block 456.
At block 458, the approximating functional unit 106 performs the computation in a non-approximating manner, i.e., with full accuracy. Flow ends at block 458.
Referring now to FIG. 5, a flowchart illustrating operation of the processor 100 of FIG. 1 within a computer system is shown. Flow begins at block 502.
At block 502, a program (e.g., operating system or other program) executing on the processor 100 determines an approximation policy to be used by the processor 100 to perform computations. Preferably, the approximation policy specifies the tolerable error bound and the approximation amount in the computations themselves, i.e., the amount of approximation each approximating functional unit 106 should employ in each approximated calculation. The program determines the approximation policy based, at least in part, on the current system configuration. For example, the program may detect whether the computer system is operating from battery power or from an effectively limitless source, such as A/C wall power. Additionally, the program may detect the hardware configuration of the computer system, such as the display size and speaker quality. The program may consider such factors in determining the desirability and/or acceptability of performing certain computations approximately rather than with full accuracy, such as audio/video-related computations. Flow proceeds to block 504.
At block 504, the program provides the approximation policy to the processor 100. In one embodiment, the program writes the approximation policy to the approximation control register 132. In one embodiment, the program executes an x86 WRMSR instruction to provide the processor 100 with the new approximation policy. Flow ends at block 504.
Preferably, when the system configuration changes, e.g., the system gets plugged into a wall socket or unplugged from a wall socket, or plugged into an external monitor of different size, then the program detects the configuration change and changes the approximation policy at block 502 and communicates the new approximation policy to the processor 100 at block 504.
Referring now to FIG. 6, a block diagram illustrating three different computing systems is shown. Each of the systems includes an approximation computation-capable processor 100 of FIG. 1, a display 606, and a buffer containing data 604 upon which the processor 100 performs computations to render pixels to be shown on the display 606 using, for example, the approximate computation instructions 399 of FIG. 3.
The first system is a desktop computer 602A that includes a large display 606A (e.g., 24-inch or larger) and receives power from an essentially limitless power source, e.g., a wall outlet. The second system is a laptop computer 602B that includes a medium size display 606B (e.g., 15-inch) and receives power either from a wall outlet or from a battery, depending upon the choice of the user. The third system is a hand-held computer, such as a smartphone or table computer 602C that includes a relatively small display (e.g., 4.8-inch) 606C and receives its power primarily from a battery. In the illustrative examples, it is assumed the displays all have approximately the same resolution such that the amount of approximation that may be tolerated/accepted is primarily based on the display size, although it should be understood that the amount of approximate computation may also vary based on variation in the display resolution. The three systems, referred to collectively as systems 602, are intended to be representative of systems that may include the approximation computation-capable processor 100 and are provided with different characteristics for comparison to illustrate the varying uses of the approximate computing embodiments described herein; however, other embodiments are contemplated, and the use of the approximation computation-capable processor 100 is not limited to the embodiments shown.
The first system 602A tends to be intolerant of approximation and demand high accuracy because visual distortion caused by approximation of the pixel rendering would likely be readily apparent on the large display 602A, and the power source likely renders the need for power savings due to approximate computations less necessary.
The second system 602B tends to demand a moderate amount of accuracy and tolerate a moderate amount of approximation, particularly when running on battery power, because the visual distortion caused by a moderate amount of approximation that may be apparent, although less than on a larger display with a similar resolution, may be an acceptable tradeoff for the advantage in battery life. Other the other hand, when the system 602B is plugged into a wall power source, the preferred approximation policy may be similar to that of the first system 602A.
The third system 602C tends to demand the least accuracy since the visual distortion due to approximation may be non-apparent, or largely unapparent, on a small display 606C at a nominal zoom level, and the need to save battery power is relatively great.
Referring now to FIG. 7, a flowchart illustrating operation of the systems 602 of FIG. 6 is shown. Flow begins at block 702.
At block 702, a program detects the type of display 606 in the system 602, such as when the system 602 is powered-on or reset. Alternatively, the program may detect a change in the display 606, e.g., when an external monitor is plugged into or unplugged from a laptop 602B. Still further, the program may detect a change in the power source, such as plugging into or unplugging from a wall outlet. Flow proceeds to block 502.
At block 502, the program determines the approximation policy based on the system configuration, as described above with respect to FIG. 5. Flow proceeds to block 504.
At block 504, the program provides the processor 100 with the approximation policy, as described above with respect to FIG. 5. Flow proceeds to block 708.
At block 708, the processor 100 performs calculations based on the received approximation policy as described herein, e.g., with respect to FIGS. 4 and 10 through 12. Flow ends at block 708.
Alternatively, the software running on the processor 100 (e.g., the graphics software) includes different routines of code (that include computation instructions 399) associated with different approximation policies (e.g., for each of the different approximation policies associated with the different system configurations of FIG. 6), and the software branches to the appropriate routine based on the current system configuration.
Referring now to FIG. 8, a flowchart illustrating a process for the development of software to run on an approximate computing-aware processor 100 such as described herein is shown. Flow begins at block 802.
At block 802, a programmer develops a program, such as graphics software, with a conventional programming language, such as the C language, and invokes an approximation-aware compiler with an approximation directive. The approximation-aware compiler knows the approximate computing capabilities of the target processor 100, more specifically, the set of approximation instructions 399 supported by the processor 100. The approximation directive may be a command-line option or other method of communicating to the compiler that the object code generated by the compiler should include approximation instructions 399 to perform approximate computations. Preferably, the approximation-aware compiler is invoked with the approximation directive only to compile routines in which the computations specified by the programming language are tolerant of approximate computations; whereas, other routines that are not tolerant of approximate computations are compiled without the approximation directive; and the object files generated by the respective methods are linked together into an executable program. The approximation-tolerant routines may tend to be relatively specialized routines. For example, pixel-rendering routines may include calculations on floating point data that are susceptible to approximate computations for which the approximation-aware compiler generates approximating instructions 399; whereas, loop control variables may be integer data, and the approximation-aware compiler does not generate approximating instructions 399 to perform calculations that update the loop control variables, for example. Flow proceeds to block 804.
At 804, the approximation-aware compiler compiles the program and generates machine language instructions that include approximation instructions 399 that instruct the processor 100 to perform approximate computations as object code. In one embodiment, the machine code generated by the compiler is similar to the machine code that would otherwise be generated without the use of the approximation directive, but in which some of the instructions are preceded by an approximation-related prefix, such as the approximation prefix 302, the start approximation prefix 322, the stop approximation prefix 342, or the clear error prefix 362 of FIG. 3. In one embodiment, the approximation-aware compiler generates approximate computation instructions 310 in place of normal computation instructions that it would otherwise generate in the absence of the approximation directive. In one embodiment, the approximation-aware compiler generates normal instruction sequences punctuated with start/stop approximation instructions 330/350 and/or start/stop approximation prefixes 322/342. In one embodiment, the approximation-aware compiler generates multiple code routines each of which employs a different approximation policy, as described above, and the compiler generates code that calls the appropriate subroutine based on the current system configuration, which the program may determine itself or may obtain from the operating system. Flow ends at block 804.
Referring now to FIG. 9, a flowchart illustrating an alternate process for the development of software to run on an approximate computing-aware processor 100 such as described herein is shown. Flow begins at block 902.
At block 902, a programmer develops a program similar to the description at block 802 and invokes an approximation-aware compiler. However, the programming language and compiler support approximation directives and/or approximation-tolerant data types. For example, a dialect of the C language may support such directives and/or data types. The approximation directives may include compiler directives (e.g., similar to the C language #include or #define directives) that the programmer may include in the source code to mark selective program variables as approximation-tolerant data. Similarly, the programmer may include in the source code program variables declared as approximation-tolerant data type variables for which the compiler knows to generate instructions 399 that cause approximate computations to be performed on the variables. Flow proceeds to block 904.
At block 904, the approximation-aware compiler compiles the program to generate object code similar to the manner described above with respect to block 804, but in response to the approximation directives and/or approximation-tolerant data types included in the source code being compiled. Flow ends at block 904.
Referring now to FIG. 10, a flowchart illustrating operation of the processor 100 of FIG. 1 to run a program that performs approximate computations is shown. Flow begins at block 1002.
At block 1002, the program provides an approximation policy to the processor 100, similar to the manner described above. Alternatively, the program itself provides the approximation policy (and restores the current approximation policy upon exit). Additionally, an alternate code path is specified that does not perform approximate computations that may be executed in the event that the error threshold is exceeded, as described below. Flow proceeds to block 1004.
At block 1004, the processor 100 takes a snapshot of its current state by writing its state to the snapshot storage 134 of FIG. 1. In one embodiment, the processor 100 takes the snapshot in response to encountering an instruction executed by the program. In one embodiment, the instruction comprises an x86 WRMSR instruction. In one embodiment, taking the snapshot includes writing back to memory dirty cache lines that will be touched by the set of approximate computations of the program so that clean copies of the cache lines reside in the cache 138 and then marking the cache lines as special to denote they may be the target of approximate computations. Because the cache lines are marked as special, as they are modified by the results of approximate computations, they are not written back to memory—at least not until it has been verified that the program can complete without exceeding the acceptable error bound. Consequently, if subsequently the processor 100 determines that the error bound has been exceeded (e.g., at block 1012), then the special cache lines are invalidated and marked as non-special, and the pre-approximate computation state of the cache lines is then available in memory for the subsequent non-approximate set of computations (e.g., at block 1014). In such an embodiment, the programmer must be aware that the special cache lines must not spill out of the cache 138; otherwise, the processor 100 treats such a condition as exceeding the error bounds. Preferably, in a multi-core processor embodiment, the cache 138 must be local to the core executing the set of approximate computations. Flow proceeds to block 1006.
At block 1006, the processor 100, in particular an approximating functional unit 106, performs an approximate computation specified by a program instruction based on the approximation policy to generate an approximate result 164. The approximating functional unit 106 also approximates the error 168 of the result 164 based on the error values 162 of the input operands and the error introduced by the approximate calculation, as described above. Flow proceeds to block 1008.
At block 1008, the approximating functional unit 106 writes the cumulative error 168 to the error storage 109 associated with the destination register 108 that receives the approximate result 164. Flow proceeds to decision block 1012.
At decision block 1012, the processor 100 determines whether the error 168 generated at block 1008 exceeds the error bound of the approximation policy. If so, flow proceeds to block 1014; otherwise, flow returns to block 1006 to execute another approximate computation of the program.
At block 1014, the processor 100 restores the processor 100 state to the snapshot that is stored in the snapshot storage 134 and re-runs the program without approximation, or at least a portion thereof after the taking of the snapshot at block 1004 that involved computations performed in an approximate manner that exceeded the error bound. Embodiments of the operation of block 1014 are described below with respect to FIGS. 11 and 12. Flow ends at block 1014.
Referring now to FIG. 11, a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to one embodiment is shown. Flow begins at block 1102.
At block 1102, control is transferred to the microcode 136 of the processor 100 via a micro-exception (i.e., a non-architectural exception) generated in response to detecting that the error bound was exceeded at decision block 1012. The microcode 136 restores the processor 100 state to the snapshot as described above with respect to FIG. 10. Additionally, the microcode 136 generates an architectural exception. Flow proceeds to block 1104.
At block 1104, the architectural exception handler transfers control to the alternate code path specified at block 1002 of FIG. 10 so that the set of approximate computations are performed with full accuracy. In one embodiment, the exception handler sets the approximation policy to disable approximation (i.e., sets the approximation policy to full accuracy) and then jumps to the same code that was previously executed when approximation was enabled and which will now be executed with approximation disabled. Flow ends at block 1104.
Referring now to FIG. 12, a flowchart illustrating in greater detail operation of block 1014 of FIG. 10 according to an alternate embodiment is shown. Flow begins at block 1202.
At block 1202, control is transferred to the microcode 136 of the processor 100 via a micro-exception generated in response to detecting that the error bound was exceeded, and the microcode 136 restores the processor 100 state to the snapshot. Flow proceeds to block 1204.
At block 1204, the microcode 136 sets the approximation policy (e.g., writes the approximation control register 132) to full accuracy. The microcode 136 also clears the error values 109 associated with all the registers 108. The microcode 136 also causes re-execution of the program, e.g., from the point after the taking of the snapshot at block 1004. In one embodiment, the microcode 136 re-runs the program from an instruction address stored in the snapshot storage 134. Flow ends at block 1204.
Although embodiments have been described in which approximate computations are performed for audio and video purposes, other embodiments are contemplated in which approximate computations are performed for other purposes, such as sensor calculations used in computer game physics calculations. For example, the analog-to-digital converter values used in the calculations may only be accurate to 16 bits, such that game physics analysis using 53 bits of precision, for example, is unnecessary.
While various embodiments of the present invention have been described herein, it should be understood that they have been presented by way of example, and not limitation. It will be apparent to persons skilled in the relevant computer arts that various changes in form and detail can be made therein without departing from the scope of the invention. For example, software can enable, for example, the function, fabrication, modeling, simulation, description and/or testing of the apparatus and methods described herein. This can be accomplished through the use of general programming languages (e.g., C, C++), hardware description languages (HDL) including Verilog HDL, VHDL, and so on, or other available programs. Such software can be disposed in any known computer usable medium such as magnetic tape, semiconductor, magnetic disk, or optical disc (e.g., CD-ROM, DVD-ROM, etc.), a network, wire line, wireless or other communications medium. Embodiments of the apparatus and method described herein may be included in a semiconductor intellectual property core, such as a processor core (e.g., embodied, or specified, in a HDL) and transformed to hardware in the production of integrated circuits. Additionally, the apparatus and methods described herein may be embodied as a combination of hardware and software. Thus, the present invention should not be limited by any of the exemplary embodiments described herein, but should be defined only in accordance with the following claims and their equivalents. Specifically, the present invention may be implemented within a processor device that may be used in a general-purpose computer. Finally, those skilled in the art should appreciate that they can readily use the disclosed conception and specific embodiments as a basis for designing or modifying other structures for carrying out the same purposes of the present invention without departing from the scope of the invention as defined by the appended claims.

Claims (20)

The invention claimed is:
1. A processor, comprising:
a storage, configured to receive a snapshot of a state of the processor prior to a performance of a set of computations in an approximating manner, wherein approximation errors propagate and accumulate with successive computations, and an output error of one computation is a function of an error generated by a preceding computation;
an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner;
wherein when the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage; and
a plurality of hardware registers, configured to store results of the computations;
wherein the indicator is replicated for each register of the plurality of hardware registers, wherein each indicator indicates an amount of error accumulated within a corresponding register of the plurality of registers while the set of computations is performed in the approximating manner.
2. The processor of claim 1, wherein when the processor detects that the amount of error accumulated has exceeded the error bound, the processor is configured to generate an architectural exception to a software exception handler after restoring the state of the processor to the snapshot from the storage.
3. The processor of claim 1, wherein when the processor detects that the amount of error accumulated has exceeded the error bound, microcode of the processor causes re-execution of instructions that instruct the processor to perform the set of computations, wherein during the re-execution of the instructions the processor performs the set of computations in a non-approximating manner.
4. The processor of claim 3, wherein the snapshot includes an address of a first of the instructions, wherein the microcode causes re-execution of the instructions at the address of the first of the instructions.
5. The processor of claim 3, further comprising:
functional units; and
wherein the microcode is configured to update an input to the functional units to cause the functional units to perform the set of computations in the non-approximating manner.
6. The processor of claim 1, wherein the processor is configured to begin performing computations in the approximating manner in response to a first predetermined instruction and to end performing computations in the approximating manner in response to a second predetermined instruction.
7. The processor of claim 1, wherein any one of the indicators is cleared in response to an instruction that loads the corresponding register from memory.
8. The processor of claim 1, wherein any one of the indicators is operable to be cleared in response to an instruction that includes a predetermined prefix value.
9. The processor of claim 1, wherein any one of the indicators is operable to be cleared in response to an instruction that includes a predetermined operation code value.
10. The processor of claim 1, wherein the error bound is provided to the processor by software prior to performance of the set of computations.
11. The processor of claim 1, wherein the error bound is determined based on a current power source of a system in which the processor is operating.
12. The processor of claim 11, wherein the error bound is determined based further on a current configuration of a peripheral device of the system in relation to which the set of computations are performed.
13. A method for operation on a processor, the method comprising:
taking a snapshot of a state of the processor prior to performing a set of computations in an approximating manner, wherein approximation errors propagate and accumulate with successive computations, and an output error of one computation is a function of an error generated by a preceding computation;
determining an indicator of an amount of error accumulated while the set of computations is performed in the approximating manner;
restoring the state of the processor to the snapshot when the processor detects that the amount of error accumulated has exceeded an error bound; and
a plurality of hardware registers, configured to store results of the computations;
wherein the indicator is replicated for each register of the plurality of hardware registers, wherein each indicator indicates an amount of error accumulated within a corresponding register of the plurality of registers while the set of computations is performed in the approximating manner.
14. The method of claim 13, further comprising:
generating an architectural exception to a software exception handler after said restoring the state of the processor.
15. The method of claim 13, further comprising:
causing re-execution of instructions that instruct the processor to perform the set of computations when the processor detects that the amount of error accumulated has exceeded the error bound, wherein during the re-execution of the instructions the processor performs the set of computations in a non-approximating manner.
16. The method of claim 13, further comprising:
clearing a register configured to store results of the computations in response to an instruction that loads the register from memory.
17. The method of claim 13, further comprising:
determining the error bound based on a current power source of a system in which the processor is operating.
18. The method of claim 17, wherein the error bound is determined based further on a current configuration of a peripheral device of the system in relation to which the set of computations are performed.
19. A computer program product encoded in at least one non-transitory computer usable medium for use with a computing device, the computer program product comprising:
computer usable program code embodied in said medium, for specifying a processor, the computer usable program code comprising:
first program code for specifying a storage, configured to receive a snapshot of a state of the processor prior to a performance of a set of computations in an approximating manner, wherein approximation errors propagate and accumulate with successive computations, and an output error of one computation is a function of an error generated by a preceding computation;
second program code for specifying an indicator that indicates an amount of error accumulated while the set of computations is performed in the approximating manner;
wherein when the processor detects that the amount of error accumulated has exceeded an error bound, the processor is configured to restore the state of the processor to the snapshot from the storage; and
a plurality of hardware registers, configured to store results of the computations;
wherein the indicator is replicated for each register of the plurality of hardware registers, wherein each indicator indicates an amount of error accumulated within a corresponding register of the plurality of registers while the set of computations is performed in the approximating manner.
20. The computer program product of claim 19, wherein the at least one non-transitory computer usable medium is selected from the set of a disk, tape, or other magnetic, optical, or electronic storage medium.
US14/522,520 2014-02-10 2014-10-23 Processor that recovers from excessive approximate computing error Active 2035-06-26 US9588845B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US14/522,520 US9588845B2 (en) 2014-02-10 2014-10-23 Processor that recovers from excessive approximate computing error
CN201480028808.9A CN105793825B (en) 2014-02-10 2014-12-14 The processor that can restore from excessive approximate calculation error
JP2016514497A JP6133498B2 (en) 2014-02-10 2014-12-14 A processor that recovers from excessive approximate calculation errors
KR1020157032811A KR101720452B1 (en) 2014-02-10 2014-12-14 Processor that recovers from excessive approximate computing error
PCT/IB2014/003167 WO2015118376A1 (en) 2014-02-10 2014-12-14 Processor that recovers from excessive approximate computing error
EP15154560.5A EP2908242B1 (en) 2014-02-10 2015-02-10 Processor that recovers from excessive approximate computing error
TW104134493A TWI543077B (en) 2014-10-23 2015-10-21 Processor and method performed by processor
JP2017082650A JP6586435B2 (en) 2014-02-10 2017-04-19 A processor that recovers from excessive approximate calculation errors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461937741P 2014-02-10 2014-02-10
US14/522,520 US9588845B2 (en) 2014-02-10 2014-10-23 Processor that recovers from excessive approximate computing error

Publications (2)

Publication Number Publication Date
US20150227429A1 US20150227429A1 (en) 2015-08-13
US9588845B2 true US9588845B2 (en) 2017-03-07

Family

ID=52473758

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/522,520 Active 2035-06-26 US9588845B2 (en) 2014-02-10 2014-10-23 Processor that recovers from excessive approximate computing error

Country Status (6)

Country Link
US (1) US9588845B2 (en)
EP (1) EP2908242B1 (en)
JP (2) JP6133498B2 (en)
KR (1) KR101720452B1 (en)
CN (1) CN105793825B (en)
WO (1) WO2015118376A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10922203B1 (en) * 2018-09-21 2021-02-16 Nvidia Corporation Fault injection architecture for resilient GPU computing

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9389863B2 (en) 2014-02-10 2016-07-12 Via Alliance Semiconductor Co., Ltd. Processor that performs approximate computing instructions
US10235232B2 (en) 2014-02-10 2019-03-19 Via Alliance Semiconductor Co., Ltd Processor with approximate computing execution unit that includes an approximation control register having an approximation mode flag, an approximation amount, and an error threshold, where the approximation control register is writable by an instruction set instruction
US9588845B2 (en) * 2014-02-10 2017-03-07 Via Alliance Semiconductor Co., Ltd. Processor that recovers from excessive approximate computing error
US11327754B2 (en) * 2019-03-27 2022-05-10 Intel Corporation Method and apparatus for approximation using polynomials
JP2021043740A (en) 2019-09-11 2021-03-18 富士通株式会社 Barrier synchronization circuit, barrier synchronization method, and parallel information processing device
JP7283320B2 (en) 2019-09-13 2023-05-30 富士通株式会社 Information processing device, information processing program, and information processing method
US11836490B2 (en) 2019-11-14 2023-12-05 Nvidia Corporation Kernel coefficient quantization
JP2022116821A (en) * 2021-01-29 2022-08-10 国立大学法人 東京大学 Information processing system and information processing method

Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3736566A (en) * 1971-08-18 1973-05-29 Ibm Central processing unit with hardware controlled checkpoint and retry facilities
US4726024A (en) * 1986-03-31 1988-02-16 Mieczyslaw Mirowski Fail safe architecture for a computer system
US4912707A (en) * 1988-08-23 1990-03-27 International Business Machines Corporation Checkpoint retry mechanism
US5386375A (en) * 1993-11-01 1995-01-31 Motorola, Inc. Floating point data processor and a method for performing a floating point square root operation within the data processor
US5481686A (en) 1994-05-11 1996-01-02 Vlsi Technology, Inc. Floating-point processor with apparent-precision based selection of execution-precision
US5504859A (en) * 1993-11-09 1996-04-02 International Business Machines Corporation Data processor with enhanced error recovery
US5659721A (en) * 1995-02-14 1997-08-19 Hal Computer Systems, Inc. Processor structure and method for checkpointing instructions to maintain precise state
US20030172328A1 (en) * 2002-03-06 2003-09-11 Wyatt Stewart R. Pausing a transfer of data
US6625749B1 (en) * 1999-12-21 2003-09-23 Intel Corporation Firmware mechanism for correcting soft errors
US20050004958A1 (en) 2003-07-05 2005-01-06 General Instrument Corporation Single instruction multiple data implementation of finite impulse response filters including adjustment of result
US20050004957A1 (en) 2003-07-05 2005-01-06 General Instrument Corporation Single instruction multiple data implementations of finite impulse response filters
US20050228837A1 (en) 2004-04-08 2005-10-13 Paul Marostica Approximate number calculator
US20060156157A1 (en) * 2005-01-13 2006-07-13 Microsoft Corporation Checkpoint restart system and method
US20060179207A1 (en) * 2005-02-10 2006-08-10 International Business Machines Corporation Processor instruction retry recovery
US20060271615A1 (en) 2005-05-27 2006-11-30 Shearer James B Method to compute an approximation to the reciprocal of the square root of a floating point number in IEEE format
CN1993679A (en) 2004-08-04 2007-07-04 罗伯特·博世有限公司 Method, operating system, and computing device for processing a computer program
US7325022B2 (en) 2003-08-26 2008-01-29 Intel Corporation Methods and apparatus for determining approximating polynomials using instruction-embedded coefficients
US20090216824A1 (en) 2008-02-26 2009-08-27 International Business Machines Corporation Method, system and computer program product for determining required precision in fixed-point divide operations
CN101859243A (en) 2009-07-03 2010-10-13 威盛电子股份有限公司 The device and method of precision of dynamic floating point operation register control
US20100277769A1 (en) * 2006-09-15 2010-11-04 Feng Chen Method and apparatus for generating multi-site fm screen dots simultaneously
US20110239048A1 (en) * 2010-03-29 2011-09-29 International Business Machines Corporation Partial fault tolerant stream processing applications
JP4791495B2 (en) 2005-03-04 2011-10-12 クゥアルコム・インコーポレイテッド Power saving method and apparatus for selectively enabling cache bits based on known processor state
US20120005560A1 (en) * 2010-07-01 2012-01-05 Steiner Avi System and method for multi-dimensional encoding and decoding
US20120011401A1 (en) * 2010-07-12 2012-01-12 Parthasarathy Ranganathan Dynamically modeling and selecting a checkpoint scheme based upon an application workload
US20120079250A1 (en) 2010-09-24 2012-03-29 Alex Pineiro Functional unit capable of executing approximations of functions
CN102736896A (en) 2011-03-29 2012-10-17 国际商业机器公司 Run-ahead approximated computations
US8397187B2 (en) 2010-04-23 2013-03-12 International Business Machines Corporation Verifying the error bound of numerical computation implemented in computer systems
CN103019876A (en) 2012-12-26 2013-04-03 东南大学 Error recovery circuit facing CPU (Central Processing Unit) streamline
US20130275806A1 (en) * 2012-04-16 2013-10-17 International Business Machines Corporation Reconfigurable recovery modes in high availability processors
US20140143780A1 (en) 2012-11-21 2014-05-22 Microsoft Corporation Priority-assignment interface to enhance approximate computing
US20140143564A1 (en) 2012-11-21 2014-05-22 Nvidia Corporation Approach to power reduction in floating-point operations
US20150212906A1 (en) * 2014-01-24 2015-07-30 International Business Machines Corporation Using transactional execution for reliability and recovery of transient failures
US20150227372A1 (en) 2014-02-10 2015-08-13 Via Technologies, Inc. Processor that performs approximate computing instructions
US20150309897A1 (en) * 2012-09-03 2015-10-29 Southeast University Error recovery circuit facing cpu assembly line
US20150317198A1 (en) * 2012-12-12 2015-11-05 Denso Corporation Onboard electronic control unit

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2764975B2 (en) * 1988-12-19 1998-06-11 日本電気株式会社 Precision floating point arithmetic processing unit
US5745375A (en) * 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
US7529912B2 (en) * 2002-02-12 2009-05-05 Via Technologies, Inc. Apparatus and method for instruction-level specification of floating point format
US7181596B2 (en) * 2002-02-12 2007-02-20 Ip-First, Llc Apparatus and method for extending a microprocessor instruction set
KR20040048703A (en) * 2002-12-04 2004-06-10 엘지전자 주식회사 Apparatus for recovering processor
US8595279B2 (en) * 2006-02-27 2013-11-26 Qualcomm Incorporated Floating-point processor with reduced power requirements for selectable subprecision
JP4461135B2 (en) * 2006-12-25 2010-05-12 富士通株式会社 Arithmetic circuit, arithmetic method, and information processing apparatus
US8633936B2 (en) * 2008-04-21 2014-01-21 Qualcomm Incorporated Programmable streaming processor with mixed precision instruction execution
US8589665B2 (en) * 2010-05-27 2013-11-19 International Business Machines Corporation Instruction set architecture extensions for performing power versus performance tradeoffs
US20120197955A1 (en) * 2011-01-31 2012-08-02 Nokia Corporation Method, apparatus, and computer program product for resource, time, and cost aware variable-precision solving of mathematical functions
US9465580B2 (en) * 2011-12-21 2016-10-11 Intel Corporation Math circuit for estimating a transcendental function
US9588845B2 (en) * 2014-02-10 2017-03-07 Via Alliance Semiconductor Co., Ltd. Processor that recovers from excessive approximate computing error

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3736566A (en) * 1971-08-18 1973-05-29 Ibm Central processing unit with hardware controlled checkpoint and retry facilities
US4726024A (en) * 1986-03-31 1988-02-16 Mieczyslaw Mirowski Fail safe architecture for a computer system
US4912707A (en) * 1988-08-23 1990-03-27 International Business Machines Corporation Checkpoint retry mechanism
US5386375A (en) * 1993-11-01 1995-01-31 Motorola, Inc. Floating point data processor and a method for performing a floating point square root operation within the data processor
US5504859A (en) * 1993-11-09 1996-04-02 International Business Machines Corporation Data processor with enhanced error recovery
US5481686A (en) 1994-05-11 1996-01-02 Vlsi Technology, Inc. Floating-point processor with apparent-precision based selection of execution-precision
US5659721A (en) * 1995-02-14 1997-08-19 Hal Computer Systems, Inc. Processor structure and method for checkpointing instructions to maintain precise state
US6625749B1 (en) * 1999-12-21 2003-09-23 Intel Corporation Firmware mechanism for correcting soft errors
US20030172328A1 (en) * 2002-03-06 2003-09-11 Wyatt Stewart R. Pausing a transfer of data
US20050004958A1 (en) 2003-07-05 2005-01-06 General Instrument Corporation Single instruction multiple data implementation of finite impulse response filters including adjustment of result
US20050004957A1 (en) 2003-07-05 2005-01-06 General Instrument Corporation Single instruction multiple data implementations of finite impulse response filters
US7325022B2 (en) 2003-08-26 2008-01-29 Intel Corporation Methods and apparatus for determining approximating polynomials using instruction-embedded coefficients
US20050228837A1 (en) 2004-04-08 2005-10-13 Paul Marostica Approximate number calculator
CN1993679A (en) 2004-08-04 2007-07-04 罗伯特·博世有限公司 Method, operating system, and computing device for processing a computer program
US20060156157A1 (en) * 2005-01-13 2006-07-13 Microsoft Corporation Checkpoint restart system and method
US20060179207A1 (en) * 2005-02-10 2006-08-10 International Business Machines Corporation Processor instruction retry recovery
JP4791495B2 (en) 2005-03-04 2011-10-12 クゥアルコム・インコーポレイテッド Power saving method and apparatus for selectively enabling cache bits based on known processor state
US20060271615A1 (en) 2005-05-27 2006-11-30 Shearer James B Method to compute an approximation to the reciprocal of the square root of a floating point number in IEEE format
US20100277769A1 (en) * 2006-09-15 2010-11-04 Feng Chen Method and apparatus for generating multi-site fm screen dots simultaneously
US20090216824A1 (en) 2008-02-26 2009-08-27 International Business Machines Corporation Method, system and computer program product for determining required precision in fixed-point divide operations
CN101859243A (en) 2009-07-03 2010-10-13 威盛电子股份有限公司 The device and method of precision of dynamic floating point operation register control
US20110239048A1 (en) * 2010-03-29 2011-09-29 International Business Machines Corporation Partial fault tolerant stream processing applications
US8397187B2 (en) 2010-04-23 2013-03-12 International Business Machines Corporation Verifying the error bound of numerical computation implemented in computer systems
US20120005560A1 (en) * 2010-07-01 2012-01-05 Steiner Avi System and method for multi-dimensional encoding and decoding
US20120011401A1 (en) * 2010-07-12 2012-01-12 Parthasarathy Ranganathan Dynamically modeling and selecting a checkpoint scheme based upon an application workload
US20120079250A1 (en) 2010-09-24 2012-03-29 Alex Pineiro Functional unit capable of executing approximations of functions
CN102736896A (en) 2011-03-29 2012-10-17 国际商业机器公司 Run-ahead approximated computations
US20130275806A1 (en) * 2012-04-16 2013-10-17 International Business Machines Corporation Reconfigurable recovery modes in high availability processors
US20150309897A1 (en) * 2012-09-03 2015-10-29 Southeast University Error recovery circuit facing cpu assembly line
US20140143780A1 (en) 2012-11-21 2014-05-22 Microsoft Corporation Priority-assignment interface to enhance approximate computing
US20140143564A1 (en) 2012-11-21 2014-05-22 Nvidia Corporation Approach to power reduction in floating-point operations
US20150317198A1 (en) * 2012-12-12 2015-11-05 Denso Corporation Onboard electronic control unit
CN103019876A (en) 2012-12-26 2013-04-03 东南大学 Error recovery circuit facing CPU (Central Processing Unit) streamline
US20150212906A1 (en) * 2014-01-24 2015-07-30 International Business Machines Corporation Using transactional execution for reliability and recovery of transient failures
US20150227372A1 (en) 2014-02-10 2015-08-13 Via Technologies, Inc. Processor that performs approximate computing instructions

Non-Patent Citations (35)

* Cited by examiner, † Cited by third party
Title
"Approximate Computing Improves Efficiency, Saves Energy" Purdue University. Dec. 17, 2013. Downloaded from http://www.purdue.edu/newsroom/releases/2013/Q4/approximate-computing-improves-efficiency,-saves-energy.html pp. 1-2.
"Approximate Computing" Research Weblog. Downloaded Oct. 21, 2013 from http://shakithweblog.blogspot.com/2012/11/approximate-computing.html. pp. 1-2.
"intel® Architecture Instruction Set Extensions Programming Reference" Intel® Jul. 2013 pp. 5-613 thru 5-620 and 5-635 thru 5-642.
"Intel® Transactional Synchronization Extensions (Intel® TSX) Overview" Intel Developer Zone.pdf. Downloaded Oct. 22, 2013 from http://software.intel.com/en-us/node/461962.
"Transactional Synchronization Extensions" Wikipedia.pdf Downloaded Oct. 22, 2013 from http://en.wikipedia.org/wiki/Transactional-Synchronization-Extensions pp. 1-3.
Aydin, Hakan, Rami Melhem, and Daniel Mosse. "Incorporating error recovery into the imprecise computation model." Real-Time Computing Systems and Applications, 1999. RTCSA'99. Sixth International Conference on. IEEE, 1999. *
Bettai, Riccardo. Bowen, Nicholas S. Chung, Jen-Yao. Checkpointing Imprecise Computation. Proceedings of the IEEE Workshop on Imprecise and Approximate Computation. Dec. 1992. Pages 45-49. *
Bettati, Richard. Bowen, Nicholas S. Chung, Jen-Yao. On-Line Scheduling for Checkpointing Imprecise Computation. Proceedings of the Fifth Euromicro Workshop on Real-Time Systems. Jun. 1993. pp. 238-243. *
Chan, Wei-Ting J. et al. "Statistical Analysis and Modeling for Error Composition in Approximate Computation Circuits." 2013 IEEE 31st International Conference on Computer Design, ICCD 2013. Oct. 6, 2013-Oct. 9, 2013. pp. 47-53.
Chen, Ing-Ray. On Applying Imprecise Computation to Real-Time AI Systems. 1995. The Computer Journal. vol. 38 No. 6. *
Chen, Jia-Ming. Lu, Wan-Chen. Shih, Wei-Kuan. Tang, Ming-Chung. Imprecise Computations with Deferred Optional Tasks. Journal of Information Science & Engineering. Jan. 2009, vol. 25 Issue 1. pp. 185-200. *
Chen, Ray. "On applying imprecise computation to real-time AI systems." The Computer Journal 38.6 (1995): 434-442. *
Chishiro, Hiroyuki, and Nobuyuki Yamasaki. "Practical Imprecise Computation Model: Theory and Practice." 2014 IEEE 17th International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing. IEEE, 2014. *
Feng, Wu-chun et al. Algorithms for Scheduling Real-Time Tasks with Input Error and End-to-End Deadlines. 1997. IEEE Transactions on Software Engineering. vol. 23 No. 2. pp. 93-106. *
Haines, Joshua et al. Application-Level Fault Tolerance as a Complement to System-Level Fault Tolerance. The Journal of Supercomputing. May 2000. vol. 16, Issue 1. pp. 53-68. *
Han, Jie et al. "Approximate Computing: An Emerging Paradigm for Energy-Efficient Design." Downloaded Oct. 21, 2013 from http://users.ece.utexas.edu/~michael/ETS2013.pdf pp. 1-6.
Han, Jie et al. "Approximate Computing: An Emerging Paradigm for Energy-Efficient Design." Downloaded Oct. 21, 2013 from http://users.ece.utexas.edu/˜michael/ETS2013.pdf pp. 1-6.
Hull, David et al. Operating System Support for Imprecise Computation. AAAI Fall Symposium on Flexible Computation. Nov. 9-11, 1996. *
Hull, David. Liu, Jane W.S. ICS: A System for Imprecise Computations. Proceedings of AIAA Conference. Oct. 1993. *
Lau, Mark S.K. et al. "Energy-Aware Probabilistic Multiplier: Design and Analysis." Downloaded Oct. 21, 2013 from https://edventure.ntu.edu.sg/bbcswebdav/users/ekvling/Public/PCMOS/cases032s-lau.pdf Oct. 11-16, 2009. pp. 1-10.
Lin, Kwei-Jay et al. Imprecise Results: Utilizing Partial Computations in Real-Time Systems. Apr. 15, 1987. Department of Computer Science University of Illinois at Urbana-Champaign. *
Liu, Jane W. S. et a. Use of Imprecise Computation to Enhance Dependability of Real-Time Systems. Foundations of Dependable Computing: Paradigms for Dependable Applications. 1994. Section 3.1. *
Liu, Jane W.S. et al. Algorithms for Scheduling Imprecise Computations. 1991. Springer. pp. 203-249. *
Miao, Jin et al., "Modeling and Synthesis of Quality-Energy Optimal Approximate Adders." IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2012, Nov. 5-8, 2012. pp. 1-8.
Park, Jongse et al. ExpAX: A Framework for Automating Approximate Programming. Georgia Institute of Technology. 2014. *
PCT/IB2014/003137. International Search Report (ISR) and Written Opinion (WO). Provided by State Intellectual Property Office of the P.R. China. Jun. 30, 2015. pp. 1-10.
PCT/IB2014/003160. International Search Report (ISR) and Written Opinion (WO). Provided by State Intellectual Property Office of the P.R. China. Jul. 6, 2015. pp. 1-8.
PCT/IB2014/003167. International Search Report (ISR) and Written Opinion (WO). Provided by State Intellectual Property Office of the P.R. China. Jun. 26, 2015. pp. 1-8.
Ringenburg, Michael F., et al. "Dynamic analysis of approximate program quality." University of Washington, Tech. Rep. UW-CSE-14-03-01 (2014). *
Roy, Kaushik. Approximate Computing for Energy-efficient Error-resilient Multimedia Systems. IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems. 2013. pp. 5-6. *
San Miguel, Joshua et al. Load Value Approximation: Approaching the Ideal Memory Access Latency. 47th Annual IEEE/ACM International Symposium on Microarchitecture. 2014. pp. 127-139. *
Sens, et al. Performance Evaluation of Fault Tolerance for Parallel Applications in Networked Environments. Proceedings of the 1997 International Conference on Parallel Processing. 1997. pp. 334-341. *
Venkataramani, Swagath et al. "Quality Programmable Vector Processors for Approximate Computing." Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture Dec. 11, 2013 pp. 3,5,8 & 9.
Venkataramani, Swagath, et al. "Quality programmable vector processors for approximate computing." Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 2013. *
Venkatesan, Rangharajan et al. MACACO: Modeling and analysis of circuits for approximate computing. 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 2011. pp. 667-673. *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10922203B1 (en) * 2018-09-21 2021-02-16 Nvidia Corporation Fault injection architecture for resilient GPU computing
US20220156169A1 (en) * 2018-09-21 2022-05-19 Nvidia Corporation Fault injection architecture for resilient gpu computing
US11669421B2 (en) * 2018-09-21 2023-06-06 Nvidia Corporation Fault injection architecture for resilient GPU computing

Also Published As

Publication number Publication date
KR20160008558A (en) 2016-01-22
EP2908242B1 (en) 2019-07-17
CN105793825B (en) 2019-02-12
CN105793825A (en) 2016-07-20
JP6586435B2 (en) 2019-10-02
US20150227429A1 (en) 2015-08-13
JP2016524748A (en) 2016-08-18
EP2908242A2 (en) 2015-08-19
WO2015118376A1 (en) 2015-08-13
JP2017162487A (en) 2017-09-14
KR101720452B1 (en) 2017-03-27
EP2908242A3 (en) 2018-01-24
JP6133498B2 (en) 2017-05-24

Similar Documents

Publication Publication Date Title
US9389863B2 (en) Processor that performs approximate computing instructions
US9588845B2 (en) Processor that recovers from excessive approximate computing error
US20210026634A1 (en) Apparatus with reduced hardware register set using register-emulating memory location to emulate architectural register
JP5172942B2 (en) Method for reducing power consumption by processor, processor, and information processing system
US10235232B2 (en) Processor with approximate computing execution unit that includes an approximation control register having an approximation mode flag, an approximation amount, and an error threshold, where the approximation control register is writable by an instruction set instruction
US20160055004A1 (en) Method and apparatus for non-speculative fetch and execution of control-dependent blocks
US9921832B2 (en) Instruction to reduce elements in a vector register with strided access pattern
US10261789B2 (en) Data processing apparatus and method for controlling performance of speculative vector operations
KR102161682B1 (en) Processor and methods for immediate handling and flag handling
US20220035635A1 (en) Processor with multiple execution pipelines
CN112241288A (en) Dynamic control flow reunion point for detecting conditional branches in hardware
TWI543077B (en) Processor and method performed by processor
TWI627585B (en) Processor and method performed by processor
TWI559224B (en) Processor and method performed by processor

Legal Events

Date Code Title Description
AS Assignment

Owner name: VIA TECHNOLOGIES, INC., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HENRY, G. GLENN;PARKS, TERRY;HOOKER, RODNEY E.;REEL/FRAME:034023/0762

Effective date: 20141009

AS Assignment

Owner name: VIA ALLIANCE SEMICONDUCTOR CO., LTD, CHINA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:VIA TECHNOLOGIES, INC.;REEL/FRAME:036514/0319

Effective date: 20150701

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4