US9647098B2 - Thermionically-overdriven tunnel FETs and methods of fabricating the same - Google Patents

Thermionically-overdriven tunnel FETs and methods of fabricating the same Download PDF

Info

Publication number
US9647098B2
US9647098B2 US14/593,636 US201514593636A US9647098B2 US 9647098 B2 US9647098 B2 US 9647098B2 US 201514593636 A US201514593636 A US 201514593636A US 9647098 B2 US9647098 B2 US 9647098B2
Authority
US
United States
Prior art keywords
channel
layers
fet
thermionic
channel layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/593,636
Other versions
US20160020305A1 (en
Inventor
Borna Obradovic
Robert C. Bowen
Dharmendar Reddy Palle
Mark S. Rodder
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US14/593,636 priority Critical patent/US9647098B2/en
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BOWEN, ROBERT C., OBRADOVIC, BORNA, PALLE, DHARMENDAR REDDY, RODDER, MARK S.
Priority to KR1020150103027A priority patent/KR102286671B1/en
Priority to CN201510431098.0A priority patent/CN105280502B/en
Priority to TW104123524A priority patent/TWI658592B/en
Publication of US20160020305A1 publication Critical patent/US20160020305A1/en
Application granted granted Critical
Publication of US9647098B2 publication Critical patent/US9647098B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66977Quantum effect devices, e.g. using quantum reflection, diffraction or interference effects, i.e. Bragg- or Aharonov-Bohm effects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Definitions

  • thermionically-injected FETs in which electrons or holes are injected into the channel by propagating over, rather than through, an energy barrier
  • gate overdrive V OV the portion of the gate-source voltage in excess of the threshold voltage Vt. This may be attributed to a fundamental lower bound (60 mV/dec) on the sub-threshold slope SS of thermionically-injected FETs.
  • the bounded sub-threshold slope SS may indicate that, at fixed leakage current levels, the threshold voltage Vt may not scale with supply voltage V DD .
  • thermionic FETs can provide improved performance for higher-power applications, but may be limited in low-power regimes by the fixed lower bound of the subthreshold slope SS.
  • tunnel FETs or TFETs in which electrons or holes are injected into the channel by propagating through, rather than over, an energy barrier
  • TFETs tunnel FETs or TFETs
  • injection into the channel can be governed by tunneling rather than energy sampling from a Fermi-Dirac distribution
  • the sub-threshold drain current (Id)-gate voltage (Vg) curve can be relatively steeper than that of thermionic FETs.
  • the threshold voltage Vt of the TFET devices can therefore be relatively lower than that of thermionic devices, for the same off-current Ioff.
  • TFETs may offer improved low-supply voltage V DD performance as compared to thermionic FETs.
  • higher-supply voltage V DD performance of TFETs may be more limited, as the tunneling nature of the source-channel injection can limit performance.
  • tunnel FETs can provide improved performance for lower-frequency applications, but may not be overdriven to sufficient performance levels for higher-frequency applications.
  • Embodiments of the present inventive concepts provide a hybrid or combined tunnel FET/thermionic FET device to satisfy both low-frequency and high-frequency requirements within a same footprint, and thus, without layout area penalty.
  • Embodiments of the present inventive concepts further provide operating methods in which the supply voltage modes are partitioned into two operating modes: TFET (low-frequency) and thermionic FET (high-frequency), in which TFET mode allows lower supply voltages V DD for the low-frequency operation.
  • a field effect transistor includes a nanosheet stack having first and second stacked semiconductor channel layers.
  • the first channel layer defines a channel region of a tunnel FET, and the second channel layer defines a channel region of a thermionic FET.
  • Source and drain regions are provided on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween.
  • a first portion of the source region adjacent the first channel layer and a second portion of the source region adjacent the second channel layer have opposite semiconductor conductivity or doping types.
  • the nanosheet stack may further include respective gate layers on opposing surfaces of the first and second channel layers, and respective gate dielectric layers between the gate layers and the first and second channel layers.
  • a threshold voltage of the tunnel FET may be less than a threshold voltage of the thermionic FET.
  • the first and second channel layers may include different dopant concentrations and/or different thicknesses.
  • a thickness of the first channel layer may be sufficient to prevent band-to-band tunneling therein at the drain region of the device (as BTBT may be necessary at the source region) at gate voltages greater than the threshold voltage of the thermionic FET.
  • a thickness of the second channel layer may be greater than that of the first channel layer.
  • the nanosheet stack may include a plurality of the first and second channel layers, and respective spacings between ones of the first channel layers may differ from respective spacings between ones of the second channel layers.
  • respective doped extension regions may be provided at opposite ends of the first and second channel layers adjacent the source and drain regions.
  • the doped extension regions may extend between the gate layers.
  • respective dielectric suspension regions may separate ends of the gate layers from the source and drain regions adjacent thereto.
  • the doped extension regions may laterally extend from the source and drain regions to the first and second channel layers between the gate layers and beyond the dielectric suspension regions.
  • respective contacts may be provided on the source and drain regions.
  • the contact on the source region may electrically connect the first and second portions thereof having the opposite semiconductor conductivity or doping types.
  • the first and second channel layers may include different semiconductor materials or different compositions of a same semiconductor material.
  • At least one of the first and second channel layers may include Group III-V semiconductor materials or Group IV semiconductor materials.
  • the Group III-V semiconductor materials may include indium gallium arsenide (InGaAs), indium arsenide (InAs), indium antimonide (InSb), and/or indium gallium antimonide (InGaSb), and the Group IV semiconductor materials may include silicon (Si), germanium (Ge), and/or silicon germanium (SiGe).
  • the first and second channel layers and the source and drain regions may be epitaxial layers.
  • the nanosheet stack may be a heteroepitaxial stack including crystalline semiconductor first and second channel layers, crystalline semiconductor gate layers, and crystalline semiconductor or insulating gate dielectric layers. Respective interfaces between the first and second channel layers and the gate dielectric layers may be free of non-crystalline materials.
  • a nanosheet stack including first and second stacked semiconductor channel layers is provided.
  • the first channel layer defines a channel region of a tunnel FET
  • the second channel layer defines a channel region of a thermionic FET.
  • Source and drain regions are formed on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween.
  • a first portion of the source region adjacent the first channel layer and a second portion of the source region adjacent the second channel layer have opposite semiconductor conductivity or doping types.
  • respective gate dielectric layers may be formed on opposing surfaces of the first and second channel layers, and gate layers may be formed on the gate dielectric layers on and between the first and second channel layers.
  • the first and second channel layers may be formed to include different dopant concentrations and/or to different thicknesses such that a threshold voltage of the tunnel FET may be less than a threshold voltage of the thermionic FET.
  • the first channel layer may be formed to a thickness sufficient to prevent band-to-band tunneling therein at the drain region at gate voltages greater than the threshold voltage of the thermionic FET.
  • the second channel layer may be formed to a thickness greater than that of the first channel layer.
  • a plurality of the first and second channel layers may be formed. Respective spacings between ones of the first channel layers may differ from respective spacings between ones of the second channel layers.
  • respective doped extension regions may be formed at opposite ends of the first and second channel layers adjacent the source and drain regions and extending between the gate layers.
  • respective dielectric suspension regions may be formed to separate ends of the gate layers from the source and drain regions adjacent thereto.
  • the doped extension regions may laterally extend from the source and drain regions to the first and second channel layers between the gate layers and beyond the dielectric suspension regions.
  • respective contacts may be formed on the source and drain regions.
  • the contact on the source region may electrically connect the first and second portions thereof.
  • the first and second channel layers and the source and drain regions may be epitaxial layers.
  • a first supply voltage is applied to a gate electrode extending between first and second stacked semiconductor channel layers in a first operating mode.
  • the first and second channel layers extend between a drain region and a source region including portions of opposite semiconductor conductivity or doping types, and the first supply voltage is sufficient to cause significant conduction in the first channel layer but insufficient to cause significant conduction in the second channel layer.
  • a second supply voltage is applied to the gate electrode in a second operating mode. The second supply voltage is sufficient to cause significant conduction in the second channel layer that is substantially greater than the conduction in the first channel layer.
  • the first channel layer may define a channel region of a tunnel FET
  • the second channel layer may define a channel region of a thermionic FET having a threshold voltage greater than that of the tunnel FET
  • the respective threshold voltages may provide a substantially same off-state leakage for the tunnel FET and the thermionic FET in the first and second operating modes, respectively.
  • a thickness of the first channel layer may be sufficient to prevent band-to-band tunneling therein at the drain region responsive to application of the second supply voltage to the gate electrode in the second operating mode.
  • a heterogeneous nanosheet FET includes a first sheet configured to operate in a tunneling mode, and a second sheet configured to operate in a thermionic mode.
  • the first and second sheets are stacked in a direction normal to a film plane.
  • a method of fabricating a heterogeneous nanosheet FET includes providing a first sheet configured to operate in a tunneling mode, and providing a second sheet configured to operate in a thermionic mode.
  • the first and second sheets are stacked in a direction normal to a film plane.
  • FIG. 1 is a cross sectional view illustrating an n-channel hybrid tunnel FET/thermionic FET device in accordance with some embodiments of the present inventive concepts.
  • FIG. 2 is a cross sectional view illustrating a p-channel hybrid tunnel FET/thermionic FET device in accordance with some embodiments of the present inventive concepts.
  • FIGS. 3A-3B are graphs illustrating operating modes of hybrid tunnel FET/thermionic FET devices in accordance with some embodiments of the present inventive concepts.
  • FIGS. 4-11 are cross sectional views illustrating methods of fabricating an n-channel hybrid tunnel FET/thermionic FET device in accordance with some embodiments of the present inventive concepts.
  • FIGS. 12-19 are cross sectional views illustrating methods of fabricating an re-channel hybrid tunnel FET/thermionic FET device in accordance with further embodiments of the present inventive concepts.
  • Some embodiments of the present inventive concepts may arise from realization that neither thermionic FETs nor tunnel FETs can operate over a wide range of supply voltages V DD with good performance. This may create practical problems for CPU design; for example, while a CPU may typically operate in low-V DD /low-power mode, it should be capable of occasional bursts of high-performance, which may be achieved by supply voltage V DD overdrive.
  • Embodiments of the present inventive concepts introduce a FET device that combines features of thermionically-injected FETs and tunnel FETs (TFETs) in a single device structure, having a same layout area or footprint relative to a single nanosheet-based FET.
  • the device structure includes a stack of several semiconductor nanosheets, associated epitaxially-grown source and drain regions, and a high-k semiconductor or metal gate stack that wraps the entire set/stack of nanosheets.
  • the lower or bottom set of nanosheets can define the thermionically injected FET, while the upper or top set of nanosheets can define the TFET, or vice versa.
  • the TFET may be distinguished by a source region having an opposite doping type than the drain region.
  • the source region of the thermionic FET is n+, while the source region of the TFET is p+ (the doping types are reversed for a p-channel device).
  • the p-n junction between the source region and TFET channel creates the band-to-band tunneling (BTBT) region required for TFET operation.
  • BTBT band-to-band tunneling
  • Embodiments of the present inventive concepts further provide multiple operating modes for the combined thermionic FET/tunnel FET device: a first mode in which the device is operated as a TFET using a supply voltage V DD below the thermionic FET threshold voltage Vt(thermionic), and a second mode in which the device is operated as a thermionic FET by increasing the supply voltage V DD beyond the thermionic FET threshold voltage Vt(thermionic).
  • the TFET mode can be used for low-power, non-performance-critical operations, while the thermionic mode can be used during high-power or peak performance times.
  • thermionic FET in the TFET mode, there may be very little additional capacitive loading due to the presence of the thermionic FET, as the thermionic FET is deep in the sub-threshold regime and has low gate capacitance (e.g., only parasitic capacitance may contribute to capacitive loading).
  • low-frequency, low-V DD operation can be enabled in a TFET operating mode, while high-frequency, high-power operation can be enabled in a thermionic operating mode, without increasing the device layout area beyond that required for a single TFET or thermionic FET.
  • FIGS. 1 and 2 are cross sectional views illustrating heterogeneous nanosheet stack structures 103 , 203 for n-channel and p-channel devices 100 and 200 , respectively.
  • the devices 100 , 200 include nanosheet semiconductor active or body layers defining first channel layers or regions 101 , 201 for TFETs, and second channel layers or regions 102 , 202 for thermionic FETs.
  • FIGS. 1 and 2 are cross sectional views illustrating heterogeneous nanosheet stack structures 103 , 203 for n-channel and p-channel devices 100 and 200 , respectively.
  • the devices 100 , 200 include nanosheet semiconductor active or body layers defining first channel layers or regions 101 , 201 for TFETs, and second channel layers or regions 102 , 202 for thermionic FETs.
  • the lower nanosheets in the stack 103 , 203 define one or more thermionic FET channels
  • the upper nanosheets in the stack 103 , 203 define one or more TFET channels by way of example; however, the order of the TFETs and thermionic FETs in the stack 103 , 203 may be reversed in some embodiments.
  • the first and second channel layers 101 , 201 and 102 , 202 may include the same or common semiconductor materials in different concentrations, or different semiconductor materials.
  • the TFET channel layers 101 , 201 and thermionic FET channel layers 102 , 202 may include Group III-V semiconductor materials (such as indium gallium arsenide (InGaAs), indium arsenide (InAs), indium antimonide (InSb), and/or indium gallium antimonide (InGaSb)), and/or may include Group IV semiconductor materials (such as silicon (Si), germanium (Ge), and/or silicon germanium (SiGe)).
  • Group III-V semiconductor materials such as indium gallium arsenide (InGaAs), indium arsenide (InAs), indium antimonide (InSb), and/or indium gallium antimonide (InGaSb)
  • Group IV semiconductor materials such as silicon (Si), germanium (Ge), and/or silicon germanium (
  • InGaSb-based channels may be used to provide good pFET thermionic performance if Group III-V channel materials are specified.
  • the stoichiometry of the channel layers 201 , 202 of the pFET 200 is not necessarily identical to that of the channel layers 101 , 102 of the nFET 100 , but similar stoichiometries may be used for the channel layers of both the nFET 100 and the pFET 200 for ease of integration.
  • Source regions 109 s 1 / s 2 , 209 s 1 / s 2 and drain regions 109 d , 209 d are provided at opposite sides of the first and second channel layers 101 , 201 and 102 , 202 , and contact the first and second channel layers 101 / 201 and 102 / 202 via source/drain extension regions 109 e , 209 e .
  • the source regions 109 s 1 / s 2 , 209 s 1 / s 2 and drain regions 109 d , 209 d may be highly doped to provide low contact resistance, and may be formed of the same material as the channel layers 101 , 201 and 102 , 202 in some embodiments.
  • the source regions 109 s 1 / s 2 , 209 s 1 / s 2 and drain regions 109 d , 209 d may be epitaxially grown from the channel layer material.
  • the extension regions 109 e , 209 e may be doped portions of the channel layers 101 , 201 and 102 , 202 extending from ends thereof to contact the source and drain regions 109 s 1 / s 2 , 209 s 1 / s 2 and 109 d , 209 d in some embodiments.
  • the TFET source regions 109 s 1 , 209 s 1 have an opposite conductivity type to the thermionic FET source region 109 s 2 , 209 s 2 .
  • the source region 109 s 1 of the n-channel device 100 has a p-type doping
  • the source region 209 s 1 of the p-channel device 200 has an n-type doping.
  • the source regions 109 s 1 / s 2 , 209 s 1 / s 2 thus respectively include a p-n junction between the TFET source region 109 s 1 , 209 s 1 and the thermionic FET source region 109 s 2 , 209 s 2 .
  • Contacts 111 , 211 are provided on the source regions 109 s 1 / s 2 , 209 s 1 / s 2 and drain regions 109 d , 209 d , and provide an electrical short between the TFET source region 109 s 1 , 209 s 1 and the thermionic FET source region 109 s 2 , 209 s 2 .
  • the contacts 111 , 211 may be metal layers, or may otherwise have a composition selected to provide good electrical contact to the thermionic FET, particularly as low parasitic resistance (Rpara) may be of greater importance to the functionality of the thermionic FET.
  • a shared or common gate stack including gate dielectric layers 110 , 210 and gate layers 115 , 215 (also referred to herein as a primary gate electrodes) is provided on and between the first and second semiconductor channel layers 101 , 201 and 102 , 202 .
  • the gate dielectric layers 110 , 210 may include high-k, wide bandgap semiconductor or insulator layers, while the gate layers 115 , 215 may include metal layers or moderate bandgap semiconductor layers with high dopant activation.
  • Low-k insulating regions 720 may extend at opposite sides of the gate layers 115 , 215 to provide electrical isolation from the source regions 109 s 1 / s 2 , 209 s 1 / s 2 and drain regions 109 d , 209 d .
  • Doped extension regions 109 e , 209 e may laterally extend from the source regions 109 s 1 / s 2 , 209 s 1 / s 2 and drain regions 109 d , 209 d beyond the low-k insulating regions 720 to contact adjacent ends of the channel layers 101 , 201 and 102 , 202 .
  • a gate contact structure 1115 (also referred to herein as a secondary gate electrode) may extend on upper surfaces and sidewalls of the nanosheet stack 103 , 203 between the spacers 190 to contact the gate layers 115 , 215 .
  • the gate contact structure 1115 may be integrally formed with the gate layers 115 , 215 in some embodiments.
  • the first and second channel layers 101 , 201 and 102 , 202 define different threshold voltages Vt for the TFETs and thermionic FETs, respectively.
  • the different threshold voltages Vt for the channel layers may be achieved by including additional doped regions or layers in the channel layers of either the TFET 101 , 201 or the thermionic FET 102 , 202 .
  • the different doping concentrations may be implemented by forming an extended, moderately doped layer, or a high-concentration, thin layer (“delta-doping”) in either the TFET channel layers 101 , 201 or the thermionic FET channel layers 102 , 202 during epitaxial layer deposition of the stack structure.
  • the different threshold voltages Vt for the channel layers may be achieved by forming the first and second channel layers 101 , 201 and 102 , 202 to different thicknesses.
  • the nanosheet channel layers 101 , 201 for the TFET may be deposited to a lesser thickness than the nanosheet channel layers 102 , 202 for the thermionic FET.
  • the thickness of the TFET channel layers 101 , 201 may still be sufficient to reduce or prevent band-to-band tunneling on the drain side of the devices 100 , 200 at gate voltages greater than the threshold voltage of the thermionic FET.
  • different vertical spacings may be provided between the thermionic FET channel layers 102 , 202 as compared to vertical spacings between the TFET channel layers 101 , 201 , resulting in different gate fill thicknesses therebetween; in combination with changes in material properties of the gate layer, the different vertical spacings could also be used to achieve the different threshold voltages Vt for the channel layers.
  • a structure including a channel layer 101 , 201 or 102 , 202 , a gate dielectric layer 110 , 210 , and a gate layer 115 , 215 defines an individually gated channel region, and is repeated to define a plurality of stacked, individually gated channel regions, also referred to herein as a nanosheet stack 103 , 203 .
  • the nanosheet stack 103 , 203 is thus a three-dimensional structure that may be formed on (e.g., as a protruding fin on a surface of) or within (e.g., in a trench defined in) a substrate 107 , 207 .
  • any number/amount of individually-gated channel layers may be present, for example, based on a desired application.
  • the number or quantity of nanosheet channel layers in the device 100 , 200 may be determined by a targeted current carrying capacity for the TFET and/or the thermionic FET.
  • One or more of the channel layers 101 , 201 and 102 , 202 may be relatively thin (for instance, less than about 10 nanometers in thickness in some embodiments).
  • the substrate 107 , 207 may, for example, be a stress-relaxed buffer (SRB) substrate, a silicon-on-insulator (SOI) substrate, or other substrate.
  • SRB stress-relaxed buffer
  • SOI silicon-on-insulator
  • the devices 100 , 200 can combine both thermionic and tunnel FET operation with the same area footprint as a single nanosheet FET.
  • Providing the combined thermionic FET/tunnel FET in a stacked device structure having a common layout area or footprint may offer greater gate length (Lg) scalability.
  • Devices in accordance with embodiments of the present inventive concepts may offer an order of magnitude improvement (or more) over thermionic FETs for low-V DD operation, while maintaining the capability of high-performance through V DD overdrive.
  • a single device type may offer either a low-V DD mode (in which a thermionic FET may under-perform), or a high-V DD mode (in which a TFET may not deliver the performance worthy of the increased power).
  • FIGS. 3A-3B are graphs illustrating operating modes of combined tunnel FET/thermionic FET devices in accordance with some embodiments of the present inventive concepts.
  • the supply voltage V DD applied to the gate electrode is partitioned into two device operating modes: TFET mode for lower power, lower frequency operation, and thermionic FET mode for higher power, higher frequency operation.
  • FIG. 3A further illustrates example multiple frequency-VDD operation points OPP 1 -OPP 6 for combined tunnel FET/thermionic FET devices, where two operating points OPP 1 and OPP 2 are assigned to the TFET operating mode, and four operating points OPP 3 -OPP 6 are assigned to the thermionic operating mode.
  • a relatively low supply voltage V DD (e.g., about 0.2 V to about 0.3 V), which is lower than the threshold voltage of the thermionic FET Vt(thermionic), can be used for the low-power modes.
  • V DD e.g., about 0.2 V to about 0.3 V
  • the thermionic FET is nearly off (that is, without significant conduction), with low total gate capacitance Cgg in subthreshold (FD electrostatics).
  • the TFET is operating near peak tunnel current (e.g., about 2 orders of magnitude higher than thermionic FET) in the low-power mode.
  • a “standard” supply voltage V DD (e.g., about 0.5 V to about 0.7 V), which is greater than the threshold voltage of the thermionic FET Vt(thermionic), can be used for the high-power modes.
  • V DD e.g., about 0.5 V to about 0.7 V
  • the operation of combined tunnel FET/thermionic FET devices according to embodiments of the inventive concepts is thus divided into two regimes: TFET operation for gate voltages Vg ⁇ Vt (thermionic), and thermionic FET operation for Vg>Vt (thermionic).
  • an on-current of about 1-2 orders of magnitude higher (i.e., substantially greater) than achievable with the TFET can be used in the high-power mode.
  • the off-current Ioff of the thermionic FET can be targeted based on and/or to match the TFET.
  • embodiments of the present inventive concepts can provide a low-power device with greatly enhanced overdrive capability.
  • V DD /frequency operating points may be selected and assigned to TFET operation.
  • a greater number of V DD /frequency points may be assigned to TFET operation than to thermionic FET operation.
  • FIGS. 4-11 are cross sectional views illustrating methods of fabricating an n-channel hybrid tunnel FET/thermionic FET device, such as the device 100 of FIG. 1 , in accordance with some embodiments of the present inventive concepts.
  • the example embodiments of FIGS. 4-11 are shown with reference to indium gallium antimonide (InGaSb)-based channel layers, as InGaSb can be used for both n- and p-type devices; however, it will be understood that embodiments of the present inventive concepts are not limited to such materials, and other materials may also be used.
  • InGaSb indium gallium antimonide
  • a low-defect or defect-free sacrificial layer (illustrated in FIG. 4 as an aluminum antimonide (AlSb) layer 115 ′) is transferred to a substrate (illustrated as a buried oxide (BOX) substrate 107 ′).
  • the substrate 107 ′ may be on a silicon-on-insulator (SOI) wafer (or other substrate-on-insulator) from a stress-relaxed buffer (SRB) donor wafer in some embodiments.
  • SOI silicon-on-insulator
  • SB stress-relaxed buffer
  • An initial nanosheet stack including AlSb sacrificial layers 115 ′ alternating with indium gallium antimonide (InGaSb) nanosheet channel layers 101 ′, 102 ′ is formed on the transferred AlSb sacrificial layer 115 ′, for example, by heteroepitaxial growth.
  • the number of nanosheet channel layers 101 ′, 102 ′ in the stack may be formed as desired for circuit operation. That is, the number of nanosheet channel layers 101 ′, 102 ′ can be different for different circuits on chip, as desired for a particular application.
  • the In x Ga 1-x Sb layer 101 ′ and In y Ga 1-y Sb layer 102 ′ define channel regions of a tunnel FET and thermionic FET, respectively, and may have different concentrations (e.g., x ⁇ y) in some embodiments. While illustrated as single layers, it will be understood that multiple In x Ga 1-x Sb tunnel FET channel layers 101 ′ and/or multiple In y Ga 1-y Sb thermionic FET channel layers 102 ′ may be formed.
  • the respective compositions of the In x Ga 1-x Sb layer 101 ′ and In y Ga 1-y Sb layer 102 ′ may differ, and may be individually selected to increase or optimize characteristics of the tunnel FET and/or the thermionic FET, respectively.
  • the AlSb layers 115 ′ are sacrificial layers, where AlSb was selected as a material for the sacrificial layers based on its etching selectivity relative to InGaSb. As such, it will be understood that embodiments of the inventive concepts are not limited to the particular materials shown in FIGS.
  • a different material may be selected for the sacrificial layers 115 ′ to allow for selective etching relative to the channel layers 101 ′, 102 ′.
  • a sacrificial gate layer 515 ′ and spacers 190 ′ are deposited and patterned on an uppermost sacrificial layer 115 ′ of the nanosheet stack.
  • the sacrificial gate layer 515 ′ and spacers 190 ′ may extend on sidewalls of the stack and on an upper surface therebetween.
  • areas of the stack exposed by the spacers 190 ′ are etched, to provide areas for the source and drain regions to be formed in subsequent operations.
  • FIG. 6 areas of the stack exposed by the spacers 190 ′ are etched, to provide areas for the source and drain regions to be formed in subsequent operations.
  • edges of the AlSb sacrificial layers 115 ′ exposed by the initial etch are laterally etched (for example, using a timed, isotropic selective etch), thereby defining voids 620 (for example, having a “half-moon” shape) at the edges of the AlSb sacrificial layers 115 ′.
  • a selective etch of AlSb (with etch selectivity of up to about 1000:1 relative to InGaSb) may be performed.
  • edges of the sacrificial layers 115 ′ include regions 620 that are laterally recessed relative to the channel layers 101 ′, 102 ′, where the recessed regions 620 are bounded by the channel layers 101 ′, 102 ′ and the spacers 190 ′.
  • a low-k material is deposited over the stack, filling the voids 620 .
  • the spacers 190 ′ can be removed before the low-k material deposition.
  • An anisotropic vertical etch (for example, a plasma or similar etch) is performed, removing the low-k material from regions other than the filled-in voids 620 , thereby defining dielectric suspension regions 720 ′ at the edges of the sacrificial layers 115 ′.
  • the dielectric regions 720 ′ insulate the gate layers (to be formed in subsequent operations) from source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d ′, which are epitaxially grown on opposite sides of the channel layers 101 ′, 102 ′.
  • remaining portions of channel material i.e., portions of In x Ga 1-x Sb 101 ′ and In y Ga 1-y Sb 102 ′ at sidewalls of the stack
  • Source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d ′ may be formed to have an indium (In) composition matching that of the thermionic FET channel layer 102 ′ (that is, with a lower In content than the TFET channel layer 101 ′). While stress-relaxation may be possible due to height and/or mismatch, such stress relaxation may not be problematic in the source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d′.
  • the drain 109 d ′ is fully n-type, while the source includes a p-type portion 109 s 1 ′ defining the source of the n-type tunnel FET, and also an n-type portion 109 s 2 ′ defining the source of the n-type thermionic FET.
  • the n+ source region 109 s 2 ′ may be grown at the same time as the n+ drain region 109 d ′, the n+ source region 109 s 2 ′ may be partially recessed, and the p+ source region 109 s 1 ′ may be grown on the recessed n+ source region 109 s 2 ′.
  • n+ epitaxy may be performed on both the source and drain side to grow regions 109 s 2 ′ and 109 d ′, respectively; the source region 109 s 2 ′ may be partially recessed, with the drain region 109 d ′ masked, such that the recession exposes a sidewall of the TFET channel layer 101 ′; and p+ epitaxy may be performed with the drain region 109 d ′ masked to form the p+ TFET source region 109 s 1 ′ directly on the n+ thermionic FET source region 109 s 2 ′, defining a p-n junction therebetween.
  • the drain region 109 d ′ may be selectively formed while the source side of the stack is masked, and then the source region 109 s 1 ′/ 109 s 2 ′ may be selectively formed while the drain region 109 d ′ is masked.
  • a long-throw PVD process may be used to deposit a polycrystalline source region 109 s 1 ′/ 109 s 2 ′ while the drain region 109 d ′ is masked, where the first portion of PVD process uses n+ doping, and the second portion of the PVD process uses p+ doping.
  • n+ epitaxy may be selectively performed on the drain side (with the source side masked) to form the drain region 109 d ′; long-throw PVD may be performed to deposit polycrystalline InGaSb on the source side (with the drain region 109 d ′ masked), with a first phase of deposition using n+ doping and a second phase of the deposition using p+ doping to define regions 109 s 1 ′ and 109 s 2 ′, respectively; and a chemical-mechanical polishing (CMP) process may be used to remove excess deposited InGaSb.
  • CMP chemical-mechanical polishing
  • FIG. 8 illustrates formation of doped source/drain extension regions 109 e ′ in portions of the channel layers 101 ′, 102 ′ adjacent the low-k dielectric regions 720 ′.
  • an anneal (and/or other appropriate steps) may be performed to define doped extension regions 109 e ′ at ends or edges of the channel layers 101 ′ and 102 ′ adjacent the previously formed dielectric regions 720 ′, contacting the source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d ′.
  • the extension regions 109 e ′ may reduce parasitic resistance (Rpara) by reducing separation between the source region 109 s 1 ′/ 109 s 2 ′ and the subsequently formed gate layers 115 ′′.
  • doped extension regions 109 e ′ may also be used to form the doped extension regions 109 e ′, such as implant or plasma doping prior to the source and drain regrowth in FIG. 7 .
  • the operations of FIG. 8 may be performed prior to or concurrently with the operations of FIG. 7 in some embodiments.
  • spacers 990 ′ are formed on the source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d ′ at opposite sides of the spacers 190 ′, and the source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d ′ are at least partially recessed towards the substrate 107 ′ such that sidewalls thereof are aligned with the spacers 990 ′.
  • a silicide layer 111 ′ or other conductive contact region material is formed on the recessed source and drain regions 109 s 1 ′/ 109 s 2 ′ and 109 d ′.
  • the low interfacial resistivity may be of greater importance in the n+ regions and/or to the functionality of the thermionic FET.
  • the silicide layer 111 ′ provides an electrical short across the p-n junction defined in the source region 109 s 1 ′/ 109 s 2 ′.
  • the sacrificial gate layer 515 ′ and the sacrificial AlSb layers 115 ′ are selectively removed, defining voids 1020 ′ around the remaining InGaSb channel layers 101 ′ and 102 ′.
  • a selective etch of AlSb (with etch selectivity of up to about 1000:1 relative to InGaSb) may be performed, such that the InGaSb channel layers 101 ′ and 102 ′ are largely undisturbed by the etching process.
  • the voids 1020 ′ surrounding the channel layers 101 ′ and 102 ′ are filled with a dielectric layer 110 ′ and a metal layer 115 ′′ to define a wraparound metal gate structure that is common to both the TFET and the thermionic FET.
  • a high-k dielectric material is deposited on to the InGaSb channel layers 101 ′ and 102 ′ to define respective gate dielectric layers 110 ′ thereon, and a metal layer is deposited on the gate dielectric layers 110 ′ to define the metal gate layers 115 ′′ between the channel layers 101 ′ and 102 ′.
  • a gate contact structure 1115 ′ may extend on upper surfaces and sidewalls of the nanosheet stack between the spacers 190 ′ to contact the metal gate layers 115 ′′, where the gate contact structure 1115 ′ may be integrally formed in deposition of the metal layers 115 ′′ in some embodiments.
  • a stacked structure including channel layers 101 ′, 102 ′ with gate layers 115 ′′ therebetween and a wraparound gate structure 110 ′, 115 ′′, 1115 ′ defines a completed nFET device 100 ′.
  • the InGaSb channels 101 ′ and 102 ′ may be intrinsic or undoped n-type in the nFET device 100 ′ of FIG. 11 , and are stacked to define a fin-shaped device structure (or finFET).
  • the threshold voltages Vt of the thermionic and TFET channel layers 102 ′ and 101 ′ may differ, and can be adjusted so that the off-state leakage is approximately the same for both sets of channel layers 102 ′ and 101 ′ (particularly for higher supply voltages; the thermionic device may have reduced leakage at lower supply voltages). Since both sets of channel layers 102 ′ and 101 ′ share the same gate stack (unless additional processing is done to provide distinct gate stacks), one way to introduce a relative, intra-device Vt-shift is to provide a doped layer or region in either the thermionic channel layer(s) 102 ′ or in the TFET channel layer(s) 101 ′, to achieve the higher threshold voltage Vt.
  • the doping level may be sufficiently high to delay the onset of full depletion (FD), thereby raising the threshold voltage Vt, but not so high as to render the layer “undepletable”, i.e., by inducing surface inversion prior to full depletion (FD).
  • the doping level is thus engineered suitably for the nanosheet channel layer thickness used.
  • This doping level can be implemented by including an extended, moderately doped layer, or a high-concentration, thin layer (“delta-doping”) in the channel layer 101 ′ or 102 ′.
  • delta-doping high-concentration, thin layer
  • the channel layer thickness and composition of the TFET and thermionic channel layers 101 ′ and 102 ′ are selected such that band-to-band tunneling (BTBT) does not occur on the drain side during high-V DD operation. While BTBT is required for normal operation of the TFET on the source side, it may contribute to parasitic leakage on the drain side; the same may be true for the drain side of the thermionic FET. This problem can be particularly acute for the TFET, since the composition of the TFET channel layer 101 ′ is selected to promote BTBT (e.g., using a low-bandgap material).
  • BTBT band-to-band tunneling
  • this problem can be solved by reducing the thickness of the channel layers 101 ′ and/or 102 ′ to increase the effective bandgap to the point of acceptable BTBT, and engineering the source-side of the TFET junction to increase the tunneling current (if needed).
  • the TFET source-channel junction may inherently provide significantly more tunneling current than the drain side due to the gate bias (which increases the tunneling window).
  • Additional increases can be engineered by allowing deeper p+ in-diffusion into the TFET channel layer 101 ′ (for example, by increasing the p+ doping); the more heavily doped source extension 109 e ′ that results has a narrower bandgap due to doping-induced bandgap-narrowing.
  • FIGS. 12-19 are cross sectional views illustrating methods of fabricating an n-channel hybrid or combined tunnel FET/thermionic FET device 1200 in accordance with further embodiments of the present inventive concepts. While the embodiments of FIGS. 12-19 are shown with reference to indium gallium arsenide (InGaAs)-based channel layers by way of example, it will be understood that embodiments of the present inventive concepts are not limited to such materials, and other materials may also be used.
  • InGaAs indium gallium arsenide
  • an initial stack including alternating gallium arsenide (GaAs) sacrificial layers 1215 ′ and indium gallium arsenide (InGaAs) channel layers 1201 , 1202 is formed on a substrate 1207 , for example, by epitaxial growth.
  • the substrate is a germanium (Ge) stress-relaxed buffer (SRB) substrate 1207 , but other substrates may be used.
  • the number or amount of InGaAs nanosheet channel layers 1201 , 1202 in the stack may be formed as desired for circuit operation. That is, the number/amount of InGaAs nanosheet channel layers 1201 , 1202 can be different for different circuits on chip, as desired for a particular application.
  • the In x Ga 1-x As channel layer 1201 and In y Ga 1-y As channel layer 1202 define channel regions of a tunnel FET and a thermionic FET, respectively.
  • the respective compositions of the In x Ga 1-x As layer 1201 and In y Ga 1-y As layer 1202 may differ (e.g., x ⁇ y), and may be individually selected to increase or optimize characteristics of the tunnel FET and/or the thermionic FET, respectively.
  • x ⁇ 0.8 for the In x Ga 1-x As channel layer 1201 and y ⁇ 0.5 for the In y Ga 1-y As channel layer 1202 .
  • the InGaAs channel layers 1201 , 1202 may be strained, and may not relax due to respective thicknesses of only a few nanometers. It will be noted that strain in the channel layers 1201 , 1202 may be of lesser importance to device operation, but that relaxation can be avoided to reduce defectivity. Also, while the lower nanosheet(s) 1202 in the stack define one or more thermionic FET channels and the upper nanosheet(s) 1201 in the stack define one or more TFET channels by way of example, the order of the TFETs and thermionic FETs in the stack may be reversed in some embodiments.
  • the GaAs layers 1215 ′ are sacrificial layers, and are nearly or substantially lattice-matched to the Ge SRB substrate 1207 .
  • GaAs may be selected as a material for the sacrificial layers 1215 ′ based on its etching selectivity relative to InGaAs.
  • a different material may be selected for the sacrificial layers 1215 ′ to allow for selective etching relative to the channel layers 1201 , 1202 .
  • a sacrificial gate layer 1315 and spacers 1290 are deposited and patterned on an uppermost sacrificial layer 1215 ′ of the nanosheet stack.
  • the sacrificial gate layer 1315 and spacers 1290 may extend on sidewalls of the stack and on an upper surface therebetween.
  • an initial etch is performed to remove areas of the stack exposed by the spacers 1290 to define areas for the source/drain regions to be formed in subsequent operations. As further illustrated in FIG.
  • edges of the GaAs sacrificial layers 1215 ′ exposed by the initial etch are laterally etched (for example, using a timed, isotropic selective etch), thereby defining voids 1420 (for example, having a “half-moon” shape) at the edges of the GaAs sacrificial layers 1215 ′.
  • a selective etch of GaAs (with etch selectivity of up to about 1000:1 relative to InGaAs) may be performed.
  • edges of the sacrificial layers 1215 ′ include regions 1420 that are laterally recessed relative to the channel layers 1201 , 1202 , where the recessed regions 1420 are bounded or surrounded by the channel layers 1201 , 1202 and the spacers 1290 .
  • a low-k material is deposited over the stack, filling the voids 1420 .
  • the spacers 1290 can be removed before the low-k material deposition.
  • An anisotropic vertical etch (for example, a plasma or similar etch) is performed, removing the low-k material from regions other than the filled-in voids 1420 , thereby defining dielectric suspension regions 1520 at the edges of the sacrificial layers 1215 ′.
  • the dielectric regions 1520 insulate the gate layers (formed in subsequent operations) from the source and drain regions, 1209 s 1 / 1209 s 2 and 1209 d , which are epitaxially grown on opposite sides of the channel layers 1201 , 1202 .
  • remaining portions of channel layer material may be used as seed layers for epitaxial growth of the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d on the Ge SRB substrate 1207 .
  • Other processes can also be used (additionally or alternatively) to form the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d , for example, based on the underlying substrate material.
  • the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d may be formed to have an indium (In) composition matching that of the thermionic FET channel layer 1202 (that is, with a lower In content than the TFET channel layer 1201 ). While stress-relaxation may be possible due to height and/or mismatch, such stress relaxation may not be problematic in the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d.
  • the drain 1209 d is fully n-type, while the source includes a p-type portion 1209 s 1 defining the source of the tunnel FET, and also an n-type portion 1209 s 2 defining the source of the thermionic FET.
  • the n+ source region 1209 s 2 may be grown at the same time as the n+ drain region 1209 d , the n+ source region 1209 s 2 may be partially recessed, and the p+ source region 1209 s 1 may be grown on the recessed n+ source region 1209 s 2 .
  • n+ epitaxy may be performed on both the source and drain side to grow regions 1209 s 2 and 1209 d , respectively; the source region 1209 s 2 may be partially recessed with the drain region 1209 d masked, such that the recession exposes an end portion or sidewall of the TFET channel layer 1201 ; and p+ epitaxy may be performed with the drain region 1209 d masked to form the p+ TFET source region 1209 s 1 directly on the n+ thermionic FET source region 1209 s 2 , defining a p-n junction therebetween.
  • the drain region 1209 d may be selectively formed while the source side of the stack is masked, and then the source region 1209 s 1 / 1209 s 2 may be selectively formed while the drain region 1209 d is masked.
  • a long-throw PVD process may be used to deposit a polycrystalline source region 1209 s 1 / 1209 s 2 while the drain region 1209 d is masked, where the first portion of PVD process uses n+ doping, and the second portion of the PVD process uses p+ doping.
  • n+ epitaxy may be selectively performed on the drain side (with the source side masked) to form the drain region 1209 d ; long-throw PVD may be performed to deposit poly-crystalline InGaAs on the source side (with the drain region 1209 d masked), with a first phase of deposition using n+ doping and a second phase of the deposition using p+ doping to define regions 1209 s 1 and 1209 s 2 , respectively; and a chemical-mechanical polishing (CMP) process may be used to remove excess deposited InGaAs.
  • CMP chemical-mechanical polishing
  • Such a polycrystalline source region may not be problematic for device operation, as the source extension regions 1209 e (discussed below) may be mono-crystalline. It will be understood that, while described above with reference to source/drain fabrication for n-FET devices, the respective doping types may be switched for p-FET devices.
  • FIG. 16 illustrates formation of doped source/drain extension regions 1209 e in portions of the channel layers 1201 , 1202 adjacent the low-k dielectric regions 1520 .
  • an anneal (and/or other appropriate operations) may be performed to define doped extension regions 1209 e at ends or edges of the channel layers 1201 and 1202 adjacent to the previously formed dielectric regions 1520 , contacting the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d .
  • the extension regions 1209 e may reduce parasitic resistance (Rpara) by reducing separation between the source region 1209 s 1 / 1209 s 2 and the subsequently formed gate layers 1215 .
  • doped extension regions 1209 e may also be used to form the doped extension regions 1209 e , such as implant or plasma doping prior to the source and drain regrowth in FIG. 15 .
  • the operations of FIG. 16 may be performed prior to or concurrently with the operations of FIG. 15 in some embodiments.
  • spacers 1790 are formed on the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d at opposite sides of the spacers 1290 , and the source and drain regions 1209 s 1 / 1209 s 2 and 1209 d are at least partially recessed towards the substrate 1207 such that sidewalls thereof are aligned with the spacers 1790 .
  • a silicide layer 1211 or other conductive contact region material is formed on the recessed source and drain regions 1209 s 1 / 1209 s 2 and 1209 d .
  • the low interfacial resistivity may be of greater importance in the n+ regions and/or to the functionality of the thermionic FET.
  • the silicide layer 1211 provides an electrical short across the p-n junction defined in the source region 1209 s 1 / 1209 s 2 .
  • the composition of the silicide or other contact layer 1211 may be selected to provide good contact to the thermionic FET, as low parasitic resistance (Rpara) may be of greater importance to the functionality of the thermionic FET than the tunnel FET.
  • Rpara low parasitic resistance
  • a deposited metal layer may be used for the contacts 1211 .
  • the sacrificial gate layer 1315 and the sacrificial GaAs layers 1215 ′ are selectively removed, defining voids 1820 around the remaining InGaAs channel layers 1201 and 1202 .
  • a selective etch of GaAs (with etch selectivity of up to about 1000:1 relative to InGaAs) may be performed, such that the InGaAs channel layers 1201 and 1202 are largely undisturbed by the etching process.
  • the voids 1820 surrounding the channel layers 1201 and 1202 are filled with a dielectric layer 1210 and a metal layer 1215 to define a wraparound metal gate structure that is common to both the TFET and the thermionic FET.
  • a high-k dielectric material is deposited on to the InGaAs channel layers 1201 and 1202 to define respective gate dielectric layers 1210 thereon, and a metal layer is deposited on the gate dielectric layers 1210 to define the metal gate layers 1215 on and between the channel layers 1201 and 1202 .
  • a gate contact structure 1915 may extend on upper surfaces and sidewalls of the nanosheet stack between the spacers 1290 to contact the metal gate layers 1215 , where the gate contact structure 1915 may be integrally formed in deposition of the metal layers 1215 in some embodiments.
  • a stacked structure including alternating channel layers 1201 , 1202 with gate layers 1215 therebetween and a wraparound gate structure 1210 , 1215 , 1915 defines a completed nFET device 1200 .
  • the InGaAs channels 1201 and 1202 may be intrinsic or undoped n-type in the nFET device 1200 of FIG. 12 , and are stacked to define a finFET structure.
  • Still further embodiments of the present inventive concepts can include a fully-crystalline nanosheet stack, including channel layers 101 , 102 , gate layers 115 , and gate dielectric layers 110 formed from monocrystalline semiconductor and/or insulating materials.
  • a complete device stack including crystalline gate dielectric layers 110 , gate layers 115 , and channel layers 101 , 102 can be formed, for example, by heteroepitaxial growth.
  • the gate dielectric layers 110 may be a crystalline semiconductor or insulating layer on the substrate 107 , and the gate layers 115 and the channel layers 101 , 102 may be grown on the crystalline gate dielectric layer 110 , such that interfaces with the channel layers 101 , 102 are free of amorphous or non-crystalline materials (which may reduce or eliminate surface roughness scattering, improving mobility for at least the thermionic FET), and may be free of low-k crystalline buffer layers (which may reduce the equivalent gate oxide thickness, improving short channel performance for at least the thermionic FET).
  • the gate dielectric layer 110 may be a high-k crystalline insulating layer, such as calcium fluoride (CaF 2 ), zinc sulfide (ZnS), praseodymium oxide (Pr 2 O 3 ), and/or gadolinium oxide (Gd 2 O 3 ).
  • the gate dielectric layer 110 may be a high-k crystalline wide-bandgap Group II-VI semiconductor layer, such as zinc selenide (ZnSe).
  • the gate layer 115 may be a heavily-doped semiconductor.
  • the structure may include a highly-doped aluminum antimonide (AlSb) gate layer 115 , and each of the gate 115 , channel 101 / 102 , and gate dielectric layers 110 may be monocrystalline in some embodiments.
  • AlSb aluminum antimonide
  • the top gate 1115 surrounding or “wrapping” the stack of nanosheets can be metal or polycrystalline semiconductor.
  • Embodiments of present inventive concepts may provide several advantages. For example, combined TFET/thermionic FET nanosheet devices as described herein allow for extreme-low-power operation, at very low supply voltages V DD , in addition to high-performance operation at moderate supply voltages, combined in a single device. More particularly, embodiments of present inventive concepts may allow an available range of supply voltages V DD of about 0.2 to about 0.3V, which is well below that which is typically achievable with a thermionic FET. In addition, embodiments of present inventive concepts further allow for high-performance operation at moderate supply voltages V DD in a range of about 0.5V to about 0.6V.
  • nanosheet stack structures in accordance with embodiments of the present inventive concepts can be suitable for aggressive gate length Lg scaling, in contrast with some planar structures.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below.
  • the device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein may be interpreted accordingly.
  • a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers may also be present.
  • Embodiments are described herein with reference to cross-sectional and/or perspective illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region.
  • a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place.
  • the regions illustrated in the Figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the present inventive concept.
  • devices and methods of forming devices according to various embodiments described herein may be embodied in microelectronic devices such as integrated circuits, wherein a plurality of devices according to various embodiments described herein are integrated in the same microelectronic device. Accordingly, the cross-sectional view(s) illustrated herein may be replicated in two different directions, which need not be orthogonal, in the microelectronic device.
  • a plan view of the microelectronic device that embodies devices according to various embodiments described herein may include a plurality of the devices in an array and/or in a two-dimensional pattern that is based on the functionality of the microelectronic device.
  • the devices according to various embodiments described herein may be interspersed among other devices depending on the functionality of the microelectronic device. Moreover, devices according to various embodiments described herein may be replicated in a third direction that may be orthogonal to the two different directions, to provide three-dimensional integrated circuits.
  • the cross-sectional view(s) illustrated herein provide support for a plurality of devices according to various embodiments described herein that extend along two different directions in a plan view and/or in three different directions in a perspective view.
  • the device/structure may include a plurality of active regions and transistor structures thereon, as would be illustrated by a plan view of the device/structure.

Abstract

A field effect transistor (FET) includes a nanosheet stack having first and second stacked semiconductor channel layers. The first channel layer defines a channel region of a tunnel FET, and the second channel layer defines a channel region of a thermionic FET. Source and drain regions are provided on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween. A first portion of the source region adjacent the first channel layer and a second portion of the source region adjacent the second channel layer have opposite semiconductor conductivity types. Related fabrication and operating methods are also discussed.

Description

CLAIM OF PRIORITY
This application claims priority from U.S. Provisional Patent Application No. 62/027,195 entitled “A Thermionically-Overdriven Tunnel FET” filed on Jul. 21, 2014, the disclosure of which is incorporated by reference herein in its entirety.
BACKGROUND
As supply voltage VDD is reduced with each successive technology node, thermionically-injected FETs (in which electrons or holes are injected into the channel by propagating over, rather than through, an energy barrier) may suffer from decreased gate overdrive VOV (the portion of the gate-source voltage in excess of the threshold voltage Vt). This may be attributed to a fundamental lower bound (60 mV/dec) on the sub-threshold slope SS of thermionically-injected FETs. The bounded sub-threshold slope SS may indicate that, at fixed leakage current levels, the threshold voltage Vt may not scale with supply voltage VDD. The lack of scaling of the threshold voltage Vt can lead to reduced gate overdrive as supply voltage VDD is reduced, and an overall degradation in performance of the thermionically-injected FET. As such, thermionic FETs can provide improved performance for higher-power applications, but may be limited in low-power regimes by the fixed lower bound of the subthreshold slope SS.
In contrast with thermionic FETs, tunnel FETs or TFETs (in which electrons or holes are injected into the channel by propagating through, rather than over, an energy barrier) may not have a fixed lower bound on the sub-threshold slope SS. Since injection into the channel can be governed by tunneling rather than energy sampling from a Fermi-Dirac distribution, the sub-threshold drain current (Id)-gate voltage (Vg) curve can be relatively steeper than that of thermionic FETs. The threshold voltage Vt of the TFET devices can therefore be relatively lower than that of thermionic devices, for the same off-current Ioff. As a result, TFETs may offer improved low-supply voltage VDD performance as compared to thermionic FETs. However, higher-supply voltage VDD performance of TFETs may be more limited, as the tunneling nature of the source-channel injection can limit performance. In other words, tunnel FETs can provide improved performance for lower-frequency applications, but may not be overdriven to sufficient performance levels for higher-frequency applications.
SUMMARY
Embodiments of the present inventive concepts provide a hybrid or combined tunnel FET/thermionic FET device to satisfy both low-frequency and high-frequency requirements within a same footprint, and thus, without layout area penalty. Embodiments of the present inventive concepts further provide operating methods in which the supply voltage modes are partitioned into two operating modes: TFET (low-frequency) and thermionic FET (high-frequency), in which TFET mode allows lower supply voltages VDD for the low-frequency operation.
According to some embodiments of the inventive concepts, a field effect transistor (FET) includes a nanosheet stack having first and second stacked semiconductor channel layers. The first channel layer defines a channel region of a tunnel FET, and the second channel layer defines a channel region of a thermionic FET. Source and drain regions are provided on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween. A first portion of the source region adjacent the first channel layer and a second portion of the source region adjacent the second channel layer have opposite semiconductor conductivity or doping types.
In some embodiments, the nanosheet stack may further include respective gate layers on opposing surfaces of the first and second channel layers, and respective gate dielectric layers between the gate layers and the first and second channel layers.
In some embodiments, a threshold voltage of the tunnel FET may be less than a threshold voltage of the thermionic FET.
In some embodiments, the first and second channel layers may include different dopant concentrations and/or different thicknesses.
In some embodiments, a thickness of the first channel layer may be sufficient to prevent band-to-band tunneling therein at the drain region of the device (as BTBT may be necessary at the source region) at gate voltages greater than the threshold voltage of the thermionic FET.
In some embodiments, a thickness of the second channel layer may be greater than that of the first channel layer.
In some embodiments, the nanosheet stack may include a plurality of the first and second channel layers, and respective spacings between ones of the first channel layers may differ from respective spacings between ones of the second channel layers.
In some embodiments, respective doped extension regions may be provided at opposite ends of the first and second channel layers adjacent the source and drain regions. The doped extension regions may extend between the gate layers.
In some embodiments, respective dielectric suspension regions may separate ends of the gate layers from the source and drain regions adjacent thereto. The doped extension regions may laterally extend from the source and drain regions to the first and second channel layers between the gate layers and beyond the dielectric suspension regions.
In some embodiments, respective contacts may be provided on the source and drain regions. The contact on the source region may electrically connect the first and second portions thereof having the opposite semiconductor conductivity or doping types.
In some embodiments, the first and second channel layers may include different semiconductor materials or different compositions of a same semiconductor material.
In some embodiments, at least one of the first and second channel layers may include Group III-V semiconductor materials or Group IV semiconductor materials. The Group III-V semiconductor materials may include indium gallium arsenide (InGaAs), indium arsenide (InAs), indium antimonide (InSb), and/or indium gallium antimonide (InGaSb), and the Group IV semiconductor materials may include silicon (Si), germanium (Ge), and/or silicon germanium (SiGe).
In some embodiments, the first and second channel layers and the source and drain regions may be epitaxial layers.
In some embodiments, the nanosheet stack may be a heteroepitaxial stack including crystalline semiconductor first and second channel layers, crystalline semiconductor gate layers, and crystalline semiconductor or insulating gate dielectric layers. Respective interfaces between the first and second channel layers and the gate dielectric layers may be free of non-crystalline materials.
According to further embodiments of the inventive concepts, in a method of fabricating a field effect transistor (FET), a nanosheet stack including first and second stacked semiconductor channel layers is provided. The first channel layer defines a channel region of a tunnel FET, and the second channel layer defines a channel region of a thermionic FET. Source and drain regions are formed on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween. A first portion of the source region adjacent the first channel layer and a second portion of the source region adjacent the second channel layer have opposite semiconductor conductivity or doping types.
In some embodiments, in providing the nanosheet stack respective gate dielectric layers may be formed on opposing surfaces of the first and second channel layers, and gate layers may be formed on the gate dielectric layers on and between the first and second channel layers.
In some embodiments, in providing the nanosheet stack, the first and second channel layers may be formed to include different dopant concentrations and/or to different thicknesses such that a threshold voltage of the tunnel FET may be less than a threshold voltage of the thermionic FET.
In some embodiments, the first channel layer may be formed to a thickness sufficient to prevent band-to-band tunneling therein at the drain region at gate voltages greater than the threshold voltage of the thermionic FET.
In some embodiments, the second channel layer may be formed to a thickness greater than that of the first channel layer.
In some embodiments, in providing the nanosheet stack, a plurality of the first and second channel layers may be formed. Respective spacings between ones of the first channel layers may differ from respective spacings between ones of the second channel layers.
In some embodiments, respective doped extension regions may be formed at opposite ends of the first and second channel layers adjacent the source and drain regions and extending between the gate layers.
In some embodiments, respective dielectric suspension regions may be formed to separate ends of the gate layers from the source and drain regions adjacent thereto. The doped extension regions may laterally extend from the source and drain regions to the first and second channel layers between the gate layers and beyond the dielectric suspension regions.
In some embodiments, respective contacts may be formed on the source and drain regions. The contact on the source region may electrically connect the first and second portions thereof.
In some embodiments, the first and second channel layers and the source and drain regions may be epitaxial layers.
According to still further embodiments of the inventive concepts, in a method of operating a field-effect transistor (FET), a first supply voltage is applied to a gate electrode extending between first and second stacked semiconductor channel layers in a first operating mode. The first and second channel layers extend between a drain region and a source region including portions of opposite semiconductor conductivity or doping types, and the first supply voltage is sufficient to cause significant conduction in the first channel layer but insufficient to cause significant conduction in the second channel layer. A second supply voltage is applied to the gate electrode in a second operating mode. The second supply voltage is sufficient to cause significant conduction in the second channel layer that is substantially greater than the conduction in the first channel layer.
In some embodiments, the first channel layer may define a channel region of a tunnel FET, and the second channel layer may define a channel region of a thermionic FET having a threshold voltage greater than that of the tunnel FET.
In some embodiments, the respective threshold voltages may provide a substantially same off-state leakage for the tunnel FET and the thermionic FET in the first and second operating modes, respectively.
In some embodiments, a thickness of the first channel layer may be sufficient to prevent band-to-band tunneling therein at the drain region responsive to application of the second supply voltage to the gate electrode in the second operating mode.
According to yet further embodiments of the inventive concepts, a heterogeneous nanosheet FET includes a first sheet configured to operate in a tunneling mode, and a second sheet configured to operate in a thermionic mode. The first and second sheets are stacked in a direction normal to a film plane.
According to still yet further embodiments of the inventive concepts, a method of fabricating a heterogeneous nanosheet FET includes providing a first sheet configured to operate in a tunneling mode, and providing a second sheet configured to operate in a thermionic mode. The first and second sheets are stacked in a direction normal to a film plane.
Other devices and/or methods according to some embodiments will become apparent to one with skill in the art upon review of the following drawings and detailed description. It is intended that all such additional embodiments, in addition to any and all combinations of the above embodiments, be included within this description, be within the scope of the inventive concepts, and be protected by the accompanying claims.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a cross sectional view illustrating an n-channel hybrid tunnel FET/thermionic FET device in accordance with some embodiments of the present inventive concepts.
FIG. 2 is a cross sectional view illustrating a p-channel hybrid tunnel FET/thermionic FET device in accordance with some embodiments of the present inventive concepts.
FIGS. 3A-3B are graphs illustrating operating modes of hybrid tunnel FET/thermionic FET devices in accordance with some embodiments of the present inventive concepts.
FIGS. 4-11 are cross sectional views illustrating methods of fabricating an n-channel hybrid tunnel FET/thermionic FET device in accordance with some embodiments of the present inventive concepts.
FIGS. 12-19 are cross sectional views illustrating methods of fabricating an re-channel hybrid tunnel FET/thermionic FET device in accordance with further embodiments of the present inventive concepts.
DETAILED DESCRIPTION
Various embodiments will now be described more fully with reference to the accompanying drawings in which some embodiments are shown. These inventive concepts may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure is thorough and complete and fully conveys the inventive concept to those skilled in the art. In the drawings, the sizes and relative sizes of layers and regions are not shown to scale, and in some instances may be exaggerated for clarity.
The following description is presented to enable one of ordinary skill in the art to make and use the inventive concepts and is provided in the context of a patent application and its requirements. Various modifications to the exemplary embodiments and the generic principles and features described herein will be readily apparent. The exemplary embodiments are mainly described in terms of particular methods and devices provided in particular implementations. However, the methods and devices may operate effectively in other implementations. Phrases such as “exemplary embodiment”, “one embodiment” and “another embodiment” may refer to the same or different embodiments as well as to multiple embodiments. The embodiments will be described with respect to systems and/or devices having certain components. However, the systems and/or devices may include fewer or additional components than those shown, and variations in the arrangement and type of the components may be made without departing from the scope of the inventive concepts. The exemplary embodiments will also be described in the context of particular methods having certain steps or operations. However, the methods and devices may operate effectively for other methods having different and/or additional steps/operations and steps/operations in different orders that are not inconsistent with the exemplary embodiments. Thus, the present inventive concepts are not intended to be limited to the embodiments shown, but is to be accorded the widest scope consistent with the principles and features described herein.
Some embodiments of the present inventive concepts may arise from realization that neither thermionic FETs nor tunnel FETs can operate over a wide range of supply voltages VDD with good performance. This may create practical problems for CPU design; for example, while a CPU may typically operate in low-VDD/low-power mode, it should be capable of occasional bursts of high-performance, which may be achieved by supply voltage VDD overdrive.
Embodiments of the present inventive concepts introduce a FET device that combines features of thermionically-injected FETs and tunnel FETs (TFETs) in a single device structure, having a same layout area or footprint relative to a single nanosheet-based FET. The device structure includes a stack of several semiconductor nanosheets, associated epitaxially-grown source and drain regions, and a high-k semiconductor or metal gate stack that wraps the entire set/stack of nanosheets. The lower or bottom set of nanosheets can define the thermionically injected FET, while the upper or top set of nanosheets can define the TFET, or vice versa. The TFET may be distinguished by a source region having an opposite doping type than the drain region. For example, for an n-channel device, the source region of the thermionic FET is n+, while the source region of the TFET is p+ (the doping types are reversed for a p-channel device). The p-n junction between the source region and TFET channel creates the band-to-band tunneling (BTBT) region required for TFET operation.
Embodiments of the present inventive concepts further provide multiple operating modes for the combined thermionic FET/tunnel FET device: a first mode in which the device is operated as a TFET using a supply voltage VDD below the thermionic FET threshold voltage Vt(thermionic), and a second mode in which the device is operated as a thermionic FET by increasing the supply voltage VDD beyond the thermionic FET threshold voltage Vt(thermionic). The TFET mode can be used for low-power, non-performance-critical operations, while the thermionic mode can be used during high-power or peak performance times. In addition, in the TFET mode, there may be very little additional capacitive loading due to the presence of the thermionic FET, as the thermionic FET is deep in the sub-threshold regime and has low gate capacitance (e.g., only parasitic capacitance may contribute to capacitive loading). Thus, by combining two types of transistors into a single device structure, low-frequency, low-VDD operation can be enabled in a TFET operating mode, while high-frequency, high-power operation can be enabled in a thermionic operating mode, without increasing the device layout area beyond that required for a single TFET or thermionic FET.
Heterogeneous nanosheet or nanowire-based structures that combine a thermionic FET and a tunnel FET according to embodiments of the inventive concepts are illustrated by way of example in FIGS. 1 and 2. In particular, FIGS. 1 and 2 are cross sectional views illustrating heterogeneous nanosheet stack structures 103, 203 for n-channel and p- channel devices 100 and 200, respectively. Referring now to FIGS. 1 and 2, the devices 100, 200 include nanosheet semiconductor active or body layers defining first channel layers or regions 101, 201 for TFETs, and second channel layers or regions 102, 202 for thermionic FETs. In FIGS. 1 and 2, the lower nanosheets in the stack 103, 203 define one or more thermionic FET channels, while the upper nanosheets in the stack 103, 203 define one or more TFET channels by way of example; however, the order of the TFETs and thermionic FETs in the stack 103, 203 may be reversed in some embodiments.
The first and second channel layers 101, 201 and 102, 202 may include the same or common semiconductor materials in different concentrations, or different semiconductor materials. For example, in some embodiments, the TFET channel layers 101, 201 and thermionic FET channel layers 102, 202 may include Group III-V semiconductor materials (such as indium gallium arsenide (InGaAs), indium arsenide (InAs), indium antimonide (InSb), and/or indium gallium antimonide (InGaSb)), and/or may include Group IV semiconductor materials (such as silicon (Si), germanium (Ge), and/or silicon germanium (SiGe)). In particular embodiments, InGaSb-based channels may be used to provide good pFET thermionic performance if Group III-V channel materials are specified. The stoichiometry of the channel layers 201, 202 of the pFET 200 is not necessarily identical to that of the channel layers 101, 102 of the nFET 100, but similar stoichiometries may be used for the channel layers of both the nFET 100 and the pFET 200 for ease of integration.
Source regions 109 s 1/ s 2, 209 s 1/ s 2 and drain regions 109 d, 209 d are provided at opposite sides of the first and second channel layers 101, 201 and 102, 202, and contact the first and second channel layers 101/201 and 102/202 via source/ drain extension regions 109 e, 209 e. The source regions 109 s 1/ s 2, 209 s 1/ s 2 and drain regions 109 d, 209 d may be highly doped to provide low contact resistance, and may be formed of the same material as the channel layers 101, 201 and 102, 202 in some embodiments. For example, the source regions 109 s 1/ s 2, 209 s 1/ s 2 and drain regions 109 d, 209 d may be epitaxially grown from the channel layer material. The extension regions 109 e, 209 e may be doped portions of the channel layers 101, 201 and 102, 202 extending from ends thereof to contact the source and drain regions 109 s 1/ s 2, 209 s 1/ s 2 and 109 d, 209 d in some embodiments.
As shown in FIGS. 1 and 2, the TFET source regions 109 s 1, 209 s 1 have an opposite conductivity type to the thermionic FET source region 109 s 2, 209 s 2. In particular, the source region 109 s 1 of the n-channel device 100 has a p-type doping, while the source region 209 s 1 of the p-channel device 200 has an n-type doping. The source regions 109 s 1/ s 2, 209 s 1/ s 2 thus respectively include a p-n junction between the TFET source region 109 s 1, 209 s 1 and the thermionic FET source region 109 s 2, 209 s 2. Contacts 111, 211 are provided on the source regions 109 s 1/ s 2, 209 s 1/ s 2 and drain regions 109 d, 209 d, and provide an electrical short between the TFET source region 109 s 1, 209 s 1 and the thermionic FET source region 109 s 2, 209 s 2. The contacts 111, 211 may be metal layers, or may otherwise have a composition selected to provide good electrical contact to the thermionic FET, particularly as low parasitic resistance (Rpara) may be of greater importance to the functionality of the thermionic FET.
A shared or common gate stack including gate dielectric layers 110, 210 and gate layers 115, 215 (also referred to herein as a primary gate electrodes) is provided on and between the first and second semiconductor channel layers 101, 201 and 102, 202. The gate dielectric layers 110, 210 may include high-k, wide bandgap semiconductor or insulator layers, while the gate layers 115, 215 may include metal layers or moderate bandgap semiconductor layers with high dopant activation. Low-k insulating regions 720 (such as oxide regions) may extend at opposite sides of the gate layers 115, 215 to provide electrical isolation from the source regions 109 s 1/ s 2, 209 s 1/ s 2 and drain regions 109 d, 209 d. Doped extension regions 109 e, 209 e may laterally extend from the source regions 109 s 1/ s 2, 209 s 1/ s 2 and drain regions 109 d, 209 d beyond the low-k insulating regions 720 to contact adjacent ends of the channel layers 101, 201 and 102, 202. The relatively small separation between the source regions 109 s 1/ s 2, 209 s 1/ s 2 and the gate layers 115, 215 may reduce parasitic resistance, particularly in comparison to some planar devices. A gate contact structure 1115 (also referred to herein as a secondary gate electrode) may extend on upper surfaces and sidewalls of the nanosheet stack 103, 203 between the spacers 190 to contact the gate layers 115, 215. The gate contact structure 1115 may be integrally formed with the gate layers 115, 215 in some embodiments.
The first and second channel layers 101, 201 and 102, 202 define different threshold voltages Vt for the TFETs and thermionic FETs, respectively. The different threshold voltages Vt for the channel layers may be achieved by including additional doped regions or layers in the channel layers of either the TFET 101, 201 or the thermionic FET 102, 202. For example, the different doping concentrations may be implemented by forming an extended, moderately doped layer, or a high-concentration, thin layer (“delta-doping”) in either the TFET channel layers 101, 201 or the thermionic FET channel layers 102, 202 during epitaxial layer deposition of the stack structure. Additionally or alternatively, the different threshold voltages Vt for the channel layers may be achieved by forming the first and second channel layers 101, 201 and 102, 202 to different thicknesses. For example, the nanosheet channel layers 101, 201 for the TFET may be deposited to a lesser thickness than the nanosheet channel layers 102, 202 for the thermionic FET. The thickness of the TFET channel layers 101, 201 may still be sufficient to reduce or prevent band-to-band tunneling on the drain side of the devices 100, 200 at gate voltages greater than the threshold voltage of the thermionic FET. Also, different vertical spacings may be provided between the thermionic FET channel layers 102, 202 as compared to vertical spacings between the TFET channel layers 101, 201, resulting in different gate fill thicknesses therebetween; in combination with changes in material properties of the gate layer, the different vertical spacings could also be used to achieve the different threshold voltages Vt for the channel layers.
A structure including a channel layer 101, 201 or 102, 202, a gate dielectric layer 110, 210, and a gate layer 115, 215 defines an individually gated channel region, and is repeated to define a plurality of stacked, individually gated channel regions, also referred to herein as a nanosheet stack 103, 203. The nanosheet stack 103, 203 is thus a three-dimensional structure that may be formed on (e.g., as a protruding fin on a surface of) or within (e.g., in a trench defined in) a substrate 107, 207. Although illustrated with reference to a single channel layer for each of the TFET and the thermionic FET for ease of explanation, it will be understood that any number/amount of individually-gated channel layers may be present, for example, based on a desired application. For example, the number or quantity of nanosheet channel layers in the device 100, 200 may be determined by a targeted current carrying capacity for the TFET and/or the thermionic FET. One or more of the channel layers 101, 201 and 102, 202 may be relatively thin (for instance, less than about 10 nanometers in thickness in some embodiments). The substrate 107, 207 may, for example, be a stress-relaxed buffer (SRB) substrate, a silicon-on-insulator (SOI) substrate, or other substrate.
By stacking the sheets in vertical or non-planar configuration, the devices 100, 200 can combine both thermionic and tunnel FET operation with the same area footprint as a single nanosheet FET. Providing the combined thermionic FET/tunnel FET in a stacked device structure having a common layout area or footprint may offer greater gate length (Lg) scalability. Devices in accordance with embodiments of the present inventive concepts may offer an order of magnitude improvement (or more) over thermionic FETs for low-VDD operation, while maintaining the capability of high-performance through VDD overdrive. In contrast, a single device type may offer either a low-VDD mode (in which a thermionic FET may under-perform), or a high-VDD mode (in which a TFET may not deliver the performance worthy of the increased power). By combining TFETs and thermionic FETs in a single device, however, embodiments of the present inventive concepts allow for switching between both operating modes.
FIGS. 3A-3B are graphs illustrating operating modes of combined tunnel FET/thermionic FET devices in accordance with some embodiments of the present inventive concepts. As shown in FIG. 3A, the supply voltage VDD applied to the gate electrode is partitioned into two device operating modes: TFET mode for lower power, lower frequency operation, and thermionic FET mode for higher power, higher frequency operation. FIG. 3A further illustrates example multiple frequency-VDD operation points OPP1-OPP6 for combined tunnel FET/thermionic FET devices, where two operating points OPP1 and OPP2 are assigned to the TFET operating mode, and four operating points OPP3-OPP6 are assigned to the thermionic operating mode.
More particularly, as shown in FIG. 3B, a relatively low supply voltage VDD (e.g., about 0.2 V to about 0.3 V), which is lower than the threshold voltage of the thermionic FET Vt(thermionic), can be used for the low-power modes. At these lower supply voltages, the thermionic FET is nearly off (that is, without significant conduction), with low total gate capacitance Cgg in subthreshold (FD electrostatics). In contrast, the TFET is operating near peak tunnel current (e.g., about 2 orders of magnitude higher than thermionic FET) in the low-power mode.
Still referring to FIG. 3B, a “standard” supply voltage VDD (e.g., about 0.5 V to about 0.7 V), which is greater than the threshold voltage of the thermionic FET Vt(thermionic), can be used for the high-power modes. The operation of combined tunnel FET/thermionic FET devices according to embodiments of the inventive concepts is thus divided into two regimes: TFET operation for gate voltages Vg<Vt (thermionic), and thermionic FET operation for Vg>Vt (thermionic). As such, an on-current of about 1-2 orders of magnitude higher (i.e., substantially greater) than achievable with the TFET can be used in the high-power mode. Also, the off-current Ioff of the thermionic FET can be targeted based on and/or to match the TFET. As a result, embodiments of the present inventive concepts can provide a low-power device with greatly enhanced overdrive capability.
Although illustrated in FIG. 3A with reference to only two operating points OPP1 and OPP2 in the TFET mode, it will be understood that multiple VDD/frequency operating points may be selected and assigned to TFET operation. For example, in some embodiments a greater number of VDD/frequency points may be assigned to TFET operation than to thermionic FET operation.
FIGS. 4-11 are cross sectional views illustrating methods of fabricating an n-channel hybrid tunnel FET/thermionic FET device, such as the device 100 of FIG. 1, in accordance with some embodiments of the present inventive concepts. The example embodiments of FIGS. 4-11 are shown with reference to indium gallium antimonide (InGaSb)-based channel layers, as InGaSb can be used for both n- and p-type devices; however, it will be understood that embodiments of the present inventive concepts are not limited to such materials, and other materials may also be used.
Referring now to FIG. 4, a low-defect or defect-free sacrificial layer (illustrated in FIG. 4 as an aluminum antimonide (AlSb) layer 115′) is transferred to a substrate (illustrated as a buried oxide (BOX) substrate 107′). The substrate 107′ may be on a silicon-on-insulator (SOI) wafer (or other substrate-on-insulator) from a stress-relaxed buffer (SRB) donor wafer in some embodiments. An initial nanosheet stack including AlSb sacrificial layers 115′ alternating with indium gallium antimonide (InGaSb) nanosheet channel layers 101′, 102′ is formed on the transferred AlSb sacrificial layer 115′, for example, by heteroepitaxial growth. The number of nanosheet channel layers 101′, 102′ in the stack may be formed as desired for circuit operation. That is, the number of nanosheet channel layers 101′, 102′ can be different for different circuits on chip, as desired for a particular application.
Still referring to FIG. 4, the InxGa1-xSb layer 101′ and InyGa1-ySb layer 102′ define channel regions of a tunnel FET and thermionic FET, respectively, and may have different concentrations (e.g., x≠y) in some embodiments. While illustrated as single layers, it will be understood that multiple InxGa1-xSb tunnel FET channel layers 101′ and/or multiple InyGa1-ySb thermionic FET channel layers 102′ may be formed. The respective compositions of the InxGa1-xSb layer 101′ and InyGa1-ySb layer 102′ may differ, and may be individually selected to increase or optimize characteristics of the tunnel FET and/or the thermionic FET, respectively. The AlSb layers 115′ are sacrificial layers, where AlSb was selected as a material for the sacrificial layers based on its etching selectivity relative to InGaSb. As such, it will be understood that embodiments of the inventive concepts are not limited to the particular materials shown in FIGS. 4-11, and, in embodiments where other semiconductor materials are selected for the channel layers 101′, 102′ of tunnel FETs and thermionic FETs, a different material may be selected for the sacrificial layers 115′ to allow for selective etching relative to the channel layers 101′, 102′.
Referring to FIG. 5, a sacrificial gate layer 515′ and spacers 190′ are deposited and patterned on an uppermost sacrificial layer 115′ of the nanosheet stack. The sacrificial gate layer 515′ and spacers 190′ may extend on sidewalls of the stack and on an upper surface therebetween. As shown in FIG. 6, areas of the stack exposed by the spacers 190′ are etched, to provide areas for the source and drain regions to be formed in subsequent operations. As further illustrated in FIG. 6, edges of the AlSb sacrificial layers 115′ exposed by the initial etch are laterally etched (for example, using a timed, isotropic selective etch), thereby defining voids 620 (for example, having a “half-moon” shape) at the edges of the AlSb sacrificial layers 115′. For instance, a selective etch of AlSb (with etch selectivity of up to about 1000:1 relative to InGaSb) may be performed. As such, edges of the sacrificial layers 115′ include regions 620 that are laterally recessed relative to the channel layers 101′, 102′, where the recessed regions 620 are bounded by the channel layers 101′, 102′ and the spacers 190′.
Referring now to FIG. 7, a low-k material is deposited over the stack, filling the voids 620. In some embodiments, the spacers 190′ can be removed before the low-k material deposition. An anisotropic vertical etch (for example, a plasma or similar etch) is performed, removing the low-k material from regions other than the filled-in voids 620, thereby defining dielectric suspension regions 720′ at the edges of the sacrificial layers 115′. The dielectric regions 720′ insulate the gate layers (to be formed in subsequent operations) from source and drain regions 109 s 1′/109 s 2′ and 109 d′, which are epitaxially grown on opposite sides of the channel layers 101′, 102′. For example, remaining portions of channel material (i.e., portions of InxGa1-xSb 101′ and InyGa1-ySb 102′ at sidewalls of the stack) may be used as seed layers for epitaxial growth of the source and drain regions 109 s 1′/109 s 2′ and 109 d′ on the BOX substrate 107′. Other processes can also be used (additionally or alternatively) to form the source and drain regions 109 s 1′/109 s 2′ and 109 d′, depending on underlying the substrate material (e.g., if the substrate 107′ is not BOX). The source and drain regions 109 s 1′/109 s 2′ and 109 d′ may be formed to have an indium (In) composition matching that of the thermionic FET channel layer 102′ (that is, with a lower In content than the TFET channel layer 101′). While stress-relaxation may be possible due to height and/or mismatch, such stress relaxation may not be problematic in the source and drain regions 109 s 1′/109 s 2′ and 109 d′.
As discussed above, for combined tunnel/thermionic n-FET device 100′, the drain 109 d′ is fully n-type, while the source includes a p-type portion 109 s 1′ defining the source of the n-type tunnel FET, and also an n-type portion 109 s 2′ defining the source of the n-type thermionic FET. In some embodiments, to fabricate the source regions to include portions 109 s 1′ and 109 s 2′ having different semiconductor conductivity types, the n+ source region 109 s 2′ may be grown at the same time as the n+ drain region 109 d′, the n+ source region 109 s 2′ may be partially recessed, and the p+ source region 109 s 1′ may be grown on the recessed n+ source region 109 s 2′. In particular: n+ epitaxy may be performed on both the source and drain side to grow regions 109 s 2′ and 109 d′, respectively; the source region 109 s 2′ may be partially recessed, with the drain region 109 d′ masked, such that the recession exposes a sidewall of the TFET channel layer 101′; and p+ epitaxy may be performed with the drain region 109 d′ masked to form the p+ TFET source region 109 s 1′ directly on the n+ thermionic FET source region 109 s 2′, defining a p-n junction therebetween.
Alternatively, to fabricate the source regions to include portions 109 s 1′ and 109 s 2′ having different conductivity types, the drain region 109 d′ may be selectively formed while the source side of the stack is masked, and then the source region 109 s 1′/109 s 2′ may be selectively formed while the drain region 109 d′ is masked. For example, after growth of the drain region 109 d′, a long-throw PVD process may be used to deposit a polycrystalline source region 109 s 1′/109 s 2′ while the drain region 109 d′ is masked, where the first portion of PVD process uses n+ doping, and the second portion of the PVD process uses p+ doping. In particular: n+ epitaxy may be selectively performed on the drain side (with the source side masked) to form the drain region 109 d′; long-throw PVD may be performed to deposit polycrystalline InGaSb on the source side (with the drain region 109 d′ masked), with a first phase of deposition using n+ doping and a second phase of the deposition using p+ doping to define regions 109 s 1′ and 109 s 2′, respectively; and a chemical-mechanical polishing (CMP) process may be used to remove excess deposited InGaSb. Such a polycrystalline source region may not be problematic for device operation, as the source extension regions 109 e′ (discussed below) may be mono-crystalline. It will be understood that, while described above with reference to source/drain fabrication for n-FET devices, the respective doping types may be switched for p-FET devices.
FIG. 8 illustrates formation of doped source/drain extension regions 109 e′ in portions of the channel layers 101′, 102′ adjacent the low-k dielectric regions 720′. In particular, an anneal (and/or other appropriate steps) may be performed to define doped extension regions 109 e′ at ends or edges of the channel layers 101′ and 102′ adjacent the previously formed dielectric regions 720′, contacting the source and drain regions 109 s 1′/109 s 2′ and 109 d′. The extension regions 109 e′ may reduce parasitic resistance (Rpara) by reducing separation between the source region 109 s 1′/109 s 2′ and the subsequently formed gate layers 115″. Other techniques may also be used to form the doped extension regions 109 e′, such as implant or plasma doping prior to the source and drain regrowth in FIG. 7. As such, the operations of FIG. 8 may be performed prior to or concurrently with the operations of FIG. 7 in some embodiments.
Referring now to FIG. 9, spacers 990′ are formed on the source and drain regions 109 s 1′/109 s 2′ and 109 d′ at opposite sides of the spacers 190′, and the source and drain regions 109 s 1′/109 s 2′ and 109 d′ are at least partially recessed towards the substrate 107′ such that sidewalls thereof are aligned with the spacers 990′. Also, a silicide layer 111′ or other conductive contact region material (such as a metal layer having low interfacial resistivity to the n+ and p+ regions) is formed on the recessed source and drain regions 109 s 1′/109 s 2′ and 109 d′. The low interfacial resistivity may be of greater importance in the n+ regions and/or to the functionality of the thermionic FET. The silicide layer 111′ provides an electrical short across the p-n junction defined in the source region 109 s 1′/109 s 2′.
As shown in FIG. 10, the sacrificial gate layer 515′ and the sacrificial AlSb layers 115′ are selectively removed, defining voids 1020′ around the remaining InGaSb channel layers 101′ and 102′. For instance, a selective etch of AlSb (with etch selectivity of up to about 1000:1 relative to InGaSb) may be performed, such that the InGaSb channel layers 101′ and 102′ are largely undisturbed by the etching process.
In FIG. 11, the voids 1020′ surrounding the channel layers 101′ and 102′ are filled with a dielectric layer 110′ and a metal layer 115″ to define a wraparound metal gate structure that is common to both the TFET and the thermionic FET. In particular, a high-k dielectric material is deposited on to the InGaSb channel layers 101′ and 102′ to define respective gate dielectric layers 110′ thereon, and a metal layer is deposited on the gate dielectric layers 110′ to define the metal gate layers 115″ between the channel layers 101′ and 102′. A gate contact structure 1115′ may extend on upper surfaces and sidewalls of the nanosheet stack between the spacers 190′ to contact the metal gate layers 115″, where the gate contact structure 1115′ may be integrally formed in deposition of the metal layers 115″ in some embodiments. As such, a stacked structure including channel layers 101′, 102′ with gate layers 115″ therebetween and a wraparound gate structure 110′, 115″, 1115′ defines a completed nFET device 100′. The InGaSb channels 101′ and 102′ may be intrinsic or undoped n-type in the nFET device 100′ of FIG. 11, and are stacked to define a fin-shaped device structure (or finFET).
As noted above, the threshold voltages Vt of the thermionic and TFET channel layers 102′ and 101′ may differ, and can be adjusted so that the off-state leakage is approximately the same for both sets of channel layers 102′ and 101′ (particularly for higher supply voltages; the thermionic device may have reduced leakage at lower supply voltages). Since both sets of channel layers 102′ and 101′ share the same gate stack (unless additional processing is done to provide distinct gate stacks), one way to introduce a relative, intra-device Vt-shift is to provide a doped layer or region in either the thermionic channel layer(s) 102′ or in the TFET channel layer(s) 101′, to achieve the higher threshold voltage Vt. The doping level may be sufficiently high to delay the onset of full depletion (FD), thereby raising the threshold voltage Vt, but not so high as to render the layer “undepletable”, i.e., by inducing surface inversion prior to full depletion (FD). The doping level is thus engineered suitably for the nanosheet channel layer thickness used. This doping level can be implemented by including an extended, moderately doped layer, or a high-concentration, thin layer (“delta-doping”) in the channel layer 101′ or 102′. The epitaxial nature of the channel layer growth in accordance with embodiments of the present inventive concepts may allow for straightforward formation of such an additional doped layer to provide the desired threshold voltages for the channel layers 101′ and 102′.
In addition, the channel layer thickness and composition of the TFET and thermionic channel layers 101′ and 102′ are selected such that band-to-band tunneling (BTBT) does not occur on the drain side during high-VDD operation. While BTBT is required for normal operation of the TFET on the source side, it may contribute to parasitic leakage on the drain side; the same may be true for the drain side of the thermionic FET. This problem can be particularly acute for the TFET, since the composition of the TFET channel layer 101′ is selected to promote BTBT (e.g., using a low-bandgap material). In some embodiments, however, this problem can be solved by reducing the thickness of the channel layers 101′ and/or 102′ to increase the effective bandgap to the point of acceptable BTBT, and engineering the source-side of the TFET junction to increase the tunneling current (if needed). However, even in the absence of additional source-side engineering, the TFET source-channel junction may inherently provide significantly more tunneling current than the drain side due to the gate bias (which increases the tunneling window). Additional increases can be engineered by allowing deeper p+ in-diffusion into the TFET channel layer 101′ (for example, by increasing the p+ doping); the more heavily doped source extension 109 e′ that results has a narrower bandgap due to doping-induced bandgap-narrowing.
FIGS. 12-19 are cross sectional views illustrating methods of fabricating an n-channel hybrid or combined tunnel FET/thermionic FET device 1200 in accordance with further embodiments of the present inventive concepts. While the embodiments of FIGS. 12-19 are shown with reference to indium gallium arsenide (InGaAs)-based channel layers by way of example, it will be understood that embodiments of the present inventive concepts are not limited to such materials, and other materials may also be used.
Referring now to FIG. 12, an initial stack including alternating gallium arsenide (GaAs) sacrificial layers 1215′ and indium gallium arsenide (InGaAs) channel layers 1201, 1202 is formed on a substrate 1207, for example, by epitaxial growth. In FIGS. 12-19, the substrate is a germanium (Ge) stress-relaxed buffer (SRB) substrate 1207, but other substrates may be used. The number or amount of InGaAs nanosheet channel layers 1201, 1202 in the stack may be formed as desired for circuit operation. That is, the number/amount of InGaAs nanosheet channel layers 1201, 1202 can be different for different circuits on chip, as desired for a particular application.
Still referring to FIG. 12, the InxGa1-xAs channel layer 1201 and InyGa1-yAs channel layer 1202 define channel regions of a tunnel FET and a thermionic FET, respectively. The respective compositions of the InxGa1-xAs layer 1201 and InyGa1-yAs layer 1202 may differ (e.g., x≠y), and may be individually selected to increase or optimize characteristics of the tunnel FET and/or the thermionic FET, respectively. For example, in particular embodiments, x≈0.8 for the InxGa1-xAs channel layer 1201, and y≈0.5 for the InyGa1-yAs channel layer 1202. The InGaAs channel layers 1201, 1202 may be strained, and may not relax due to respective thicknesses of only a few nanometers. It will be noted that strain in the channel layers 1201, 1202 may be of lesser importance to device operation, but that relaxation can be avoided to reduce defectivity. Also, while the lower nanosheet(s) 1202 in the stack define one or more thermionic FET channels and the upper nanosheet(s) 1201 in the stack define one or more TFET channels by way of example, the order of the TFETs and thermionic FETs in the stack may be reversed in some embodiments.
The GaAs layers 1215′ are sacrificial layers, and are nearly or substantially lattice-matched to the Ge SRB substrate 1207. GaAs may be selected as a material for the sacrificial layers 1215′ based on its etching selectivity relative to InGaAs. As such, it will be understood that embodiments of the inventive concepts are not limited to the particular materials shown in FIGS. 12-19, and when other semiconductor materials are selected for the channel layers 1201, 1202 of tunnel FETs and thermionic FETs, a different material may be selected for the sacrificial layers 1215′ to allow for selective etching relative to the channel layers 1201, 1202.
As shown in FIG. 13, a sacrificial gate layer 1315 and spacers 1290 are deposited and patterned on an uppermost sacrificial layer 1215′ of the nanosheet stack. The sacrificial gate layer 1315 and spacers 1290 may extend on sidewalls of the stack and on an upper surface therebetween. In FIG. 14, an initial etch is performed to remove areas of the stack exposed by the spacers 1290 to define areas for the source/drain regions to be formed in subsequent operations. As further illustrated in FIG. 14, edges of the GaAs sacrificial layers 1215′ exposed by the initial etch are laterally etched (for example, using a timed, isotropic selective etch), thereby defining voids 1420 (for example, having a “half-moon” shape) at the edges of the GaAs sacrificial layers 1215′. For instance, a selective etch of GaAs (with etch selectivity of up to about 1000:1 relative to InGaAs) may be performed. As such, edges of the sacrificial layers 1215′ include regions 1420 that are laterally recessed relative to the channel layers 1201, 1202, where the recessed regions 1420 are bounded or surrounded by the channel layers 1201, 1202 and the spacers 1290.
Referring now to FIG. 15, a low-k material is deposited over the stack, filling the voids 1420. In some embodiments, the spacers 1290 can be removed before the low-k material deposition. An anisotropic vertical etch (for example, a plasma or similar etch) is performed, removing the low-k material from regions other than the filled-in voids 1420, thereby defining dielectric suspension regions 1520 at the edges of the sacrificial layers 1215′. The dielectric regions 1520 insulate the gate layers (formed in subsequent operations) from the source and drain regions, 1209 s 1/1209 s 2 and 1209 d, which are epitaxially grown on opposite sides of the channel layers 1201, 1202. For example, remaining portions of channel layer material (i.e., InxGa1-xAs 1201 and InyGa1-yAs 1202 at sidewalls of the stack) may be used as seed layers for epitaxial growth of the source and drain regions 1209 s 1/1209 s 2 and 1209 d on the Ge SRB substrate 1207. Other processes can also be used (additionally or alternatively) to form the source and drain regions 1209 s 1/1209 s 2 and 1209 d, for example, based on the underlying substrate material. The source and drain regions 1209 s 1/1209 s 2 and 1209 d may be formed to have an indium (In) composition matching that of the thermionic FET channel layer 1202 (that is, with a lower In content than the TFET channel layer 1201). While stress-relaxation may be possible due to height and/or mismatch, such stress relaxation may not be problematic in the source and drain regions 1209 s 1/1209 s 2 and 1209 d.
As discussed above, for combined tunnel/thermionic n-FET devices as described herein, the drain 1209 d is fully n-type, while the source includes a p-type portion 1209 s 1 defining the source of the tunnel FET, and also an n-type portion 1209 s 2 defining the source of the thermionic FET. In some embodiments, to fabricate the source regions to include portions 1209 s 1 and 1209 s 2 having different conductivity types, the n+ source region 1209 s 2 may be grown at the same time as the n+ drain region 1209 d, the n+ source region 1209 s 2 may be partially recessed, and the p+ source region 1209 s 1 may be grown on the recessed n+ source region 1209 s 2. In particular: n+ epitaxy may be performed on both the source and drain side to grow regions 1209 s 2 and 1209 d, respectively; the source region 1209 s 2 may be partially recessed with the drain region 1209 d masked, such that the recession exposes an end portion or sidewall of the TFET channel layer 1201; and p+ epitaxy may be performed with the drain region 1209 d masked to form the p+ TFET source region 1209 s 1 directly on the n+ thermionic FET source region 1209 s 2, defining a p-n junction therebetween.
Alternatively, to fabricate the source regions to include portions 1209 s 1 and 1209 s 2 having different conductivity types, the drain region 1209 d may be selectively formed while the source side of the stack is masked, and then the source region 1209 s 1/1209 s 2 may be selectively formed while the drain region 1209 d is masked. For example, after growth of the drain region 1209 d, a long-throw PVD process may be used to deposit a polycrystalline source region 1209 s 1/1209 s 2 while the drain region 1209 d is masked, where the first portion of PVD process uses n+ doping, and the second portion of the PVD process uses p+ doping. In particular: n+ epitaxy may be selectively performed on the drain side (with the source side masked) to form the drain region 1209 d; long-throw PVD may be performed to deposit poly-crystalline InGaAs on the source side (with the drain region 1209 d masked), with a first phase of deposition using n+ doping and a second phase of the deposition using p+ doping to define regions 1209 s 1 and 1209 s 2, respectively; and a chemical-mechanical polishing (CMP) process may be used to remove excess deposited InGaAs. Such a polycrystalline source region may not be problematic for device operation, as the source extension regions 1209 e (discussed below) may be mono-crystalline. It will be understood that, while described above with reference to source/drain fabrication for n-FET devices, the respective doping types may be switched for p-FET devices.
FIG. 16 illustrates formation of doped source/drain extension regions 1209 e in portions of the channel layers 1201, 1202 adjacent the low-k dielectric regions 1520. In particular, an anneal (and/or other appropriate operations) may be performed to define doped extension regions 1209 e at ends or edges of the channel layers 1201 and 1202 adjacent to the previously formed dielectric regions 1520, contacting the source and drain regions 1209 s 1/1209 s 2 and 1209 d. The extension regions 1209 e may reduce parasitic resistance (Rpara) by reducing separation between the source region 1209 s 1/1209 s 2 and the subsequently formed gate layers 1215. Other techniques may also be used to form the doped extension regions 1209 e, such as implant or plasma doping prior to the source and drain regrowth in FIG. 15. As such, the operations of FIG. 16 may be performed prior to or concurrently with the operations of FIG. 15 in some embodiments.
Referring now to FIG. 17, spacers 1790 are formed on the source and drain regions 1209 s 1/1209 s 2 and 1209 d at opposite sides of the spacers 1290, and the source and drain regions 1209 s 1/1209 s 2 and 1209 d are at least partially recessed towards the substrate 1207 such that sidewalls thereof are aligned with the spacers 1790. Also, a silicide layer 1211 or other conductive contact region material (such as a metal layer having low interfacial resistivity to the n+ and p+ regions) is formed on the recessed source and drain regions 1209 s 1/1209 s 2 and 1209 d. The low interfacial resistivity may be of greater importance in the n+ regions and/or to the functionality of the thermionic FET. The silicide layer 1211 provides an electrical short across the p-n junction defined in the source region 1209 s 1/1209 s 2. The composition of the silicide or other contact layer 1211 may be selected to provide good contact to the thermionic FET, as low parasitic resistance (Rpara) may be of greater importance to the functionality of the thermionic FET than the tunnel FET. For a Group III-V device, a deposited metal layer may be used for the contacts 1211.
As shown in FIG. 18, the sacrificial gate layer 1315 and the sacrificial GaAs layers 1215′ are selectively removed, defining voids 1820 around the remaining InGaAs channel layers 1201 and 1202. For instance, a selective etch of GaAs (with etch selectivity of up to about 1000:1 relative to InGaAs) may be performed, such that the InGaAs channel layers 1201 and 1202 are largely undisturbed by the etching process.
Referring to FIG. 19, the voids 1820 surrounding the channel layers 1201 and 1202 are filled with a dielectric layer 1210 and a metal layer 1215 to define a wraparound metal gate structure that is common to both the TFET and the thermionic FET. In particular, a high-k dielectric material is deposited on to the InGaAs channel layers 1201 and 1202 to define respective gate dielectric layers 1210 thereon, and a metal layer is deposited on the gate dielectric layers 1210 to define the metal gate layers 1215 on and between the channel layers 1201 and 1202. A gate contact structure 1915 may extend on upper surfaces and sidewalls of the nanosheet stack between the spacers 1290 to contact the metal gate layers 1215, where the gate contact structure 1915 may be integrally formed in deposition of the metal layers 1215 in some embodiments. As such, a stacked structure including alternating channel layers 1201, 1202 with gate layers 1215 therebetween and a wraparound gate structure 1210, 1215, 1915 defines a completed nFET device 1200. The InGaAs channels 1201 and 1202 may be intrinsic or undoped n-type in the nFET device 1200 of FIG. 12, and are stacked to define a finFET structure.
Referring again to FIG. 1, still further embodiments of the present inventive concepts can include a fully-crystalline nanosheet stack, including channel layers 101, 102, gate layers 115, and gate dielectric layers 110 formed from monocrystalline semiconductor and/or insulating materials. In particular, rather than forming sacrificial layers between the channel layers and subsequently etching or otherwise removing the sacrificial layers to form the gate layers as described above with reference to FIGS. 4-11 and 12-19, a complete device stack including crystalline gate dielectric layers 110, gate layers 115, and channel layers 101, 102 can be formed, for example, by heteroepitaxial growth. As such, the gate dielectric layers 110 may be a crystalline semiconductor or insulating layer on the substrate 107, and the gate layers 115 and the channel layers 101, 102 may be grown on the crystalline gate dielectric layer 110, such that interfaces with the channel layers 101, 102 are free of amorphous or non-crystalline materials (which may reduce or eliminate surface roughness scattering, improving mobility for at least the thermionic FET), and may be free of low-k crystalline buffer layers (which may reduce the equivalent gate oxide thickness, improving short channel performance for at least the thermionic FET). For the Group IV material system, the gate dielectric layer 110 may be a high-k crystalline insulating layer, such as calcium fluoride (CaF2), zinc sulfide (ZnS), praseodymium oxide (Pr2O3), and/or gadolinium oxide (Gd2O3). For the Group III-V material system, the gate dielectric layer 110 may be a high-k crystalline wide-bandgap Group II-VI semiconductor layer, such as zinc selenide (ZnSe). The gate layer 115 may be a heavily-doped semiconductor. For example, the structure may include a highly-doped aluminum antimonide (AlSb) gate layer 115, and each of the gate 115, channel 101/102, and gate dielectric layers 110 may be monocrystalline in some embodiments. Furthermore, the top gate 1115 surrounding or “wrapping” the stack of nanosheets can be metal or polycrystalline semiconductor.
Embodiments of present inventive concepts may provide several advantages. For example, combined TFET/thermionic FET nanosheet devices as described herein allow for extreme-low-power operation, at very low supply voltages VDD, in addition to high-performance operation at moderate supply voltages, combined in a single device. More particularly, embodiments of present inventive concepts may allow an available range of supply voltages VDD of about 0.2 to about 0.3V, which is well below that which is typically achievable with a thermionic FET. In addition, embodiments of present inventive concepts further allow for high-performance operation at moderate supply voltages VDD in a range of about 0.5V to about 0.6V. Furthermore, by stacking the TFET and thermionic FET in a nanosheet stack structure, embodiments of the present inventive concepts incur no layout penalty for such dual-mode use (as both devices are arranged in a common footprint), which may be advantageous over otherwise including thermionic FETs and TFETs in finFET configurations. In addition, nanosheet stack structures in accordance with embodiments of the present inventive concepts can be suitable for aggressive gate length Lg scaling, in contrast with some planar structures.
Features of specific example embodiments of the inventive concepts may include, but are not limited to, the following:
    • (1) A FET comprised of a stack of nanosheets, a first portion of the stack of nanosheets comprising a thermionic injection FET, a second portion of the stack of nanosheets comprising a tunnel FET (TFET), the stack of nanosheets comprising channel material and sacrificial material.
    • (2) A FET, as in (1), in which the doping in the source region changes from n-type to p-type (for an n-FET, opposite dopant types for pFET) at a vertical position between the stack of nanosheets comprising a thermionic injection FET and the stack of nanosheets comprising a TFET.
    • (3) A FET, as in (2), in which the drain region is distinct from the source region, the drain region consisting entirely of an n-doped region (n-FET), or entirely of a p-doped region (p-FET).
    • (4) A FET, as in (3), in which a common gate electrode wraps around the complete stack of nanosheets comprising the thermionic FET and TFET.
    • (5) A FET, as in (4), in which the respective threshold voltages, Vt, of the TFET and thermionic FET are different.
    • (6) A FET, as in (5), in which one set of nanosheets (either thermionic or TFET, or both) includes a moderately doped extended layer, or a delta-doped layer, so as to enable the different Vt between the TFET and thermionic FET.
    • (7) A FET, as in (4), in which the contact to both the n and p-regions of the source is performed using a single conductive material, such as a metal or silicide. The conductive material can be chosen to reduce or minimize contact resistance of the thermionic FET, allowing for increased resistance to the TFET portion.
    • (8) A FET, as in (1-7), in which the thermionic FET and TFET channels are formed of Group III-V semiconductor materials, such as InGaAs, InAs, InSb, or InGaSb; the composition of the thermionic FET and TFET channels need not be identical.
    • (9) A FET, as in (1-7), in which the thermionic FET and TFET channels are formed of Group IV semiconductor materials, such as Si, Ge, or SiGe; the composition of the thermionic FET and TFET channels need not be identical.
    • (10) A FET, as in (1-7), in which the thermionic FET channels are formed of group IV semiconducting materials, such as Si, Ge, and SiGe, while the TFET channels are formed of Group III-V materials, such as InGaAs, InAs, InSb, InGaSb.
    • (11) A FET, as in (1-7), in which the TFET channels are formed of group IV semiconducting materials, such as Si, Ge, and SiGe, while the thermionic FET channels are formed of Group III-V materials, such as InGaAs, InAs, InSb, InGaSb.
    • (12) A FET, as in (8), in which the sacrificial layer between the thermionic FET and TFET channels is comprised of materials including AlSb or GaAs.
    • (13) A FET, as in (9), in which the sacrificial layer between the thermionic FET and TFET channels is comprised of materials including Si or SiGe.
    • (14) A FET, as in (1-13), in which a primary conduction mechanism depends on the applied gate bias, VG. The operation of the FET is divided into two regimes: TFET operation for VG<Vt(thermionic), and thermionic FET operation for VG>Vt(thermionic), Vt(thermionic) corresponding to the threshold voltage of the thermionic FET.
    • (15) A FET, as in (1-14), in which the capacitive loading of the thermionic FET is negligible while operating in the TFET regime.
    • (16) A FET, as in (1-15), in which the off-state leakage of the TFET and thermionic FET is approximately equal.
    • (17) A circuit comprised of a thermionic FET and TFET as in (1-16), for which there is no layout area penalty associated with the use of both of a thermionic FET and TFET.
    • (18) A method of forming a FET, as in (1-16), comprised of a stack of nanosheets further comprising a thermionic FET and tunnel FET, the tunnel FET formed above the thermionic FET, a TFET source of opposite doping type to the thermionic FET source, the source junction, formed due to opposite doping types, shorted by a conductive material, the conductive material such as a metal or silicide primarily chosen for low interface resistance to the source of the thermionic FET.
    • (19) A method of forming a FET, as in (1-16), comprised of a common gate which wraps around all of the nanosheets comprising a thermionic FET and tunnel FET, the distance of the gate to S/D regions in part determined by the width of formed recessed regions, the recessed regions filled in by low-k dielectric material.
    • (20) A method of forming a FET, as in (1-16), the etch selectivity between the sacrificial nanosheets and the conduction channel nanosheets, for the thermionic FET or TFET, being >100:1, and in some cases being approximately 1000:1, with the nanosheet being substantially rectangular in cross-section.
    • (21) A method of forming a FET, as in (5), the different Vt between the thermionic FET and TFET due to formation of an extended, moderately doped layer, or a high-concentration, thin layer (“delta-doping”) during epitaxial layer deposition of the stack structure, at a position in the stack structure to result in the desired Vt difference.
    • (22) A method of forming a FET, as in (5), the different Vt between the thermionic FET and TFET due to different vertical spacing between nanosheets for the thermionic FET and TFET, the different vertical spacing resulting in different gate stack fill between the channel conduction nanosheets, the different gate stack fill causing a Vt difference.
    • (23) A method of forming a FET, as in (1-16), the epitaxial deposition thickness of the nanosheets for the TFET being less than the epitaxial deposition thickness for the thermionic FET, the epitaxial deposition thickness dependent on deposition variables including e.g. deposition time.
    • (24) A FET, as in (1), with the first portion and second portion of the stack of nanosheets aligned in a common vertical stack of nanosheets, such that the horizontal layout area projected on the substrate is the same for the thermionic FET and TFET.
    • (25) A circuit comprised of the combined TFET/Thermionic FET devices as in (1-16), in which the VDD-frequency operating points are chosen so as to maximize the use of the TFET mode.
    • (26) A FET, as in (1-16), in which the thickness of the TFET nanosheet(s) is chosen to be sufficiently thin to suppress drain-side BTBT, even at VDD levels used for thermionic operation; the thickness of the TFET nanosheet(s) chosen to have sufficiently increased bandgaps due to quantization effects.
    • (27) A FET, comprised of two FETs each with S and D and Gate, the two FETs aligned in a common vertical stack, the S of each FET connected to each other by a substantially vertical conductive layer, the D of each FET connected to each other by a substantially vertical conductive layer, the Gate of each of the two FETs being a common gate.
    • (28) A FET, as in (27), and furthermore as in (1-26).
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including” are open-ended, and when used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof. The term “and/or” includes any and all combinations of one or more of the associated listed items.
Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein may be interpreted accordingly. In addition, it will also be understood that when a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers may also be present.
It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element discussed below could be termed a second element without departing from the scope of the present inventive concept.
It will also be understood that when an element is referred to as being “on” or “connected” to another element, it can be directly on or connected to the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly on” or “directly connected” to another element, there are no intervening elements present. In no event, however, should “on” or “directly on” be construed as requiring a layer to completely cover an underlying layer.
Embodiments are described herein with reference to cross-sectional and/or perspective illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the Figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the present inventive concept.
As appreciated by the present inventive entity, devices and methods of forming devices according to various embodiments described herein may be embodied in microelectronic devices such as integrated circuits, wherein a plurality of devices according to various embodiments described herein are integrated in the same microelectronic device. Accordingly, the cross-sectional view(s) illustrated herein may be replicated in two different directions, which need not be orthogonal, in the microelectronic device. Thus, a plan view of the microelectronic device that embodies devices according to various embodiments described herein may include a plurality of the devices in an array and/or in a two-dimensional pattern that is based on the functionality of the microelectronic device.
The devices according to various embodiments described herein may be interspersed among other devices depending on the functionality of the microelectronic device. Moreover, devices according to various embodiments described herein may be replicated in a third direction that may be orthogonal to the two different directions, to provide three-dimensional integrated circuits.
Accordingly, the cross-sectional view(s) illustrated herein provide support for a plurality of devices according to various embodiments described herein that extend along two different directions in a plan view and/or in three different directions in a perspective view. For example, when a single active region is illustrated in a cross-sectional view of a device/structure, the device/structure may include a plurality of active regions and transistor structures thereon, as would be illustrated by a plan view of the device/structure.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this inventive concept belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
Methods and systems for providing a hybrid TFET-thermionic FET nanosheet structure have been described. The methods and systems have been described in accordance with the exemplary embodiments shown, and one of ordinary skill in the art will readily recognize that there could be variations to the illustrated embodiments, and any variations would be within the spirit and scope of the method and system. Accordingly, many modifications may be made by one of ordinary skill in the art without departing from the spirit and scope of the inventive concepts as described herein and defined by the following claims.

Claims (24)

That which is claimed:
1. A field effect transistor (FET), comprising:
a nanosheet stack comprising first and second semiconductor channel layers that are stacked in a first direction, the first channel layer defining a channel region of a tunnel FET, and the second channel layer defining a channel region of a thermionic FET; and
source and drain regions on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween, wherein a first portion of the source region directly adjoining the first channel layer and a second portion of the source region directly adjoining the second channel layer have opposite semiconductor conductivity types, wherein a third portion of the source region distal from both the first and second channel layers comprises a p-n junction within the third portion of the source region at an interface between semiconductor portions of the opposite semiconductor conductivity types, wherein the p-n junction is distal from the first and second channel layers in the nanosheet stack and extends in a second direction that is different than the first direction.
2. The transistor of claim 1, wherein the nanosheet stack further comprises respective gate layers on opposing surfaces of the first and second channel layers, and respective gate dielectric layers between the gate layers and the first and second channel layers, wherein the p-n junction does not extend between the respective gate layers.
3. The transistor of claim 2, wherein a threshold voltage of the tunnel FET is less than a threshold voltage of the thermionic FET, and wherein, responsive to application of the threshold voltage of the thermionic FET to the respective gate layers on the opposing surfaces of the first and second channel layers, conduction in the channel region of the thermionic FET is substantially greater than conduction in the channel region of the tunnel FET.
4. The transistor of claim 3, wherein:
the first and second channel layers are both n-channel layers or are both p-channel layers;
the first and second channel layers extend in the second direction, which is perpendicular to the first direction; and
the first and second channel layers comprise different dopant concentrations, different thicknesses, or different dopant concentrations and different thicknesses.
5. The transistor of claim 4, wherein a thickness of the first channel layer is sufficient to prevent band-to-band tunneling in the first channel layer at the drain region at gate voltages greater than the threshold voltage of the thermionic FET.
6. The transistor of claim 5, wherein a thickness of the second channel layer is greater than the thickness of the first channel layer.
7. The transistor of claim 3, wherein the nanosheet stack comprises a plurality of first and second channel layers, wherein respective spacings between the first channel layers of the plurality of first and second channel layers differ from respective spacings between the second channel layers of the plurality of first and second channel layers.
8. The transistor of claim 1, wherein the first and second portions of the source region comprise respective doped extension regions at opposite ends of the first and second channel layers adjacent the source and drain regions and extending between the gate layers.
9. The transistor of claim 8, further comprising:
respective dielectric suspension regions separating ends of the gate layers from the source and drain regions,
wherein the doped extension regions laterally extend from the source and drain regions to the first and second channel layers between the gate layers and adjacent the dielectric suspension regions.
10. The transistor of claim 2, further comprising:
respective contacts on the source and drain regions, wherein one of the respective contacts on the source region electrically connects the first and second portions of the source region having the opposite conductivity types to provide an electrical short across the p-n junction.
11. The transistor of claim 1, wherein the first and second channel layers comprise different semiconductor materials or different compositions of a same semiconductor material.
12. The transistor of claim 11, wherein at least one of the first and second channel layers comprises Group III-V semiconductor materials or Group IV semiconductor materials.
13. The transistor of claim 12, wherein the Group III-V semiconductor materials comprise at least one selected from a group consisting of indium gallium arsenide (InGaAs), indium arsenide (InAs), indium antimonide (InSb), and indium gallium antimonide (InGaSb), and wherein the Group IV semiconductor materials comprise silicon (Si), germanium (Ge), and silicon germanium (SiGe).
14. The transistor of claim 2, wherein the first and second channel layers and the source and drain regions comprise epitaxial layers.
15. The transistor of claim 14, wherein the nanosheet stack comprises a heteroepitaxial stack comprising crystalline semiconductor first and second channel layers, crystalline semiconductor gate layers, and crystalline semiconductor or insulating gate dielectric layers, wherein respective interfaces between the first and second channel layers and the gate dielectric layers are free of non-crystalline materials.
16. A method of fabricating a field effect transistor (FET), the method comprising:
providing a nanosheet stack comprising first and second semiconductor channel layers that are stacked in a first direction, the first channel layer defining a channel region of a tunnel FET, and the second channel layer defining a channel region of a thermionic FET; and
forming source and drain regions on opposite sides of the nanosheet stack such that the first and second channel layers extend therebetween,
wherein a first portion of the source region directly adjoining the first channel layer and a second portion of the source region directly adjoining the second channel layer have opposite semiconductor conductivity types, wherein a third portion of the source region distal from both the first and second channel layers comprises a p-n junction within the third portion of the source region at an interface between semiconductor portions of the opposite semiconductor conductivity types, wherein the p-n junction is distal from the first and second channel layers in the nanosheet stack and extends in a second direction that is different than the first direction.
17. The method of claim 16, wherein a threshold voltage of the tunnel FET is less than a threshold voltage of the thermionic FET, and wherein providing the nanosheet stack further comprises:
forming respective gate dielectric layers on opposing surfaces of the first and second channel layers; and
forming gate layers on the gate dielectric layers,
wherein the p-n junction does not extend between the gate layers.
18. The method of claim 17, wherein providing the nanosheet stack further comprises:
forming the first and second channel layers comprising different dopant concentrations, different thicknesses, or different dopant concentrations and different thicknesses,
wherein the first and second channel layers are both n-channel layers or are both p-channel layers, and wherein the first and second channel layers extend in the second direction, which is perpendicular to the first direction.
19. The method of claim 18, wherein the first channel layer is formed to a thickness sufficient to prevent band-to-band tunneling therein at the drain region at gate voltages greater than the threshold voltage of the thermionic FET, and wherein the second channel layer is formed to a thickness greater than the thickness of the first channel layer.
20. The method of claim 16, wherein the first and second channel layers and the source and drain regions comprise epitaxial layers.
21. A method of operating a field-effect transistor (FET), the method comprising:
applying a first supply voltage to a gate electrode extending between first and second semiconductor channel layers in a first operating mode, the first and second channel layers being stacked in a first direction and extending between a drain region and a source region, the source region including first and second portions of opposite semiconductor conductivity types directly adjoining the first and second channel layers, respectively, and a third portion distal from both the first and second channel layers that comprises a p-n junction within the third portion of the source region at an interface between semiconductor portions of the opposite semiconductor conductivity types, wherein the p-n junction is distal from the first and second semiconductor channel layers and extends in a second direction that is different than the first direction, and wherein the first supply voltage is sufficient to cause conduction in the first channel layer but is insufficient to cause significant conduction in the second channel layer; and
applying a second supply voltage to the gate electrode in a second operating mode, wherein the second supply voltage is sufficient to cause conduction in the second channel layer that is substantially greater than the conduction in the first channel layer, wherein the first channel layer defines a channel region of a tunnel FET, and wherein the second channel layer defines a channel region of a thermionic FET.
22. The method of claim 21, wherein the first and second channel layers extend in the second direction, which is perpendicular to the first direction, wherein the first and second channel layers are both n-channel layers or are both p-channel layers, and wherein the thermionic FET has a threshold voltage greater than a threshold voltage of the tunnel FET.
23. The method of claim 22, wherein the respective threshold voltages provide a substantially same off-state leakage for the tunnel FET and the thermionic FET in the first and second operating modes, respectively.
24. The method of claim 23, wherein a thickness of the first channel layer is sufficient to prevent band-to-band tunneling in the first channel layer at the drain region responsive to application of the second supply voltage to the gate electrode in the second operating mode.
US14/593,636 2014-07-21 2015-01-09 Thermionically-overdriven tunnel FETs and methods of fabricating the same Active US9647098B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/593,636 US9647098B2 (en) 2014-07-21 2015-01-09 Thermionically-overdriven tunnel FETs and methods of fabricating the same
KR1020150103027A KR102286671B1 (en) 2014-07-21 2015-07-21 Thermionically-overdriven tunnel fets and methods of fabricating the same
CN201510431098.0A CN105280502B (en) 2014-07-21 2015-07-21 Hot electron overdriven tunnel field effect transistor and methods of manufacturing and operating the same
TW104123524A TWI658592B (en) 2014-07-21 2015-07-21 Thermionically-overdriven tunnel fets and methods of fabricating and operating the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462027195P 2014-07-21 2014-07-21
US14/593,636 US9647098B2 (en) 2014-07-21 2015-01-09 Thermionically-overdriven tunnel FETs and methods of fabricating the same

Publications (2)

Publication Number Publication Date
US20160020305A1 US20160020305A1 (en) 2016-01-21
US9647098B2 true US9647098B2 (en) 2017-05-09

Family

ID=55075267

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/593,636 Active US9647098B2 (en) 2014-07-21 2015-01-09 Thermionically-overdriven tunnel FETs and methods of fabricating the same

Country Status (4)

Country Link
US (1) US9647098B2 (en)
KR (1) KR102286671B1 (en)
CN (1) CN105280502B (en)
TW (1) TWI658592B (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170141207A1 (en) * 2015-11-13 2017-05-18 International Business Machines Corporation Nanosheet mosfet with full-height air-gap spacer
US20170352739A1 (en) * 2016-06-01 2017-12-07 Semiconductor Manufacturing International (Shanghai) Corporation Method and device for compound semiconductor fin structure
US20180343006A1 (en) * 2017-05-26 2018-11-29 Indian Institute Of Science Programmable tunnel thermionic mode transistor
US10243054B1 (en) * 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate
US10319846B1 (en) 2018-05-09 2019-06-11 International Business Machines Corporation Multiple work function nanosheet field-effect transistors with differential interfacial layer thickness
US20190181140A1 (en) * 2017-12-11 2019-06-13 Samsung Electronics Co., Ltd. Dielectric separation of partial gaa fets
US10388755B1 (en) 2018-06-04 2019-08-20 International Business Machines Corporation Stacked nanosheets with self-aligned inner spacers and metallic source/drain
US20190393306A1 (en) * 2017-12-07 2019-12-26 International Business Machines Corporation Formation of self-limited inner spacer for gate-all-around nanosheet fet
US10586856B2 (en) 2018-06-14 2020-03-10 International Business Machines Corporation Nanosheet FET device with epitaxial nucleation
US10651291B2 (en) 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
US10692993B2 (en) 2017-09-13 2020-06-23 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US20210057553A1 (en) * 2019-08-23 2021-02-25 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and method for forming the same
US11069681B2 (en) 2019-05-13 2021-07-20 Samsung Electronics Co., Ltd. Integrated circuit device
US11211456B2 (en) 2019-05-17 2021-12-28 Samsung Electronics Co., Ltd. Semiconductor devices
US11362091B2 (en) 2019-06-26 2022-06-14 Tokyo Electron Limited Multiple nano layer transistor layers with different transistor architectures for improved circuit layout and performance
TWI777359B (en) * 2020-04-28 2022-09-11 台灣積體電路製造股份有限公司 Semiconductor device and method
US11474134B2 (en) * 2018-11-08 2022-10-18 Government Of The United States Of America, As Represented By The Secretary Of Commerce Gateless P-N junction metrolog
US11705503B2 (en) 2017-12-27 2023-07-18 Samsung Electronics Co., Ltd. Semiconductor device including non-sacrificial gate spacers and method of fabricating the same
US11735634B2 (en) 2021-03-17 2023-08-22 International Business Machines Corporation Complementary 3D nanosheet matrix FETs
US11756837B2 (en) 2021-03-17 2023-09-12 International Business Machines Corporation Hybrid nanosheet tunnel-FET/CMOS technology
US11869983B2 (en) 2020-03-12 2024-01-09 International Business Machines Corporation Low voltage/power junction FET with all-around junction gate

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9711596B2 (en) * 2014-06-24 2017-07-18 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including a semiconductor sheet interconnecting a source region and a drain region
US9520394B1 (en) * 2015-05-21 2016-12-13 International Business Machines Corporation Contact structure and extension formation for III-V nFET
US10134840B2 (en) * 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
KR101838913B1 (en) * 2015-12-30 2018-03-15 한국과학기술원 Tunneling field-effect transistor with a plurality of nano-wires and fabrication method thereof
US9929266B2 (en) * 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
US10217817B2 (en) * 2016-01-27 2019-02-26 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETs
US10096673B2 (en) 2016-02-17 2018-10-09 International Business Machines Corporation Nanowire with sacrificial top wire
CN107132942A (en) * 2016-02-26 2017-09-05 鸿富锦精密工业(深圳)有限公司 Touch control display apparatus
KR102476143B1 (en) * 2016-02-26 2022-12-12 삼성전자주식회사 Semiconductor device
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
US9773886B1 (en) * 2016-03-15 2017-09-26 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having doped internal spacers and methods of manufacturing the same
US10008580B2 (en) 2016-03-21 2018-06-26 Samsung Electronics Co., Ltd. FET including an InGaAs channel and method of enhancing performance of the FET
US9941405B2 (en) * 2016-03-21 2018-04-10 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same
TWI686351B (en) 2016-04-01 2020-03-01 聯華電子股份有限公司 Nanowire transistor and method for fabricating the same
US9960232B2 (en) * 2016-05-09 2018-05-01 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and methods of manufacturing the same
US9876090B1 (en) * 2016-06-30 2018-01-23 International Business Machines Corporation Lattice matched and strain compensated single-crystal compound for gate dielectric
US9985097B2 (en) * 2016-06-30 2018-05-29 International Business Machines Corporation Integrated capacitors with nanosheet transistors
JP6951903B2 (en) * 2016-08-10 2021-10-20 東京エレクトロン株式会社 Extended area for semiconductor devices
US10026652B2 (en) 2016-08-17 2018-07-17 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and method of manufacturing the same
US9905643B1 (en) * 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9620590B1 (en) * 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US9653480B1 (en) * 2016-09-22 2017-05-16 International Business Machines Corporation Nanosheet capacitor
US9711501B1 (en) * 2016-09-26 2017-07-18 International Business Machines Corporation Interlayer via
US9947767B1 (en) 2017-01-26 2018-04-17 International Business Machines Corporation Self-limited inner spacer formation for gate-all-around field effect transistors
US10170584B2 (en) * 2017-01-27 2019-01-01 International Business Machines Corporation Nanosheet field effect transistors with partial inside spacers
US10084055B2 (en) 2017-02-03 2018-09-25 International Business Machines Corporation Uniform threshold voltage for nanosheet devices
US10319813B2 (en) 2017-03-27 2019-06-11 International Business Machines Corporation Nanosheet CMOS transistors
KR102400558B1 (en) * 2017-04-05 2022-05-20 삼성전자주식회사 semiconductor device
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
WO2019005061A1 (en) * 2017-06-29 2019-01-03 Intel Corporation Techniques and mechanisms for operation of stacked transistors
US9991352B1 (en) * 2017-07-17 2018-06-05 Globalfoundries Inc. Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device
US10014390B1 (en) * 2017-10-10 2018-07-03 Globalfoundries Inc. Inner spacer formation for nanosheet field-effect transistors with tall suspensions
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10714592B2 (en) * 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10229971B1 (en) * 2017-11-16 2019-03-12 International Business Machines Corporation Integration of thick and thin nanosheet transistors on a single chip
US10439049B2 (en) 2017-12-19 2019-10-08 International Business Machines Corporation Nanosheet device with close source drain proximity
US11075198B2 (en) * 2018-01-08 2021-07-27 Intel Corporation Stacked transistor architecture having diverse fin geometry
US10431663B2 (en) * 2018-01-10 2019-10-01 Globalfoundries Inc. Method of forming integrated circuit with gate-all-around field effect transistor and the resulting structure
US10535733B2 (en) * 2018-01-11 2020-01-14 International Business Machines Corporation Method of forming a nanosheet transistor
US10580900B2 (en) 2018-01-19 2020-03-03 International Business Machines Corporation Nanosheet channel post replacement gate process
US10504900B2 (en) * 2018-04-23 2019-12-10 International Business Machines Corporation Enhanced field Resistive RAM integrated with nanosheet technology
US10522683B2 (en) * 2018-04-25 2019-12-31 Intel Corporation Transistors with ballistic or quasi-ballistic carrier behavior and low resistance in source and drain nodes
US10971585B2 (en) 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
CN110729189B (en) * 2018-07-17 2023-06-30 中芯国际集成电路制造(天津)有限公司 Semiconductor device and method for manufacturing the same
US10714392B2 (en) 2018-07-18 2020-07-14 International Business Machines Corporation Optimizing junctions of gate all around structures with channel pull back
US10804368B2 (en) * 2018-07-30 2020-10-13 International Business Machines Corporation Semiconductor device having two-part spacer
DE102019112545A1 (en) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and method for its production
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11061146B2 (en) * 2019-01-24 2021-07-13 International Business Machines Corporation Nanosheet radiation dosimeter
CN111490092B (en) * 2019-01-29 2023-09-12 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof
US10957799B2 (en) 2019-02-27 2021-03-23 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10903369B2 (en) * 2019-02-27 2021-01-26 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10833168B2 (en) * 2019-03-08 2020-11-10 International Business Machines Corporation Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
KR20200136133A (en) * 2019-05-27 2020-12-07 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US11942416B2 (en) * 2019-06-28 2024-03-26 Intel Corporation Sideways vias in isolation areas to contact interior layers in stacked devices
US11139372B2 (en) * 2019-08-07 2021-10-05 International Business Machines Corporation Dual step etch-back inner spacer formation
US20210184045A1 (en) * 2019-12-13 2021-06-17 Intel Corporation High voltage ultra-low power thick gate nanoribbon transistors for soc applications
CN113097301B (en) * 2020-01-08 2023-10-20 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11158636B2 (en) 2020-01-27 2021-10-26 International Business Machines Corporation Nanosheet device integrated with a FINFET transistor
US11164960B1 (en) * 2020-04-28 2021-11-02 International Business Machines Corporation Transistor having in-situ doped nanosheets with gradient doped channel regions
US20210366906A1 (en) * 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking cmos structure
US11605740B2 (en) * 2020-05-28 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor, integrated circuit, and manufacturing method of transistor
US11101374B1 (en) * 2020-06-13 2021-08-24 International Business Machines Corporation Nanosheet gated diode
CN112420830B (en) * 2020-12-04 2022-07-15 重庆邮电大学 High electron mobility transistor device with multi-finger grid
US20220359208A1 (en) * 2021-05-07 2022-11-10 Applied Materials, Inc. Process integration to reduce contact resistance in semiconductor device
CN115472572A (en) * 2021-06-10 2022-12-13 北方集成电路技术创新中心(北京)有限公司 Semiconductor structure and forming method thereof
KR20230003968A (en) * 2021-06-30 2023-01-06 울산과학기술원 Ternary inverter and method of manufacturing the same
US20230038957A1 (en) * 2021-08-05 2023-02-09 International Business Machines Corporation Complementary field effect transistor devices
US20230085628A1 (en) * 2021-09-22 2023-03-23 International Business Machines Corporation Hybrid stacked field effect transistors
US20230099814A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Heterostructure material contacts for 2d transistors
US20230095191A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Transistors with reduced epitaxial source/drain span via etch-back for improved cell scaling

Citations (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5430310A (en) 1991-03-28 1995-07-04 Asahi Kasei Kogyo Kabushiki Kaisha Field effect transistor
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US20010013621A1 (en) * 1999-12-09 2001-08-16 Kazuo Nakazato Memory Device
US20020109135A1 (en) 2001-02-09 2002-08-15 Junichi Murota MOS field-effect transistor comprising layered structure including Si layer and SiGe layer OR SiGeC layer as channel regions
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
EP1188188B1 (en) 1999-06-22 2005-08-31 Infineon Technologies AG Method for producing a multi-channel mosfet
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7112832B2 (en) 2003-07-31 2006-09-26 Freescale Semiconductor, Inc. Transistor having multiple channels
US7262465B2 (en) 2005-01-27 2007-08-28 Fujitsu Limited P-channel MOS transistor and fabrication process thereof
US7276723B2 (en) 2000-07-18 2007-10-02 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
US7402483B2 (en) 2004-07-30 2008-07-22 Samsung Electronics Co., Ltd. Methods of forming a multi-bridge-channel MOSFET
US7416957B2 (en) 2003-12-16 2008-08-26 Nxp B.V. Method for forming a strained Si-channel in a MOSFET structure
US20080224183A1 (en) 2005-12-12 2008-09-18 Muhammad Nawaz Method for Manufacturing a Compound Semiconductor Field Effect Transistor Having a Fin Structure, and Compound Semiconductor Field Effect Transistor Having a Fin Structure
US7427788B2 (en) 2004-10-28 2008-09-23 Samsung Electronics Co., Ltd. Multi bridge channel field effect transistors with nano-wire channels and methods of manufacturing the same
US20090008630A1 (en) * 2006-01-25 2009-01-08 Nxp B.V. Tunneling transistor with barrier
US7476930B2 (en) 2005-02-04 2009-01-13 S.O.I.Tec Silicon On Insulator Technologies Multi-gate FET with multi-layer channel
US20090101975A1 (en) * 2005-02-21 2009-04-23 Infineon Technologies Ag Integrated Circuit Arrangement Comprising a Field Effect Transistor, Especially a Tunnel Field Effect Transistor
US20090212324A1 (en) 2008-02-26 2009-08-27 Oki Electric Industry Co., Ltd. Heterojunction field effect transistor
US20100038679A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Finfet with longitudinal stress in a channel
US7795687B2 (en) 2005-06-13 2010-09-14 Samsung Electronics Co., Ltd. MOS field effect transistor having plurality of channels
US7973336B2 (en) 2004-12-16 2011-07-05 Wisconsin Alumni Research Foundation Released freestanding strained heterojunction structures
CN102194884A (en) 2011-04-26 2011-09-21 北京大学 Field effect transistor of hybrid conduction mechanism
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8183627B2 (en) 2004-12-01 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid fin field-effect transistor structures and related methods
US20120153352A1 (en) 2010-12-15 2012-06-21 Gilbert Dewey High indium content transistor channels
US20120193679A1 (en) 2006-02-01 2012-08-02 International Business Machines Corporation Heterojunction tunneling field effect transistors, and methods for fabricating the same
US8236626B2 (en) 2010-04-15 2012-08-07 The Board Of Trustees Of The Leland Stanford Junior University Narrow graphene nanoribbons from carbon nanotubes
US8247806B2 (en) 2009-04-08 2012-08-21 Electronics And Telecommunications Research Institute Field effect transistor having graphene channel layer
US20120223390A1 (en) 2011-03-01 2012-09-06 Tsinghua University Tunneling field effect transistor and method for forming the same
US8293608B2 (en) 2008-02-08 2012-10-23 Freescale Semiconductor, Inc. Intermediate product for a multichannel FET and process for obtaining an intermediate product
US8309986B2 (en) 2006-09-27 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20120292665A1 (en) 2011-05-16 2012-11-22 Fabio Alessio Marino High performance multigate transistor
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8362604B2 (en) 2008-12-04 2013-01-29 Ecole Polytechnique Federale De Lausanne (Epfl) Ferroelectric tunnel FET switch and memory
US8384122B1 (en) * 2008-04-17 2013-02-26 The Regents Of The University Of California Tunneling transistor suitable for low voltage operation
US8404545B2 (en) 2007-03-12 2013-03-26 Imec Tunnel field-effect transistor with gated tunnel barrier
US8421165B2 (en) 2010-05-11 2013-04-16 Sematech, Inc. Apparatus, system, and method for tunneling MOSFETs using self-aligned heterostructure source and isolated drain
US20130093497A1 (en) 2011-10-14 2013-04-18 The Board Of Regents Of The University Of Texas System Tunnel field effect transistor (tfet) with lateral oxidation
US8436422B2 (en) 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US20130119395A1 (en) 2011-11-16 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel FET and Methods for Forming the Same
WO2013095346A1 (en) 2011-12-19 2013-06-27 Intel Corporation Non-planar iii-n transistor
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
WO2013101001A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of forming hetero-layers with reduced surface roughness and bulk defect density on non-native surfaces and the structures formed thereby
WO2013101172A1 (en) 2011-12-30 2013-07-04 Seoul National University R&Db Foundation Compound tunneling field effect transistor integrated on silicon substrate and method for fabricating the same
US20130181263A1 (en) 2012-01-16 2013-07-18 Globalfoundries Inc. Methods of Forming a Dielectric Cap Layer on a Metal Gate Structure
US20130200433A1 (en) 2012-02-02 2013-08-08 International Business Machines Corporation Strained channel for depleted channel semiconductor devices
US8530884B2 (en) 2006-06-09 2013-09-10 Intel Corporation Strain inducing semiconductor regions
US20140034962A1 (en) 2012-02-28 2014-02-06 Infineon Technologies Austria Ag Normally-Off Compound Semiconductor Tunnel Transistor with a Plurality of Charge Carrier Gases
US8686402B2 (en) 2008-12-30 2014-04-01 Niti Goel Tunnel field effect transistor and method of manufacturing same
US20140138744A1 (en) 2012-11-16 2014-05-22 Roza Kotlyar Tunneling field effect transistors (tfets) for cmos architectures and approaches to fabricating n-type and p-type tfets
US8754470B1 (en) 2013-01-18 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical tunneling field-effect transistor cell and fabricating the same
US8766353B2 (en) 2010-04-14 2014-07-01 International Business Machines Corporation Tunnel field effect transistor
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US20140197459A1 (en) 2011-01-04 2014-07-17 Ecole Polytechnique Federale De Lausanne (Epfl) Semiconductor device
US20140203350A1 (en) 2013-01-18 2014-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical Tunneling Field-Effect Transistor Cell and Fabricating the Same
US8809987B2 (en) 2010-07-06 2014-08-19 The Hong Kong University Of Science And Technology Normally-off III-nitride metal-2DEG tunnel junction field-effect transistors
US8816326B2 (en) 2011-11-01 2014-08-26 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and manufacturing method thereof
US20140346573A1 (en) 2013-05-23 2014-11-27 International Business Machines Corporation Semiconductor device including embedded crystalline back-gate bias planes, related design structure and method of fabrication
US8937299B2 (en) 2013-03-13 2015-01-20 International Business Machines Corporation III-V finFETs on silicon substrate
US20150179788A1 (en) * 2012-10-25 2015-06-25 Tohoku University Accumulation-mode mosfet and driving method thereof
US20150270340A1 (en) * 2014-03-21 2015-09-24 International Business Machines Corporation Stressed nanowire stack for field effect transistor

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100594327B1 (en) * 2005-03-24 2006-06-30 삼성전자주식회사 Semiconductor device comprising nanowire having rounded section and method for manufacturing the same
TW201110545A (en) * 2008-11-18 2011-03-16 Ecole Polytech Active multi gate micro-electro-mechanical device with built-in transistor
CN101777557A (en) * 2009-12-30 2010-07-14 复旦大学 Semiconductor circuit structure and manufacturing method thereof
CN102201450B (en) * 2011-05-31 2012-10-10 北京大学 Tunneling field effect transistor and preparation method thereof

Patent Citations (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5430310A (en) 1991-03-28 1995-07-04 Asahi Kasei Kogyo Kabushiki Kaisha Field effect transistor
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
EP1188188B1 (en) 1999-06-22 2005-08-31 Infineon Technologies AG Method for producing a multi-channel mosfet
US20010013621A1 (en) * 1999-12-09 2001-08-16 Kazuo Nakazato Memory Device
US7276723B2 (en) 2000-07-18 2007-10-02 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
US20020109135A1 (en) 2001-02-09 2002-08-15 Junichi Murota MOS field-effect transistor comprising layered structure including Si layer and SiGe layer OR SiGeC layer as channel regions
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US7112832B2 (en) 2003-07-31 2006-09-26 Freescale Semiconductor, Inc. Transistor having multiple channels
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7416957B2 (en) 2003-12-16 2008-08-26 Nxp B.V. Method for forming a strained Si-channel in a MOSFET structure
US7402483B2 (en) 2004-07-30 2008-07-22 Samsung Electronics Co., Ltd. Methods of forming a multi-bridge-channel MOSFET
US7427788B2 (en) 2004-10-28 2008-09-23 Samsung Electronics Co., Ltd. Multi bridge channel field effect transistors with nano-wire channels and methods of manufacturing the same
US8183627B2 (en) 2004-12-01 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid fin field-effect transistor structures and related methods
US7973336B2 (en) 2004-12-16 2011-07-05 Wisconsin Alumni Research Foundation Released freestanding strained heterojunction structures
US7262465B2 (en) 2005-01-27 2007-08-28 Fujitsu Limited P-channel MOS transistor and fabrication process thereof
US7476930B2 (en) 2005-02-04 2009-01-13 S.O.I.Tec Silicon On Insulator Technologies Multi-gate FET with multi-layer channel
US20090101975A1 (en) * 2005-02-21 2009-04-23 Infineon Technologies Ag Integrated Circuit Arrangement Comprising a Field Effect Transistor, Especially a Tunnel Field Effect Transistor
US7795687B2 (en) 2005-06-13 2010-09-14 Samsung Electronics Co., Ltd. MOS field effect transistor having plurality of channels
US20080224183A1 (en) 2005-12-12 2008-09-18 Muhammad Nawaz Method for Manufacturing a Compound Semiconductor Field Effect Transistor Having a Fin Structure, and Compound Semiconductor Field Effect Transistor Having a Fin Structure
US20090008630A1 (en) * 2006-01-25 2009-01-08 Nxp B.V. Tunneling transistor with barrier
US20120193679A1 (en) 2006-02-01 2012-08-02 International Business Machines Corporation Heterojunction tunneling field effect transistors, and methods for fabricating the same
US8530884B2 (en) 2006-06-09 2013-09-10 Intel Corporation Strain inducing semiconductor regions
US8309986B2 (en) 2006-09-27 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
US8404545B2 (en) 2007-03-12 2013-03-26 Imec Tunnel field-effect transistor with gated tunnel barrier
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8293608B2 (en) 2008-02-08 2012-10-23 Freescale Semiconductor, Inc. Intermediate product for a multichannel FET and process for obtaining an intermediate product
US20090212324A1 (en) 2008-02-26 2009-08-27 Oki Electric Industry Co., Ltd. Heterojunction field effect transistor
US8384122B1 (en) * 2008-04-17 2013-02-26 The Regents Of The University Of California Tunneling transistor suitable for low voltage operation
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US20100038679A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Finfet with longitudinal stress in a channel
US8362604B2 (en) 2008-12-04 2013-01-29 Ecole Polytechnique Federale De Lausanne (Epfl) Ferroelectric tunnel FET switch and memory
US8686402B2 (en) 2008-12-30 2014-04-01 Niti Goel Tunnel field effect transistor and method of manufacturing same
US8247806B2 (en) 2009-04-08 2012-08-21 Electronics And Telecommunications Research Institute Field effect transistor having graphene channel layer
US8436422B2 (en) 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8766353B2 (en) 2010-04-14 2014-07-01 International Business Machines Corporation Tunnel field effect transistor
US8236626B2 (en) 2010-04-15 2012-08-07 The Board Of Trustees Of The Leland Stanford Junior University Narrow graphene nanoribbons from carbon nanotubes
US8421165B2 (en) 2010-05-11 2013-04-16 Sematech, Inc. Apparatus, system, and method for tunneling MOSFETs using self-aligned heterostructure source and isolated drain
US8809987B2 (en) 2010-07-06 2014-08-19 The Hong Kong University Of Science And Technology Normally-off III-nitride metal-2DEG tunnel junction field-effect transistors
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US20120153352A1 (en) 2010-12-15 2012-06-21 Gilbert Dewey High indium content transistor channels
US20140197459A1 (en) 2011-01-04 2014-07-17 Ecole Polytechnique Federale De Lausanne (Epfl) Semiconductor device
US20120223390A1 (en) 2011-03-01 2012-09-06 Tsinghua University Tunneling field effect transistor and method for forming the same
CN102194884A (en) 2011-04-26 2011-09-21 北京大学 Field effect transistor of hybrid conduction mechanism
US20120292665A1 (en) 2011-05-16 2012-11-22 Fabio Alessio Marino High performance multigate transistor
US20130093497A1 (en) 2011-10-14 2013-04-18 The Board Of Regents Of The University Of Texas System Tunnel field effect transistor (tfet) with lateral oxidation
US8816326B2 (en) 2011-11-01 2014-08-26 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and manufacturing method thereof
US20130119395A1 (en) 2011-11-16 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel FET and Methods for Forming the Same
WO2013095346A1 (en) 2011-12-19 2013-06-27 Intel Corporation Non-planar iii-n transistor
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
WO2013101001A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of forming hetero-layers with reduced surface roughness and bulk defect density on non-native surfaces and the structures formed thereby
WO2013101172A1 (en) 2011-12-30 2013-07-04 Seoul National University R&Db Foundation Compound tunneling field effect transistor integrated on silicon substrate and method for fabricating the same
US20130181263A1 (en) 2012-01-16 2013-07-18 Globalfoundries Inc. Methods of Forming a Dielectric Cap Layer on a Metal Gate Structure
US20130200433A1 (en) 2012-02-02 2013-08-08 International Business Machines Corporation Strained channel for depleted channel semiconductor devices
US20140034962A1 (en) 2012-02-28 2014-02-06 Infineon Technologies Austria Ag Normally-Off Compound Semiconductor Tunnel Transistor with a Plurality of Charge Carrier Gases
JPWO2014064737A1 (en) * 2012-10-25 2016-09-05 国立大学法人東北大学 Accumulation type MOSFET
US20150179788A1 (en) * 2012-10-25 2015-06-25 Tohoku University Accumulation-mode mosfet and driving method thereof
US20140138744A1 (en) 2012-11-16 2014-05-22 Roza Kotlyar Tunneling field effect transistors (tfets) for cmos architectures and approaches to fabricating n-type and p-type tfets
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US20140203350A1 (en) 2013-01-18 2014-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical Tunneling Field-Effect Transistor Cell and Fabricating the Same
US8754470B1 (en) 2013-01-18 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical tunneling field-effect transistor cell and fabricating the same
US8937299B2 (en) 2013-03-13 2015-01-20 International Business Machines Corporation III-V finFETs on silicon substrate
US20140346573A1 (en) 2013-05-23 2014-11-27 International Business Machines Corporation Semiconductor device including embedded crystalline back-gate bias planes, related design structure and method of fabrication
US20150270340A1 (en) * 2014-03-21 2015-09-24 International Business Machines Corporation Stressed nanowire stack for field effect transistor

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Cai et al. "III-Nitride metal-insulator-semiconductior heterojunction field-effect transistors using sputtered AION thin film", Applied Physics Letters, vol. 86, p. 032109 (2005).
Ionescu A.M. et al. "Tunnel field-effect transistors as energy-efficient electronic switches", Nature, vol. 479, No. 7373, pp. 329-337, Nov. 16, 2011.
Lu Y. et al. "Performance of AlGaSb/InAs TFETs With Gate Electric Field and Tunneling Direction Aligned", Electron Device Letters, vol. 33, No. 5, pp. 655-657, May 2012.
Moselund K.E. et al. "InAs-Si Nanowire Heterojunction Tunnel FETs", Electron Device Letters, vol. 33, No. 10, pp. 1453-1455, Oct. 2012.
Register L.F. et al. "Stepped Broken-Gap Heterobarrier Tunneling Field-Effect Transistor for Ultralow Power and High Speed", Electron Device Letters, vol. 32, No. 6, pp. 743-745, Jun. 2011.

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853132B2 (en) * 2015-11-13 2017-12-26 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US20170141207A1 (en) * 2015-11-13 2017-05-18 International Business Machines Corporation Nanosheet mosfet with full-height air-gap spacer
US11710780B2 (en) 2016-06-01 2023-07-25 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device fabrication method
US10374065B2 (en) * 2016-06-01 2019-08-06 Semiconductor Manufacturing International (Shanghai) Corporation Method and device for compound semiconductor fin structure
US10937896B2 (en) 2016-06-01 2021-03-02 Semiconductor Manufacturing International (Shanghai) Corporation Device for compound semiconductor Fin structure
US20170352739A1 (en) * 2016-06-01 2017-12-07 Semiconductor Manufacturing International (Shanghai) Corporation Method and device for compound semiconductor fin structure
US10411695B2 (en) * 2017-05-26 2019-09-10 Indian Institute Of Science Programmable tunnel thermionic mode transistor
US20180343006A1 (en) * 2017-05-26 2018-11-29 Indian Institute Of Science Programmable tunnel thermionic mode transistor
US10651291B2 (en) 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
US10692993B2 (en) 2017-09-13 2020-06-23 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US20190393306A1 (en) * 2017-12-07 2019-12-26 International Business Machines Corporation Formation of self-limited inner spacer for gate-all-around nanosheet fet
US10886369B2 (en) * 2017-12-07 2021-01-05 International Business Machines Corporation Formation of self-limited inner spacer for gate-all-around nanosheet FET
US20190181140A1 (en) * 2017-12-11 2019-06-13 Samsung Electronics Co., Ltd. Dielectric separation of partial gaa fets
US10566330B2 (en) * 2017-12-11 2020-02-18 Samsung Electronics Co., Ltd. Dielectric separation of partial GAA FETs
US11705503B2 (en) 2017-12-27 2023-07-18 Samsung Electronics Co., Ltd. Semiconductor device including non-sacrificial gate spacers and method of fabricating the same
US10243054B1 (en) * 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate
US10319846B1 (en) 2018-05-09 2019-06-11 International Business Machines Corporation Multiple work function nanosheet field-effect transistors with differential interfacial layer thickness
US10622466B2 (en) 2018-05-09 2020-04-14 International Business Machines Corporation Multiple work function nanosheet field-effect transistors with differential interfacial layer thickness
US10388755B1 (en) 2018-06-04 2019-08-20 International Business Machines Corporation Stacked nanosheets with self-aligned inner spacers and metallic source/drain
US11121232B2 (en) 2018-06-04 2021-09-14 International Business Machines Corporation Stacked nanosheets with self-aligned inner spacers and metallic source/drain
US10586856B2 (en) 2018-06-14 2020-03-10 International Business Machines Corporation Nanosheet FET device with epitaxial nucleation
US11474134B2 (en) * 2018-11-08 2022-10-18 Government Of The United States Of America, As Represented By The Secretary Of Commerce Gateless P-N junction metrolog
US11069681B2 (en) 2019-05-13 2021-07-20 Samsung Electronics Co., Ltd. Integrated circuit device
US11710738B2 (en) 2019-05-13 2023-07-25 Samsung Electronics Co., Ltd. Integrated circuit device
US11211456B2 (en) 2019-05-17 2021-12-28 Samsung Electronics Co., Ltd. Semiconductor devices
US11670680B2 (en) 2019-05-17 2023-06-06 Samsung Electronics Co., Ltd. Semiconductor devices
US11362091B2 (en) 2019-06-26 2022-06-14 Tokyo Electron Limited Multiple nano layer transistor layers with different transistor architectures for improved circuit layout and performance
US11894378B2 (en) 2019-06-26 2024-02-06 Tokyo Electron Limited Multiple nano layer transistor layers with different transistor architectures for improved circuit layout and performance
US11799018B2 (en) * 2019-08-23 2023-10-24 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and method for forming the same
US20210057553A1 (en) * 2019-08-23 2021-02-25 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and method for forming the same
US11869983B2 (en) 2020-03-12 2024-01-09 International Business Machines Corporation Low voltage/power junction FET with all-around junction gate
TWI777359B (en) * 2020-04-28 2022-09-11 台灣積體電路製造股份有限公司 Semiconductor device and method
US11757042B2 (en) 2020-04-28 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11756837B2 (en) 2021-03-17 2023-09-12 International Business Machines Corporation Hybrid nanosheet tunnel-FET/CMOS technology
US11735634B2 (en) 2021-03-17 2023-08-22 International Business Machines Corporation Complementary 3D nanosheet matrix FETs

Also Published As

Publication number Publication date
TWI658592B (en) 2019-05-01
CN105280502B (en) 2020-07-17
CN105280502A (en) 2016-01-27
US20160020305A1 (en) 2016-01-21
TW201611276A (en) 2016-03-16
KR20160011171A (en) 2016-01-29
KR102286671B1 (en) 2021-08-06

Similar Documents

Publication Publication Date Title
US9647098B2 (en) Thermionically-overdriven tunnel FETs and methods of fabricating the same
US9570609B2 (en) Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
CN106463543B (en) Crystalline multi-nano-sheet strained channel FET and method of fabricating the same
US9484423B2 (en) Crystalline multiple-nanosheet III-V channel FETs
US9818864B2 (en) Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US20160307928A1 (en) Semiconductor Devices and Manufacturing Methods Thereof
US20050017377A1 (en) FET channel having a strained lattice structure along multiple surfaces
CN105185712B (en) Integrated circuit devices including fin field effect transistors and methods of forming the same
US10333000B2 (en) Forming strained channel with germanium condensation
US9773904B2 (en) Vertical field effect transistor with biaxial stressor layer
US20110204443A1 (en) Semiconductor-on-insulator (soi) structure and method of forming the soi structure using a bulk semiconductor starting wafer
CN104465760A (en) Semiconductor device
US10566250B2 (en) High aspect ratio channel semiconductor device and method of manufacturing same
CN210516733U (en) Vertical semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OBRADOVIC, BORNA;BOWEN, ROBERT C.;PALLE, DHARMENDAR REDDY;AND OTHERS;REEL/FRAME:034675/0610

Effective date: 20150108

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4