US9777378B2 - Advanced process flow for high quality FCVD films - Google Patents

Advanced process flow for high quality FCVD films Download PDF

Info

Publication number
US9777378B2
US9777378B2 US14/635,589 US201514635589A US9777378B2 US 9777378 B2 US9777378 B2 US 9777378B2 US 201514635589 A US201514635589 A US 201514635589A US 9777378 B2 US9777378 B2 US 9777378B2
Authority
US
United States
Prior art keywords
film
ion implantation
fcvd
dielectric film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US14/635,589
Other versions
US20160194758A1 (en
Inventor
Srinivas D. Nemani
Erica Chen
Ludovic Godet
Jun Xue
Ellie Y. Yieh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US14/635,589 priority Critical patent/US9777378B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NEMANI, SRINIVAS D., XUE, Jun, GODET, LUDOVIC, CHEN, Erica, YIEH, ELLIE Y.
Priority to JP2017535912A priority patent/JP6782702B2/en
Priority to KR1020177021938A priority patent/KR102438577B1/en
Priority to CN201580064621.9A priority patent/CN107109643B/en
Priority to PCT/US2015/065846 priority patent/WO2016111815A1/en
Priority to TW104142763A priority patent/TWI676700B/en
Publication of US20160194758A1 publication Critical patent/US20160194758A1/en
Publication of US9777378B2 publication Critical patent/US9777378B2/en
Application granted granted Critical
Priority to JP2020176093A priority patent/JP2021044555A/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Definitions

  • Embodiments of the present disclosure generally relate to a methods of forming dielectric materials on a substrate. More specifically, embodiments provided herein relate to process flow for forming high quality flowable chemical vapor deposition (FCVD) films.
  • FCVD flowable chemical vapor deposition
  • features utilized to manufacture devices at these advanced technology nodes include high aspect ratio structures and it is often necessary to fill gaps between the high aspect ratio structures with an insulating material.
  • insulating materials are utilized for gap fill applications include shallow trench isolation, inter-metal dielectric layers, passivation layers, patterning applications, etc.
  • FCVD films may be utilized for high aspect ratio gap fill applications as the films exhibit good conformity, step coverage, and the ability to adequately fill high aspect ratio (HAR) spaces (HAR>10:1).
  • FCVD films generally exhibit low density as deposited when compared to thermally deposited oxides.
  • high temperature annealing processes at temperatures greater than about 500 degrees Celsius, such as greater than about 1000 degrees Celsius, are often utilized in conventional FCVD processes to improve the film density. Such temperatures are often beyond the thermal budgets of device materials and may not be suitable for desired applications.
  • high temperature annealing processes may cause film shrinkage and create undesirable tensile stress within the films which may result in device defects.
  • conventional FCVD processes often suffer from queue time inefficiencies when a predominantly oxide containing dielectric film is desired.
  • a method of forming a flowable CVD film includes forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr.
  • the method sequentially includes treating the dielectric film by exposing the dielectric film to one or more ion species in an ion implantation process and subsequently exposing the dielectric film to oxygen radicals to cure the dielectric film.
  • the cured dielectric film may be annealed by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
  • a method of forming a flowable CVD film includes forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr.
  • the formed dielectric film may be exposed to oxygen radicals to cure the dielectric film and the dielectric film may be subsequently treated by exposing the dielectric film to one or more ion species in an ion implantation process.
  • the treated dielectric film may be annealed by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
  • a method of forming a flowable CVD film includes forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr.
  • the formed dielectric film may be exposed to oxygen radicals to cure the dielectric film and the dielectric film may be subsequently annealed by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
  • the annealed dielectric film may be treated by exposing the dielectric film to one or more ion species in an ion implantation process.
  • FIG. 1 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
  • FIG. 2 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
  • FIG. 3 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
  • FIG. 4 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
  • FIG. 5 schematically illustrates a processing apparatus utilized to form an FCVD film according to one embodiment described herein.
  • FIG. 6 schematically illustrates a processing apparatus utilized to form an FCVD film according to one embodiment described herein.
  • FIG. 7 is a graph illustrating a comparison of etch rates of conventionally formed FCVD films and FCVD films formed according to embodiments described herein.
  • FIG. 8 is a graph illustrating a comparison of hydrogen and nitrogen concentrations of conventionally formed FCVD films and FCVD films formed according to embodiments described herein.
  • Embodiments described herein relate to methods for forming flowable chemical vapor deposition (FCVD) films suitable for high aspect ratio gap fill applications, among other applications.
  • Various process flows described include ion implantation processes utilized to treat a deposited FCVD film to improve dielectric film density and material composition. Ion implantation processes, curing processes, and annealing processes may be utilized in various sequence combinations to form dielectric films having improved densities at temperatures without exceeding the thermal budget of device materials.
  • Improved film quality characteristics include reduced film stress and reduced film shrinkage when compared to conventional FCVD film formation processes.
  • FIG. 1 illustrates operations of a method 100 for forming an FCVD film.
  • an FCVD film is deposited on a substrate.
  • the substrate generally has HAR features formed thereon and one or more processing chambers may be utilized to deposit the FCVD film on the substrate.
  • a flowable layer may be formed using a high-density plasma CVD system, a plasma enhanced CVD system, and/or a sub-atmospheric CVD system, among other systems. Examples of CVD systems that may be adapted to form a flowable oxide layer include the ULTIMA HDP CVD® system and PRODUCER® ETERNA CVD® system, both available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that other suitable CVD systems from other manufacturers may also be utilized.
  • Deposited dielectric films are typically more flowable at lower plasma powers and shift from flowable to conformal when the plasma power is increased.
  • an argon containing plasma maintained in a processing region of a processing chamber may produce a more flowable silicon oxide layer as the plasma power is decreased from about 1000 Watts to about 100 Watts or lower (e.g., about 900, 800, 700, 600, or 500 Watts or less), and a more conformal layer as the plasma power is increased from about 1000 Watts or more (e.g., about 1000, 1100, 1200, 1300, 1400, 1500, 1600, 1700 Watts or more).
  • the transition from a flowable to conformal deposited film may be relatively smooth and continuous or progress through relatively discrete thresholds.
  • the plasma power (either alone or in addition to other deposition parameters) may be adjusted to select a balance between the conformal and flowable properties of the deposited film.
  • One example of a process for forming an oxide layer over the substrate having high aspect ratio features formed thereon includes the reaction of a silicone containing precursor and an oxygen containing precursor at a temperature of about 100° C. or less to form a flowable oxide layer.
  • Suitable silicon containing precursors include organosilicon precursors.
  • Organosilicon precursors have a ratio of carbon atoms to silicon atoms less than 8.
  • Suitable organosilicon compounds comprising the silicon containing precursor may have a ratio of oxygen to silicon atoms of 0 to about 6, and may include an Si—O—Si linkage that facilitates formation of SiO x films with reduced contamination from carbon and hydroxyl groups.
  • Suitable organosilicon compounds may be siloxane compounds, such as triethoxysiloxane, tetramethoxysiloxane, trimethoxysiloxane, hexamethoxydisiloxane, octamethoxytrisiloxane, and/or octamethoxydodecasiloxane, silazoxane compounds having one or more nitrogen groups, such as hexamethoxydisilazoxane, methyl hexamethoxydisilazoxane, chlorohexamethoxydisilazoxane, hexaethoxy-disilazoxane, nonamethoxytrisilazoxane, and octamethoxycyclosilazoxane, halogenated siloxane compounds that include one or more halogen moieties (e.g., fluoride, chloride, bromide, or iodide), such
  • R 1 -R 6 may be, independently, a C 1-3 alkoxy group, a C 1-3 alkyl group, or an acetoxy group, wherein at least one of R 1-6 is an alkoxy group or an acetoxy group.
  • Suitable organosilicon compounds may also include cyclic disilanes with alkyl and alkoxy moieties, such as butasilanes, pentasilanes, hexasilanes, heptasilanes, octasilanes, and the like, having at least one alky and alkoxy group.
  • organosilicon compounds also include organocyclosilanes such as cyclobutasilane, cyclopentasilane, cyclohexasilane, cycloheptasilane, cyclooctasilane, and other similar compounds.
  • the oxygen containing precursor may include molecular oxygen (O 2 ), ozone (O 3 ), a nitrogen-oxygen compound such as NO, NO 2 , or N 2 O, a hydrogen-oxygen compound such as water or peroxide, a carbon-oxygen compound such as carbon monoxide or carbon dioxide, and other oxygen-containing precursors.
  • the oxygen containing precursor may also include atomic oxygen and/or oxygen radicals that are generated remotely and introduced with the organosilicon precursor.
  • a carrier gas, such as helium, neon, argon, and/or hydrogen may be mixed with the organosilicon precursor, the oxygen containing precursor, or both, if desired.
  • the oxygen containing precursor may be activated prior to introduction to the processing chamber, for example using a remote plasma source, which may include thermal dissociation, ultraviolet light dissociation, RF, DC, and/or microwave dissociation.
  • a remote plasma source which may include thermal dissociation, ultraviolet light dissociation, RF, DC, and/or microwave dissociation.
  • 4-6 kW of RF power may be coupled into a flow of 900-1,800 sccm of argon and 600-1,200 sccm of molecular oxygen.
  • the heating temperature may range between room temperature to about 1100° C.
  • the silicon containing precursor and the oxygen containing precursor are typically introduced to a processing chamber via different pathways to avoid reactions outside the processing chamber.
  • the silicon containing precursor may be introduced as a gas to the processing chamber at a liquid-equivalent flow rate of about 800 mgm to about 1,600 mgm.
  • Helium may be included as a carrier gas at a flow rate of about 600 sccm to about 2,400 sccm.
  • An activated oxygen containing precursor may be introduced to the processing chamber at a flow rate between about 3 sLm and about 20 sLm.
  • the precursors react to deposit a flowable oxide layer on a substrate having a patterned resist material.
  • the flowable oxide flows to fill the recesses in the patterned substrate.
  • a flowable oxide layer which may be silicon oxide, is deposited to a thickness of 200-400 ⁇ to cover the features formed on the substrate and gaps between the features.
  • the silicon containing precursor such as an organosilicon precursor, may be used to form a flowable layer at a processing temperature between about ⁇ 10° C. and about 150° C., such as between about 30° C. and about 100° C., for example about 65° C., and pressure of about 0.5 Torr to about 10 Torr.
  • the silicon containing precursor may be provided at a flow rate between about 10 sccm and about 1800 sccm, such as between about 600 sccm and about 1600 sccm, for example about 1400 sccm.
  • the oxygen containing precursor may be provided at a flow rate between about 10 mgm and about 1,500 mgm, for example about 1,000 mgm.
  • a nitrogen containing precursor such as a nitrogen-based radical precursor, may also be provided from a radical source at a flow rate between about 600 sccm and about 1,250 sccm, for example about 800 sccm. It is believed that flow rates at the higher values of the aforementioned ranges for both silyl gas and radical gas may improve flowability of the film and improve the mechanical strength of the deposited FCVD film.
  • the ratio of organosilicon precursor flow rate to nitrogen-based radical flow rate may be from about 1:1 to about 10:1, for example about 2:1.
  • the nitrogen-based radical gas may be derived from, for example, ammonia or other suitable nitrogen containing precursors.
  • films may include, but are not limited to silicon-containing films.
  • a deposited FCVD film may be composed of SiC, SiO, SiCN, SiO 2 , SiOC, SiOCN, SiON and/or SiN.
  • the composition of the films depends on the composition of the precursor gases.
  • SiC films may be deposited, for example, by using (dimethylsilyl)(trimethylsilyl)methane, hexamethyldisilane, and/or trimethylsilane.
  • SiO/SiO 2 films may be deposited, for example, by using TEOS and/or disiloxane.
  • SiCN films may be deposited, for example, by using tris(dimethylamino)silane, bis(dimethylamino)methylsilane, and/or (dimethylamino)dimethylsilane.
  • SiOC films may be deposited, for example, by using tris(dimethylamino)silane, bis(dimethylamino)methylsilane, (dimethylamino)di-methylsilane, tris(dimethylamino)silane, bis(dimethylamino)methylsilane, and/or (dimethylamino)dimethylsilane.
  • SiOCN films can be formed, for example, by using tris(dimethylamino)silane, bis(dimethylamino)methylsilane, and/or (dimethylamino)dimethylsilane.
  • SiON films can be formed, for example, by using disiloxane or trisilylamine.
  • SiN films may be deposited, for example, by using trisilylamine (TSA).
  • TSA trisilylamine
  • Silane may also be a suitable precursor utilized in conjunction with one or more nitrogen containing precursors, such as those described above.
  • an implantation process may be performed on the substrate to modify the FCVD film deposited in operation 110 .
  • the implantation process generally incorporates ion species into the FCVD film to break existing unstable bonds that may exist in the FCVD film.
  • the implantation process may be performed in-situ in the same processing chamber that performs the FCVD deposition process or ex-situ in a separate ion implantation chamber.
  • the apparatus 500 includes a load-lock chamber 502 , a transfer chamber 504 , and a processing chamber 506 .
  • the load-lock chamber 502 may be configured to receive a substrate from an atmospheric environment.
  • the load-lock chamber 502 is configured to generate a vacuum environment prior to transferring the substrate to the transfer chamber 504 which is coupled to the load-lock chamber 502 .
  • the transfer chamber 504 may include a robot for transferring the substrate from the load-lock chamber 502 to the processing chamber 506 .
  • the processing chamber 506 may be any of the exemplary processing chambers described above and the processing chamber 506 may be configured with appropriate apparatus to perform an in-situ implantation process.
  • various precursors in addition to those utilized to form the FCVD film, may be ionized and implanted within the FCVD film.
  • suitable precursors include BF 3 , Bl 3 , N 2 , PH 3 , AsH 3 , B 2 H 6 , H 2 , Ar, Xe, Kr, Ne, He, CHF3, C2F6, CH 4 , CF 4 , CO 2 , AsF 5 , Carborane, PF 3 and PF 5 , among others.
  • species of ions may include He+, H 3 +, H 2 +, H+, Ne+, F+, C+, CF x +, CH x +, C x H y , N+, B+, BF 2 +, B 2 H x +, Xe+ and molecular carbon, boron, or boron carbide ions.
  • the implantation process may be performed during the FCVD film deposition process or subsequent to the FCVD film deposition process.
  • FIG. 6 schematically illustrates an ex-situ implantation apparatus 600 .
  • the apparatus 600 includes a load-lock chamber 602 , a transfer chamber 604 , and a processing chamber 606 .
  • the apparatus 600 also includes an ion implantation apparatus 608 .
  • the ion implantation apparatus 608 include traditional ion implantation apparatuses, such as plasma immersion ion implantation apparatus and beamline ion implantation apparatus.
  • a plasma immersion ion implantation apparatus is the P3i system.
  • VARIAN VIISTA® PLADTM Another example of a plasma ion implantation apparatus is the VARIAN VIISTA® PLADTM system.
  • VARIAN VIISTA® Trident Another example of a beamline ion implantation apparatus is the VARIAN VIISTA® Trident, all available from Applied Materials, Inc. Santa Clara, Calif. It is contemplated that other similarly configured apparatus from other manufacturers may also be utilized to perform the embodiments described herein.
  • ion implantation characteristics may be configured to provide a desired implantation profile.
  • the ion species, ion concentration, ion implantation temperature, and implantation energy may be varied depending upon the desired application, for example, modifying the FCVD film utilized for shallow trench isolation.
  • Suitable ion species may be generated from various precursor materials, such as hydrogen, helium, neon, argon, oxygen, nitrogen, silicon, phosphorous, boron, and arsenic containing materials, among others.
  • Thermionic ion implantation may be performed at a temperature less than about 500 degrees Celsius, such as between about 200 degrees Celsius and about 400 degrees Celsius.
  • Thermionic ion implantation may also be performed at lower temperatures, such as room temperature or the like.
  • Ion concentration and implantation energy will generally be dependent upon the type of species being implanted and the desired dopant concentration within the FCVD film.
  • H ions may be provided at a concentration between about 1E16 and about 1E20, such as about 1 E17, and implanted with an energy of between about 5 keV and about 10 keV, such as about 7.5 keV. It is contemplated that the ion implantation variables described above may be selected depending upon the desired FCVD film modification application.
  • FCVD film containing unstable Si—H and Si—N bonds may be modified by incorporation of ions into the film.
  • oxygen ions may be implanted into the film to break the Si—H and Si—N bonds to initiate conversion of the FCVD film to an SiO 2 material. It is believed that H and N atoms dissociated from the Si atoms may be removed from the film as a result of outgassing in the form of NH, (i.e. NH 3 ). Resulting Si dangling bonds may bond with the implanted oxygen ions to form the SiO 2 material.
  • Ion implantation of the FCVD film depending upon the type of ion species selected, may also function to heal Si structures formed on the substrate. For example, FCVD film processes, such as curing and annealing, may damage the HAR structures and implantation of Si ion may function to heal the damages structures.
  • the FCVD film may be annealed.
  • Annealing of the film may be performed either in the processing chamber (i.e. processing chamber 506 in in-situ embodiments), the ion implantation chamber (i.e. ion implantation apparatus 608 in ex-situ embodiments), or a different annealing apparatus, such as a rapid thermal processing chamber.
  • Annealing the film further facilitates removal of the H and N atoms from the FCVD film via outgassing to form a predominantly SiO 2 material film.
  • the annealing process may be performed at a temperature of less than about 500 degree Celsius for a suitable amount of time to provide for a desired degree of SiO 2 conversion.
  • Water vapor may be provided during the annealing process to steam anneal the FCVD film. It is believed that oxygen atoms in the steam may advantageously be incorporated into the film by bonding to Si dangling bonds and increase the oxygen content of the SiO 2 material. It is contemplated that the steam annealing process may also prevent shrinkage of the SiO 2 material due to the availability of oxygen atoms for increased Si—O bonding.
  • queue time may be reduced when compared to conventional FCVD formation processes as a subsequent curing step may not be necessary to form an FCVD film which exhibits a suitable density and film stress.
  • reduced film shrinkage compared to conventional FCVD film high temperature annealing processes may be realized by utilizing a lower temperature anneal.
  • FIG. 2 illustrates operations of a method 200 for forming an FCVD film.
  • an FCVD film is deposited on a substrate, similar to the process described with regard to operation 110 .
  • an ion implantation process is performed on the substrate to modify the FCVD film similar to the process described with regard to operation 120 .
  • the FCVD film may be cured.
  • the FCVD film may be cured subsequent to the deposition and ion implantation operations 210 , 220 to remove moisture and residual organics, harden, and densify the layer.
  • the curing is typically performed using low temperature processes at a temperature of about 100 degrees Celsius or less. Such processes include exposure to inductively coupled plasma, ultraviolet light, ozone, e-beam, acidic or basic vapors, an aqueous environment such as heated deionized water, and a combination or succession of such treatments.
  • the FCVD film may be heated to a temperature of about 150 degrees Celsius or less by surface heating methods. Such surface heating methods include exposure to infrared or heat lamps and proximity to a hot chamber surface, such as a heated showerhead or the like.
  • curing the oxide layer may be performed by heating the substrate using a heat source applied to the surface of the substrate opposite the FCVD film.
  • the substrate may be disposed on a heated substrate support, and curing the FCVD film on the substrate may be performed by heating the substrate support to a temperature between about 100 degrees Celsius and about 150 degrees Celsius.
  • the FCVD film may be partially cured, if desired, to reduce curing time or to achieve certain desired properties of the cured FCVD film.
  • curing utilizing oxygen radicals derived from ozone may further incorporate oxygen atoms into the FCVD film. It is typically desired that the flowable oxide layer be cured to an extent sufficient to allow a pattern to be formed and maintained by the cured oxide layer without flowing.
  • the FCVD film is typically cured to at least about 40%, such as between about 50% and about 95%, for example about 90%.
  • the FCVD film may be annealed similar to the process described in operation 130 .
  • the ion implantation is performed immediately after the FCVD film deposition.
  • the ion implantation functions to break Si—H and Si—N bonds prior to subsequent processing operations which may reduce overall queue time and facilitate oxygen incorporation into the FCVD film in subsequent processing operations.
  • FIG. 3 illustrates operations of a method 300 for forming a FCVD film.
  • a FCVD film is deposited on a substrate similar to the process described with regard to operation 110 .
  • the FCVD film is cured similar to the process described with regard to operation 230 .
  • an ion implantation process is performed on the cured FCVD film.
  • the previously cured film may have dangling Si bonds as a result of the curing process and the ion implantation process may further break Si—H and Si—N bonds present in the FCVD film. It is believe the ion implantation may further facilitate outgassing of NH x materials from the FCVD film and prepare the FCVD film for subsequent SiO 2 conversion via oxygen atom incorporation.
  • the FCVD film is annealed.
  • the annealing process may be a low temperature steam annealing process similar to the process described with regard to operation 130 . It is believed that SiO 2 material conversion may continue during the annealing process by outgassing NFL materials and by incorporation of oxygen atoms into the FCVD film.
  • FIG. 4 illustrates operations of a method 400 for forming a FCVD film.
  • a FCVD film is deposited on a substrate similar to the process described with regard to operation 110 .
  • the FCVD film is cured similar to the process described with regard to operation 230 . It is believed the curing process facilitates outgassing of undesirable materials from the FCVD film and facilitates incorporation of oxygen atoms into the FCVD film.
  • an annealing process is performed on the FCVD film similar to the process described with regard to operation 130 . The annealing process may further incorporate oxygen atoms into the film, for example, by energizing ozone and exposing the FCVD film to oxygen radicals.
  • an ion implantation process is performed on the FCVD film similar to the process described with regard to operation 120 .
  • the ion implantation process may accelerate SiO 2 conversion of the FCVD film depending upon the ion species utilized in the ion implantation process. Thus, queue time may be reduced when compared to conventional FCVD formation processes.
  • each of the operations in the methods 100 , 200 , 300 , 400 may be performed sequentially. It is believed that the ion implantation operations may result in reduced SiO 2 material conversion while providing FCVD films with desirable densities and film stress. For example, it has been found that conventional FCVD films annealed at high temperatures exhibit film stress approximately 30 percent greater than FCVD films formed according to the methods 100 , 200 , 300 , 400 . In one example, conventional FCVD films exhibited a film stress of about 200 MPa. FCVD films formed according to the methods 100 , 200 , 300 , 400 exhibited a film stress of about 133 MPa. Accordingly, film stress may be advantageously reduced. It is contemplated that the film stress may also be advantageously influenced by selecting a suitable ion species for implantation and tuning the implantation dosage and implantation energy to form an FCVD film with a reduced film stress, when compared to conventional FCVD films.
  • FIG. 7 is a graph 700 illustrating a comparison of etch rates of conventionally formed FCVD films and FCVD films formed according to embodiments described herein.
  • Data 702 is representative of the etch rate of a conventionally formed FCVD film in diluted HF (100:1).
  • Data 704 is representative of the etch rate of an FCVD film in diluted HF (100:1) formed according to the embodiments described herein.
  • the etch rate of FCVD films formed according to the present embodiments (data 704 ) is significantly reduced from the etch rate of conventionally formed FCVD films (data 702 ).
  • the results may indicate an improved density of the improved FCVD films.
  • the improved FCVD films provide for improved film density homogeneity at various locations on the substrate by reducing the loading effect caused by the spatial relationship of adjacent HAR features.
  • the etch rate of the FCVD film at a dense location vs. the second trench location is substantially similar which suggests that the loading effect is reduced.
  • FIG. 8 is a graph 800 illustrating a comparison of hydrogen and nitrogen concentrations of conventionally formed FCVD films and FCVD films formed according to embodiments described herein.
  • Data 802 is representative of the hydrogen content of a conventional FCVD film and data 804 is representative of the hydrogen content of an FCVD film formed according to the embodiments described herein.
  • data 804 is representative of an improved FCVD film implanted with hydrogen according to the method 300 . It can be seen that the hydrogen content represented by data 804 is similar to the hydrogen content represented by data 802 .
  • hydrogen ion implantation does not appreciably increase the hydrogen content when compared to the conventional FCVD film even though hydrogen ions were implanted into the FCVD film.
  • Data 806 is representative of the nitrogen content of a conventional FCVD film and data 808 is representative of the nitrogen content of an FCVD film formed according to the embodiments described herein.
  • data 808 is representative of an improved FCVD film implanted with hydrogen and nitrogen according to the method 300 . It can be seen that the nitrogen content represented by data 808 is about one order of magnitude less than the nitrogen content represented by data 806 .
  • nitrogen ion implantation, in combination with hydrogen implantation lowers the nitrogen content when compared to the conventional FCVD film even though nitrogen ions were implanted into the FCVD film.
  • a reduced nitrogen concentration in the FCVD film suggests a greater amount of oxygen is incorporated into the film when performing the methods described herein.
  • improved FCVD process provide for reduced queue time which may improve throughput.
  • a more dense FCVD film may be achieved while processing utilizing temperatures which do not exceed the thermal budget of materials on the substrate.
  • the improved film density generally provides for a reduced etching rate which may improve various etching characteristics.
  • film stress which is tunable utilizing the processes described herein, may be reduced and undesirable film shrinkage may be reduced or eliminated according to the embodiments described herein.

Abstract

Embodiments described herein relate to methods for forming flowable chemical vapor deposition (FCVD) films suitable for high aspect ratio gap fill applications. Various process flows described include ion implantation processes utilized to treat a deposited FCVD film to improve dielectric film density and material composition. Ion implantation processes, curing processes, and annealing processes may be utilized in various sequence combinations to form dielectric films having improved densities at temperatures within the thermal budget of device materials. Improved film quality characteristics include reduced film stress and reduced film shrinkage when compared to conventional FCVD film formation processes.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims benefit of U.S. provisional patent application No. 62/100,888, filed Jan. 7, 2015, the entirety of which is hereby incorporated by reference.
BACKGROUND
Field
Embodiments of the present disclosure generally relate to a methods of forming dielectric materials on a substrate. More specifically, embodiments provided herein relate to process flow for forming high quality flowable chemical vapor deposition (FCVD) films.
Description of the Related Art
In semiconductor processing, devices are being manufactured with continually decreasing feature dimensions. Often, features utilized to manufacture devices at these advanced technology nodes include high aspect ratio structures and it is often necessary to fill gaps between the high aspect ratio structures with an insulating material. Examples where insulating materials are utilized for gap fill applications include shallow trench isolation, inter-metal dielectric layers, passivation layers, patterning applications, etc. As device geometries shrink and thermal budgets are reduced, void-free filling of high aspect ratio spaces becomes increasingly difficult due to limitations of existing deposition processes.
FCVD films may be utilized for high aspect ratio gap fill applications as the films exhibit good conformity, step coverage, and the ability to adequately fill high aspect ratio (HAR) spaces (HAR>10:1). However, FCVD films generally exhibit low density as deposited when compared to thermally deposited oxides. Moreover, high temperature annealing processes at temperatures greater than about 500 degrees Celsius, such as greater than about 1000 degrees Celsius, are often utilized in conventional FCVD processes to improve the film density. Such temperatures are often beyond the thermal budgets of device materials and may not be suitable for desired applications. In addition, high temperature annealing processes may cause film shrinkage and create undesirable tensile stress within the films which may result in device defects. Finally, conventional FCVD processes often suffer from queue time inefficiencies when a predominantly oxide containing dielectric film is desired.
Thus, there is a need for improved processes for forming FCVD films.
SUMMARY
In one embodiment, a method of forming a flowable CVD film is provided. The method includes forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr. The method sequentially includes treating the dielectric film by exposing the dielectric film to one or more ion species in an ion implantation process and subsequently exposing the dielectric film to oxygen radicals to cure the dielectric film. The cured dielectric film may be annealed by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
In another embodiment, a method of forming a flowable CVD film is provided. The method includes forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr. The formed dielectric film may be exposed to oxygen radicals to cure the dielectric film and the dielectric film may be subsequently treated by exposing the dielectric film to one or more ion species in an ion implantation process. The treated dielectric film may be annealed by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
In yet another embodiment, a method of forming a flowable CVD film is provided. The method includes forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr. The formed dielectric film may be exposed to oxygen radicals to cure the dielectric film and the dielectric film may be subsequently annealed by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius. The annealed dielectric film may be treated by exposing the dielectric film to one or more ion species in an ion implantation process.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, may admit to other equally effective embodiments.
FIG. 1 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
FIG. 2 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
FIG. 3 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
FIG. 4 illustrates operations of a method for forming an FCVD film according to one embodiment described herein.
FIG. 5 schematically illustrates a processing apparatus utilized to form an FCVD film according to one embodiment described herein.
FIG. 6 schematically illustrates a processing apparatus utilized to form an FCVD film according to one embodiment described herein.
FIG. 7 is a graph illustrating a comparison of etch rates of conventionally formed FCVD films and FCVD films formed according to embodiments described herein.
FIG. 8 is a graph illustrating a comparison of hydrogen and nitrogen concentrations of conventionally formed FCVD films and FCVD films formed according to embodiments described herein.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
Embodiments described herein relate to methods for forming flowable chemical vapor deposition (FCVD) films suitable for high aspect ratio gap fill applications, among other applications. Various process flows described include ion implantation processes utilized to treat a deposited FCVD film to improve dielectric film density and material composition. Ion implantation processes, curing processes, and annealing processes may be utilized in various sequence combinations to form dielectric films having improved densities at temperatures without exceeding the thermal budget of device materials. Improved film quality characteristics include reduced film stress and reduced film shrinkage when compared to conventional FCVD film formation processes.
FIG. 1 illustrates operations of a method 100 for forming an FCVD film. At operation 110, an FCVD film is deposited on a substrate. The substrate generally has HAR features formed thereon and one or more processing chambers may be utilized to deposit the FCVD film on the substrate. A flowable layer may be formed using a high-density plasma CVD system, a plasma enhanced CVD system, and/or a sub-atmospheric CVD system, among other systems. Examples of CVD systems that may be adapted to form a flowable oxide layer include the ULTIMA HDP CVD® system and PRODUCER® ETERNA CVD® system, both available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that other suitable CVD systems from other manufacturers may also be utilized.
Deposited dielectric films are typically more flowable at lower plasma powers and shift from flowable to conformal when the plasma power is increased. For example, an argon containing plasma maintained in a processing region of a processing chamber may produce a more flowable silicon oxide layer as the plasma power is decreased from about 1000 Watts to about 100 Watts or lower (e.g., about 900, 800, 700, 600, or 500 Watts or less), and a more conformal layer as the plasma power is increased from about 1000 Watts or more (e.g., about 1000, 1100, 1200, 1300, 1400, 1500, 1600, 1700 Watts or more). As the plasma power increases from low to high, the transition from a flowable to conformal deposited film may be relatively smooth and continuous or progress through relatively discrete thresholds. The plasma power (either alone or in addition to other deposition parameters) may be adjusted to select a balance between the conformal and flowable properties of the deposited film.
One example of a process for forming an oxide layer over the substrate having high aspect ratio features formed thereon includes the reaction of a silicone containing precursor and an oxygen containing precursor at a temperature of about 100° C. or less to form a flowable oxide layer. Suitable silicon containing precursors include organosilicon precursors. Organosilicon precursors have a ratio of carbon atoms to silicon atoms less than 8. Suitable organosilicon compounds comprising the silicon containing precursor may have a ratio of oxygen to silicon atoms of 0 to about 6, and may include an Si—O—Si linkage that facilitates formation of SiOx films with reduced contamination from carbon and hydroxyl groups.
Suitable organosilicon compounds may be siloxane compounds, such as triethoxysiloxane, tetramethoxysiloxane, trimethoxysiloxane, hexamethoxydisiloxane, octamethoxytrisiloxane, and/or octamethoxydodecasiloxane, silazoxane compounds having one or more nitrogen groups, such as hexamethoxydisilazoxane, methyl hexamethoxydisilazoxane, chlorohexamethoxydisilazoxane, hexaethoxy-disilazoxane, nonamethoxytrisilazoxane, and octamethoxycyclosilazoxane, halogenated siloxane compounds that include one or more halogen moieties (e.g., fluoride, chloride, bromide, or iodide), such as tetrachlorosilane, dichlorodiethoxysiloxane, chlorotriethoxysiloxane, hexachlorodisiloxane, and/or octachlorotrisiloxane, and aminosilanes, such as trisilylamine, hexamethyldisilazane, silatrane, tetrakis(dimethylamino)silane, bis(diethylamino)silane, tris(dimethyl-amino)chlorosilane, and methylsilatrane. Suitable organosilicon compounds may also be disilanes, such as alkoxy disilanes, alkoxy-alkyl disilanes, and alkoxy-acetoxy disilanes, including compounds having the general structure:
Figure US09777378-20171003-C00001

wherein R1-R6 may be, independently, a C1-3 alkoxy group, a C1-3 alkyl group, or an acetoxy group, wherein at least one of R1-6 is an alkoxy group or an acetoxy group.
Suitable organosilicon compounds may also include cyclic disilanes with alkyl and alkoxy moieties, such as butasilanes, pentasilanes, hexasilanes, heptasilanes, octasilanes, and the like, having at least one alky and alkoxy group. Examples include octamethyl-1,4-dioxa-2,3,5,6-tetrasilacyclohexane; 1,4-dioxa-2,3,5,6-tetrasilzcyclo-hexane; and 1,2,3,4,5,6-hexamethoxy-1,2,3,4,5,6-hexamethylcyclohexasilane, among other alkoxy-alkylcyclosilanes. Suitable organosilicon compounds also include organocyclosilanes such as cyclobutasilane, cyclopentasilane, cyclohexasilane, cycloheptasilane, cyclooctasilane, and other similar compounds.
The oxygen containing precursor may include molecular oxygen (O2), ozone (O3), a nitrogen-oxygen compound such as NO, NO2, or N2O, a hydrogen-oxygen compound such as water or peroxide, a carbon-oxygen compound such as carbon monoxide or carbon dioxide, and other oxygen-containing precursors. The oxygen containing precursor may also include atomic oxygen and/or oxygen radicals that are generated remotely and introduced with the organosilicon precursor. A carrier gas, such as helium, neon, argon, and/or hydrogen may be mixed with the organosilicon precursor, the oxygen containing precursor, or both, if desired. The oxygen containing precursor may be activated prior to introduction to the processing chamber, for example using a remote plasma source, which may include thermal dissociation, ultraviolet light dissociation, RF, DC, and/or microwave dissociation. In one embodiment, 4-6 kW of RF power may be coupled into a flow of 900-1,800 sccm of argon and 600-1,200 sccm of molecular oxygen. The heating temperature may range between room temperature to about 1100° C.
The silicon containing precursor and the oxygen containing precursor are typically introduced to a processing chamber via different pathways to avoid reactions outside the processing chamber. The silicon containing precursor may be introduced as a gas to the processing chamber at a liquid-equivalent flow rate of about 800 mgm to about 1,600 mgm. Helium may be included as a carrier gas at a flow rate of about 600 sccm to about 2,400 sccm. An activated oxygen containing precursor may be introduced to the processing chamber at a flow rate between about 3 sLm and about 20 sLm. The precursors react to deposit a flowable oxide layer on a substrate having a patterned resist material. The flowable oxide flows to fill the recesses in the patterned substrate. In one embodiment, a flowable oxide layer, which may be silicon oxide, is deposited to a thickness of 200-400 Å to cover the features formed on the substrate and gaps between the features.
The silicon containing precursor, such as an organosilicon precursor, may be used to form a flowable layer at a processing temperature between about −10° C. and about 150° C., such as between about 30° C. and about 100° C., for example about 65° C., and pressure of about 0.5 Torr to about 10 Torr. The silicon containing precursor may be provided at a flow rate between about 10 sccm and about 1800 sccm, such as between about 600 sccm and about 1600 sccm, for example about 1400 sccm. The oxygen containing precursor may be provided at a flow rate between about 10 mgm and about 1,500 mgm, for example about 1,000 mgm.
A nitrogen containing precursor, such as a nitrogen-based radical precursor, may also be provided from a radical source at a flow rate between about 600 sccm and about 1,250 sccm, for example about 800 sccm. It is believed that flow rates at the higher values of the aforementioned ranges for both silyl gas and radical gas may improve flowability of the film and improve the mechanical strength of the deposited FCVD film. In some embodiments, the ratio of organosilicon precursor flow rate to nitrogen-based radical flow rate may be from about 1:1 to about 10:1, for example about 2:1. The nitrogen-based radical gas may be derived from, for example, ammonia or other suitable nitrogen containing precursors.
In other embodiments, films may include, but are not limited to silicon-containing films. For example, a deposited FCVD film may be composed of SiC, SiO, SiCN, SiO2, SiOC, SiOCN, SiON and/or SiN. The composition of the films depends on the composition of the precursor gases. SiC films may be deposited, for example, by using (dimethylsilyl)(trimethylsilyl)methane, hexamethyldisilane, and/or trimethylsilane. SiO/SiO2 films may be deposited, for example, by using TEOS and/or disiloxane. SiCN films may be deposited, for example, by using tris(dimethylamino)silane, bis(dimethylamino)methylsilane, and/or (dimethylamino)dimethylsilane. SiOC films may be deposited, for example, by using tris(dimethylamino)silane, bis(dimethylamino)methylsilane, (dimethylamino)di-methylsilane, tris(dimethylamino)silane, bis(dimethylamino)methylsilane, and/or (dimethylamino)dimethylsilane. SiOCN films can be formed, for example, by using tris(dimethylamino)silane, bis(dimethylamino)methylsilane, and/or (dimethylamino)dimethylsilane. SiON films can be formed, for example, by using disiloxane or trisilylamine. SiN films may be deposited, for example, by using trisilylamine (TSA). Silane may also be a suitable precursor utilized in conjunction with one or more nitrogen containing precursors, such as those described above.
At operation 120, an implantation process may be performed on the substrate to modify the FCVD film deposited in operation 110. The implantation process generally incorporates ion species into the FCVD film to break existing unstable bonds that may exist in the FCVD film. The implantation process may be performed in-situ in the same processing chamber that performs the FCVD deposition process or ex-situ in a separate ion implantation chamber.
Referring now to FIG. 5, an in-situ implantation apparatus 500 is schematically illustrated. The apparatus 500 includes a load-lock chamber 502, a transfer chamber 504, and a processing chamber 506. The load-lock chamber 502 may be configured to receive a substrate from an atmospheric environment. The load-lock chamber 502 is configured to generate a vacuum environment prior to transferring the substrate to the transfer chamber 504 which is coupled to the load-lock chamber 502. The transfer chamber 504 may include a robot for transferring the substrate from the load-lock chamber 502 to the processing chamber 506. The processing chamber 506 may be any of the exemplary processing chambers described above and the processing chamber 506 may be configured with appropriate apparatus to perform an in-situ implantation process. For example, various precursors, in addition to those utilized to form the FCVD film, may be ionized and implanted within the FCVD film. Examples of suitable precursors include BF3, Bl3, N2, PH3, AsH3, B2H6, H2, Ar, Xe, Kr, Ne, He, CHF3, C2F6, CH4, CF4, CO2, AsF5, Carborane, PF3 and PF5, among others. More specifically, species of ions may include He+, H3+, H2+, H+, Ne+, F+, C+, CFx+, CHx+, CxHy, N+, B+, BF2+, B2Hx+, Xe+ and molecular carbon, boron, or boron carbide ions. The implantation process may be performed during the FCVD film deposition process or subsequent to the FCVD film deposition process.
FIG. 6 schematically illustrates an ex-situ implantation apparatus 600. Similar to the apparatus 500, the apparatus 600 includes a load-lock chamber 602, a transfer chamber 604, and a processing chamber 606. The apparatus 600 also includes an ion implantation apparatus 608. After the FCVD film has been deposited on the substrate in the processing chamber 606, the substrate may be transferred from the processing chamber 606 through the transfer chamber 604 and positioned within the ion implantation apparatus 608. Examples of the ion implantation apparatus 608 include traditional ion implantation apparatuses, such as plasma immersion ion implantation apparatus and beamline ion implantation apparatus. One example of a plasma immersion ion implantation apparatus is the P3i system. Another example of a plasma ion implantation apparatus is the VARIAN VIISTA® PLAD™ system. One example of a beamline ion implantation apparatus is the VARIAN VIISTA® Trident, all available from Applied Materials, Inc. Santa Clara, Calif. It is contemplated that other similarly configured apparatus from other manufacturers may also be utilized to perform the embodiments described herein.
Various ion implantation characteristics may be configured to provide a desired implantation profile. For example, the ion species, ion concentration, ion implantation temperature, and implantation energy may be varied depending upon the desired application, for example, modifying the FCVD film utilized for shallow trench isolation. Suitable ion species may be generated from various precursor materials, such as hydrogen, helium, neon, argon, oxygen, nitrogen, silicon, phosphorous, boron, and arsenic containing materials, among others. Thermionic ion implantation may be performed at a temperature less than about 500 degrees Celsius, such as between about 200 degrees Celsius and about 400 degrees Celsius. Thermionic ion implantation may also be performed at lower temperatures, such as room temperature or the like. Ion concentration and implantation energy will generally be dependent upon the type of species being implanted and the desired dopant concentration within the FCVD film. In one example, H ions may be provided at a concentration between about 1E16 and about 1E20, such as about 1 E17, and implanted with an energy of between about 5 keV and about 10 keV, such as about 7.5 keV. It is contemplated that the ion implantation variables described above may be selected depending upon the desired FCVD film modification application.
An FCVD film containing unstable Si—H and Si—N bonds may be modified by incorporation of ions into the film. For example, oxygen ions may be implanted into the film to break the Si—H and Si—N bonds to initiate conversion of the FCVD film to an SiO2 material. It is believed that H and N atoms dissociated from the Si atoms may be removed from the film as a result of outgassing in the form of NH, (i.e. NH3). Resulting Si dangling bonds may bond with the implanted oxygen ions to form the SiO2 material. Ion implantation of the FCVD film, depending upon the type of ion species selected, may also function to heal Si structures formed on the substrate. For example, FCVD film processes, such as curing and annealing, may damage the HAR structures and implantation of Si ion may function to heal the damages structures.
Referring back to FIG. 1, at operation 130 the FCVD film may be annealed. Annealing of the film may be performed either in the processing chamber (i.e. processing chamber 506 in in-situ embodiments), the ion implantation chamber (i.e. ion implantation apparatus 608 in ex-situ embodiments), or a different annealing apparatus, such as a rapid thermal processing chamber. Annealing the film further facilitates removal of the H and N atoms from the FCVD film via outgassing to form a predominantly SiO2 material film. The annealing process may be performed at a temperature of less than about 500 degree Celsius for a suitable amount of time to provide for a desired degree of SiO2 conversion. Water vapor may be provided during the annealing process to steam anneal the FCVD film. It is believed that oxygen atoms in the steam may advantageously be incorporated into the film by bonding to Si dangling bonds and increase the oxygen content of the SiO2 material. It is contemplated that the steam annealing process may also prevent shrinkage of the SiO2 material due to the availability of oxygen atoms for increased Si—O bonding.
As a result, queue time may be reduced when compared to conventional FCVD formation processes as a subsequent curing step may not be necessary to form an FCVD film which exhibits a suitable density and film stress. In addition, reduced film shrinkage compared to conventional FCVD film high temperature annealing processes may be realized by utilizing a lower temperature anneal.
FIG. 2 illustrates operations of a method 200 for forming an FCVD film. At operation 210, an FCVD film is deposited on a substrate, similar to the process described with regard to operation 110. At operation 220, an ion implantation process is performed on the substrate to modify the FCVD film similar to the process described with regard to operation 120.
At operation 230, the FCVD film may be cured. The FCVD film may be cured subsequent to the deposition and ion implantation operations 210, 220 to remove moisture and residual organics, harden, and densify the layer. The curing is typically performed using low temperature processes at a temperature of about 100 degrees Celsius or less. Such processes include exposure to inductively coupled plasma, ultraviolet light, ozone, e-beam, acidic or basic vapors, an aqueous environment such as heated deionized water, and a combination or succession of such treatments. To facilitate curing, the FCVD film may be heated to a temperature of about 150 degrees Celsius or less by surface heating methods. Such surface heating methods include exposure to infrared or heat lamps and proximity to a hot chamber surface, such as a heated showerhead or the like.
In other embodiments, curing the oxide layer may be performed by heating the substrate using a heat source applied to the surface of the substrate opposite the FCVD film. For example, the substrate may be disposed on a heated substrate support, and curing the FCVD film on the substrate may be performed by heating the substrate support to a temperature between about 100 degrees Celsius and about 150 degrees Celsius. By utilizing relatively low temperatures, thermal budgets of materials on the substrate are not exceeded and undesirable film shrinkage can be avoided.
The FCVD film may be partially cured, if desired, to reduce curing time or to achieve certain desired properties of the cured FCVD film. For example, curing utilizing oxygen radicals derived from ozone may further incorporate oxygen atoms into the FCVD film. It is typically desired that the flowable oxide layer be cured to an extent sufficient to allow a pattern to be formed and maintained by the cured oxide layer without flowing. If curing is expressed as a percentage referring to moisture plus organics remaining in the layer after curing divided by original moisture plus organics, with 0% referring to an uncured layer, such as an as deposited FCVD film, and 100% referring to a layer that has been cured to the extent that all moisture and organics have been removed, the FCVD film is typically cured to at least about 40%, such as between about 50% and about 95%, for example about 90%.
At operation 240, the FCVD film may be annealed similar to the process described in operation 130. In methods 100 and 200, the ion implantation is performed immediately after the FCVD film deposition. The ion implantation functions to break Si—H and Si—N bonds prior to subsequent processing operations which may reduce overall queue time and facilitate oxygen incorporation into the FCVD film in subsequent processing operations.
FIG. 3 illustrates operations of a method 300 for forming a FCVD film. At operation 310, a FCVD film is deposited on a substrate similar to the process described with regard to operation 110. At operation 320, the FCVD film is cured similar to the process described with regard to operation 230. At operation 330, an ion implantation process is performed on the cured FCVD film. The previously cured film may have dangling Si bonds as a result of the curing process and the ion implantation process may further break Si—H and Si—N bonds present in the FCVD film. It is believe the ion implantation may further facilitate outgassing of NHx materials from the FCVD film and prepare the FCVD film for subsequent SiO2 conversion via oxygen atom incorporation.
At operation 340, the FCVD film is annealed. The annealing process may be a low temperature steam annealing process similar to the process described with regard to operation 130. It is believed that SiO2 material conversion may continue during the annealing process by outgassing NFL materials and by incorporation of oxygen atoms into the FCVD film.
FIG. 4 illustrates operations of a method 400 for forming a FCVD film. At operation 410, a FCVD film is deposited on a substrate similar to the process described with regard to operation 110. At operation 420, the FCVD film is cured similar to the process described with regard to operation 230. It is believed the curing process facilitates outgassing of undesirable materials from the FCVD film and facilitates incorporation of oxygen atoms into the FCVD film. At operation 430, an annealing process is performed on the FCVD film similar to the process described with regard to operation 130. The annealing process may further incorporate oxygen atoms into the film, for example, by energizing ozone and exposing the FCVD film to oxygen radicals. At operation 440, an ion implantation process is performed on the FCVD film similar to the process described with regard to operation 120. The ion implantation process may accelerate SiO2 conversion of the FCVD film depending upon the ion species utilized in the ion implantation process. Thus, queue time may be reduced when compared to conventional FCVD formation processes.
In certain embodiments, each of the operations in the methods 100, 200, 300, 400 may be performed sequentially. It is believed that the ion implantation operations may result in reduced SiO2 material conversion while providing FCVD films with desirable densities and film stress. For example, it has been found that conventional FCVD films annealed at high temperatures exhibit film stress approximately 30 percent greater than FCVD films formed according to the methods 100, 200, 300, 400. In one example, conventional FCVD films exhibited a film stress of about 200 MPa. FCVD films formed according to the methods 100, 200, 300, 400 exhibited a film stress of about 133 MPa. Accordingly, film stress may be advantageously reduced. It is contemplated that the film stress may also be advantageously influenced by selecting a suitable ion species for implantation and tuning the implantation dosage and implantation energy to form an FCVD film with a reduced film stress, when compared to conventional FCVD films.
FIG. 7 is a graph 700 illustrating a comparison of etch rates of conventionally formed FCVD films and FCVD films formed according to embodiments described herein. Data 702 is representative of the etch rate of a conventionally formed FCVD film in diluted HF (100:1). Data 704 is representative of the etch rate of an FCVD film in diluted HF (100:1) formed according to the embodiments described herein. As show, the etch rate of FCVD films formed according to the present embodiments (data 704) is significantly reduced from the etch rate of conventionally formed FCVD films (data 702). The results may indicate an improved density of the improved FCVD films. It is also believed that the improved FCVD films provide for improved film density homogeneity at various locations on the substrate by reducing the loading effect caused by the spatial relationship of adjacent HAR features. For example, the etch rate of the FCVD film at a dense location vs. the second trench location (less dense feature concentration than dense location) is substantially similar which suggests that the loading effect is reduced.
FIG. 8 is a graph 800 illustrating a comparison of hydrogen and nitrogen concentrations of conventionally formed FCVD films and FCVD films formed according to embodiments described herein. Data 802 is representative of the hydrogen content of a conventional FCVD film and data 804 is representative of the hydrogen content of an FCVD film formed according to the embodiments described herein. Specifically, data 804 is representative of an improved FCVD film implanted with hydrogen according to the method 300. It can be seen that the hydrogen content represented by data 804 is similar to the hydrogen content represented by data 802. Surprisingly, hydrogen ion implantation does not appreciably increase the hydrogen content when compared to the conventional FCVD film even though hydrogen ions were implanted into the FCVD film.
Data 806 is representative of the nitrogen content of a conventional FCVD film and data 808 is representative of the nitrogen content of an FCVD film formed according to the embodiments described herein. Specifically, data 808 is representative of an improved FCVD film implanted with hydrogen and nitrogen according to the method 300. It can be seen that the nitrogen content represented by data 808 is about one order of magnitude less than the nitrogen content represented by data 806. Surprisingly, nitrogen ion implantation, in combination with hydrogen implantation, lowers the nitrogen content when compared to the conventional FCVD film even though nitrogen ions were implanted into the FCVD film. A reduced nitrogen concentration in the FCVD film suggests a greater amount of oxygen is incorporated into the film when performing the methods described herein.
In summation, improved FCVD process according to the embodiments described herein provide for reduced queue time which may improve throughput. In addition, a more dense FCVD film may be achieved while processing utilizing temperatures which do not exceed the thermal budget of materials on the substrate. The improved film density generally provides for a reduced etching rate which may improve various etching characteristics. Moreover, film stress, which is tunable utilizing the processes described herein, may be reduced and undesirable film shrinkage may be reduced or eliminated according to the embodiments described herein.
While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (19)

What is claimed is:
1. A method for forming a flowable chemical vapor deposition film, sequentially comprising:
forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr;
outgassing NHx materials from the substrate by exposing the dielectric film to oxygen ions in an ion implantation process, wherein x is greater than 0;
exposing the dielectric film to oxygen radicals to cure the dielectric film; and
annealing the dielectric film by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
2. The method of claim 1, wherein the ion implantation process is performed in-situ in the processing chamber.
3. The method of claim 2, wherein the ion implantation process is performed at a temperature of less than about 500 degrees Celsius.
4. The method of claim 1, wherein the ion implantation process is performed ex-situ in an ion implantation chamber separate from the processing chamber.
5. The method of claim 4, wherein the substrate is transferred from the processing chamber to the ion implantation chamber without breaking vacuum.
6. The method of claim 4, wherein the ion implantation process is performed at a temperature of less than about 500 degrees Celsius.
7. The method of claim 1, wherein the oxygen radicals are formed by exciting an ozone precursor.
8. A method for forming a flowable chemical vapor deposition film, sequentially comprising:
forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr;
exposing the dielectric film to oxygen radicals to cure the dielectric film;
outgassing NHx materials from the substrate by exposing the dielectric film to oxygen ions in an ion implantation process, wherein x is greater than 0; and
annealing the dielectric film by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius.
9. The method of claim 8, wherein the ion implantation process is performed in-situ in the processing chamber.
10. The method of claim 9, wherein the ion implantation process is performed at a temperature of less than about 500 degrees Celsius.
11. The method of claim 8, wherein the ion implantation process is performed ex-situ in an ion implantation chamber separate from the processing chamber.
12. The method of claim 11, wherein the substrate is transferred from the processing chamber to the ion implantation chamber without breaking vacuum.
13. The method of claim 12, wherein the ion implantation process is performed at a temperature of less than about 500 degrees Celsius.
14. A method for forming a flowable chemical vapor deposition film, sequentially comprising:
forming a dielectric film on a substrate by reacting a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor in a processing chamber at a temperature of about 100 degrees Celsius or less at a pressure between about 0.5 Torr and about 10 Torr;
exposing the dielectric film to oxygen radicals to cure the dielectric film;
annealing the dielectric film by exposing the dielectric film to water vapor at a temperature less than about 500 degrees Celsius; and
outgassing NHx materials from the substrate by exposing the dielectric film to oxygen ions in an ion implantation process, wherein x is greater than 0.
15. The method of claim 14, wherein the ion implantation process is performed in-situ in the processing chamber.
16. The method of claim 15, wherein the ion implantation process is performed at a temperature of less than about 500 degrees Celsius.
17. The method of claim 14, wherein the ion implantation process is performed ex-situ in an ion implantation chamber separate from the processing chamber.
18. The method of claim 17, wherein the substrate is transferred from the processing chamber to the ion implantation chamber without breaking vacuum.
19. The method of claim 18, wherein the ion implantation process is performed at a temperature of less than about 500 degrees Celsius.
US14/635,589 2015-01-07 2015-03-02 Advanced process flow for high quality FCVD films Active 2035-05-12 US9777378B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US14/635,589 US9777378B2 (en) 2015-01-07 2015-03-02 Advanced process flow for high quality FCVD films
PCT/US2015/065846 WO2016111815A1 (en) 2015-01-07 2015-12-15 Advanced process flow for high quality fcvd films
KR1020177021938A KR102438577B1 (en) 2015-01-07 2015-12-15 Advanced Process Flow for High Quality FCVD Films
CN201580064621.9A CN107109643B (en) 2015-01-07 2015-12-15 The advanced technologies process of high quality stream dynamic formula chemical vapor deposition films
JP2017535912A JP6782702B2 (en) 2015-01-07 2015-12-15 Advanced processing flow for high quality FCVD membrane background
TW104142763A TWI676700B (en) 2015-01-07 2015-12-18 Advanced process flow for high quality fcvd films
JP2020176093A JP2021044555A (en) 2015-01-07 2020-10-20 Advanced process flow for high quality fcvd film background

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562100888P 2015-01-07 2015-01-07
US14/635,589 US9777378B2 (en) 2015-01-07 2015-03-02 Advanced process flow for high quality FCVD films

Publications (2)

Publication Number Publication Date
US20160194758A1 US20160194758A1 (en) 2016-07-07
US9777378B2 true US9777378B2 (en) 2017-10-03

Family

ID=56286182

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/635,589 Active 2035-05-12 US9777378B2 (en) 2015-01-07 2015-03-02 Advanced process flow for high quality FCVD films

Country Status (6)

Country Link
US (1) US9777378B2 (en)
JP (2) JP6782702B2 (en)
KR (1) KR102438577B1 (en)
CN (1) CN107109643B (en)
TW (1) TWI676700B (en)
WO (1) WO2016111815A1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
JP6844176B2 (en) * 2016-09-29 2021-03-17 富士電機株式会社 Silicon Carbide Semiconductor Device and Method for Manufacturing Silicon Carbide Semiconductor Device
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US11189487B2 (en) 2016-09-30 2021-11-30 Intel Corporation Method and apparatus for high pressure cure of flowable dielectric films
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
JP6817845B2 (en) * 2017-02-22 2021-01-20 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US10580642B2 (en) * 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
WO2018212999A1 (en) 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
CN109148373A (en) * 2017-06-16 2019-01-04 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
WO2019032457A1 (en) * 2017-08-08 2019-02-14 Applied Materials, Inc. Methods and apparatus for deposition of low-k films
US10727059B2 (en) * 2017-12-01 2020-07-28 Applied Materials, Inc. Highly etch selective amorphous carbon film
CN109994484A (en) * 2017-12-28 2019-07-09 中芯国际集成电路制造(上海)有限公司 Nand memory and forming method thereof
US10211045B1 (en) 2018-01-24 2019-02-19 Globalfoundries Inc. Microwave annealing of flowable oxides with trap layers
EP3756217A4 (en) 2018-02-22 2021-11-10 Applied Materials, Inc. Method for processing a mask substrate to enable better film quality
WO2019195188A1 (en) * 2018-04-03 2019-10-10 Applied Materials, Inc. Flowable film curing using h2 plasma
KR102018318B1 (en) * 2018-09-11 2019-09-04 주식회사 유진테크 Method for forming a thin film
US11107674B2 (en) 2019-01-24 2021-08-31 Applied Materials, Inc. Methods for depositing silicon nitride
US10896855B2 (en) * 2019-06-10 2021-01-19 Applied Materials, Inc. Asymmetric gate spacer formation using multiple ion implants
TW202117802A (en) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 Methods and apparatus for curing dielectric material
TWI742777B (en) * 2019-07-25 2021-10-11 美商慧盛材料美國責任有限公司 Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film
US11615984B2 (en) 2020-04-14 2023-03-28 Applied Materials, Inc. Method of dielectric material fill and treatment
US20220375747A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Flowable CVD Film Defect Reduction

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5319212A (en) * 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US20050191828A1 (en) * 2000-08-11 2005-09-01 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
KR20090040867A (en) 2007-10-22 2009-04-27 어플라이드 머티어리얼스, 인코포레이티드 Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20120142192A1 (en) * 2010-07-30 2012-06-07 Applied Materials, Inc. Oxide-rich liner layer for flowable cvd gapfill
JP2013516788A (en) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド In situ ozone curing for radical component CVD
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20140231384A1 (en) 2013-02-19 2014-08-21 Applied Materials, Inc. Hdd patterning using flowable cvd film

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02230735A (en) * 1989-03-03 1990-09-13 Fujitsu Ltd Manufacture of semiconductor device
JP3015738B2 (en) * 1995-06-21 2000-03-06 三洋電機株式会社 Method for manufacturing semiconductor device
JPH10189578A (en) * 1996-12-20 1998-07-21 Toshiba Corp Manufacture of semiconductor device
JP3348084B2 (en) * 1999-12-28 2002-11-20 キヤノン販売株式会社 Film forming method and semiconductor device
JP2008263097A (en) * 2007-04-13 2008-10-30 Toshiba Corp Semiconductor device, and method for manufacturing semiconductor device
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
KR101674057B1 (en) 2010-04-01 2016-11-08 삼성전자 주식회사 Semiconductor chip structure having a complex reinforced insulator and method of fabricating the same
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5319212A (en) * 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US20050191828A1 (en) * 2000-08-11 2005-09-01 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
KR20090040867A (en) 2007-10-22 2009-04-27 어플라이드 머티어리얼스, 인코포레이티드 Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516788A (en) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド In situ ozone curing for radical component CVD
US20120142192A1 (en) * 2010-07-30 2012-06-07 Applied Materials, Inc. Oxide-rich liner layer for flowable cvd gapfill
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20140231384A1 (en) 2013-02-19 2014-08-21 Applied Materials, Inc. Hdd patterning using flowable cvd film

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
International Search Report and Written Opinion for International Application No. PCT/US2015/065846 dated Mar. 31, 2016.

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices

Also Published As

Publication number Publication date
KR102438577B1 (en) 2022-08-30
CN107109643B (en) 2019-09-24
CN107109643A (en) 2017-08-29
JP2018503259A (en) 2018-02-01
TW201629254A (en) 2016-08-16
WO2016111815A1 (en) 2016-07-14
JP2021044555A (en) 2021-03-18
JP6782702B2 (en) 2020-11-11
TWI676700B (en) 2019-11-11
US20160194758A1 (en) 2016-07-07
KR20170101997A (en) 2017-09-06

Similar Documents

Publication Publication Date Title
US9777378B2 (en) Advanced process flow for high quality FCVD films
KR102494203B1 (en) METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES
KR102357418B1 (en) Apparatuses and methods for depositing sic and sicn films via cross-metathesis reactions with organometallic co-reactants
TWI721270B (en) Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
KR101853802B1 (en) Conformal layers by radical-component cvd
US8084105B2 (en) Method of depositing boron nitride and boron nitride-derived materials
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
KR101837648B1 (en) In­situ ozone cure for radical­component cvd
US20120149213A1 (en) Bottom up fill in high aspect ratio trenches
US20120309205A1 (en) Capping layer for reduced outgassing
KR20170120157A (en) Cyclic sequential processes for forming high quality thin films
WO2015073188A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
KR20210011436A (en) Pulsed plasma deposition etching step coverage improvement
US11469100B2 (en) Methods of post treating dielectric films with microwave radiation

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NEMANI, SRINIVAS D.;CHEN, ERICA;GODET, LUDOVIC;AND OTHERS;SIGNING DATES FROM 20150306 TO 20150310;REEL/FRAME:035584/0786

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4