US9850573B1 - Non-line of sight deposition of erbium based plasma resistant ceramic coating - Google Patents

Non-line of sight deposition of erbium based plasma resistant ceramic coating Download PDF

Info

Publication number
US9850573B1
US9850573B1 US15/191,269 US201615191269A US9850573B1 US 9850573 B1 US9850573 B1 US 9850573B1 US 201615191269 A US201615191269 A US 201615191269A US 9850573 B1 US9850573 B1 US 9850573B1
Authority
US
United States
Prior art keywords
layer
mol
erbium
resistant ceramic
ceramic coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/191,269
Other versions
US20170369993A1 (en
Inventor
Jennifer Y. Sun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUN, JENNIFER Y.
Priority to US15/191,269 priority Critical patent/US9850573B1/en
Priority to TW106101500A priority patent/TWI762463B/en
Priority to CN201780038885.6A priority patent/CN109417021B/en
Priority to KR1020197001795A priority patent/KR102195757B1/en
Priority to JP2018567650A priority patent/JP6859371B2/en
Priority to KR1020207036657A priority patent/KR102308849B1/en
Priority to PCT/US2017/014617 priority patent/WO2017222601A1/en
Priority to CN202310842884.4A priority patent/CN116815158A/en
Priority to US15/820,871 priority patent/US10676819B2/en
Publication of US9850573B1 publication Critical patent/US9850573B1/en
Application granted granted Critical
Publication of US20170369993A1 publication Critical patent/US20170369993A1/en
Priority to JP2021049459A priority patent/JP7134283B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Definitions

  • Embodiments of the present disclosure relate to methods of coating chamber components with an erbium containing ceramic coating using non-line of sight deposition (NLOS) techniques.
  • NLOS non-line of sight deposition
  • Protective coatings are typically deposited on chamber components by a variety of methods, such as thermal spray, sputtering, or evaporation techniques. In these techniques, the surfaces of the chamber components that are not directly exposed to the coating material source (e.g., are not in a line of sight of a material source) are coated with a significantly thinner coating than surfaces that are directly exposed to the coating material source. This may result in poor quality film, a low-density film, or a portion of the chamber component not being coated at all.
  • Some materials are more resistant to erosion and/or corrosion from particular hostile environments than others.
  • Some of the embodiments described herein cover a method of using an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride in order to create a plasma resistant ceramic coating on chamber components through a non-line of sight (NLOS) method of deposition.
  • NLOS methods that may be used are Chemical Vapor Deposition (CVD) and Atomic Layer Deposition (ALD) in embodiments.
  • a chamber component includes a portion having an aspect ratio between 10:1 and 200:1.
  • the chamber component additionally includes a plasma resistant ceramic coating on a surface of the portion the chamber component.
  • the plasma resistant ceramic coating consists of an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride.
  • the plasma resistant ceramic coating has an approximately zero porosity and has a uniform thickness with a thickness variation of less than +/ ⁇ 5%.
  • a chamber component includes a portion having an aspect ratio between 10:1 and 200:1.
  • the chamber component additionally includes a plasma resistant ceramic coating on a surface of the portion the chamber component.
  • the plasma resistant ceramic coating consists of Er 2 O 3 .
  • the plasma resistant ceramic coating has an approximately zero porosity and has a uniform thickness with a thickness variation of less than +/ ⁇ 5%.
  • FIG. 1 depicts a sectional view of one embodiment of a processing chamber.
  • FIG. 2 depicts a deposition process in accordance with a variety of atomic layer deposition techniques and chemical vapor deposition techniques, in accordance with embodiments.
  • FIG. 3A illustrates a method for creating a ceramic coating using either atomic layer deposition or chemical vapor deposition, in accordance with embodiments.
  • FIG. 3B illustrates a method for creating a ceramic coating using atomic layer deposition, in accordance with one embodiment.
  • FIGS. 4A-4D depict variations of a multi-component coating composition according to different embodiments.
  • FIG. 5A depicts a chamber component (showerhead) according to an embodiment.
  • FIG. 5B depicts a blown up view of a gas conduit having a large aspect ratio, wherein an interior of the gas conduit is coated, according to an embodiment.
  • FIG. 6 is a chart comparing plasma etch erosion rates in microns per hour for different ceramics.
  • the embodiments described herein cover methods for depositing an erbium based plasma resistant ceramic coating containing an erbium based oxide, an erbium based fluoride, or an erbium based oxy-fluoride onto a chamber component or other article using non-line of sight (NLOS) deposition processes.
  • the NLOS deposition process may be chemical vapor deposition (CVD) or atomic layer deposition (ALD), also known as atomic layer epitaxy, atomic monolayer epitaxy, and atomic layer chemical vapor deposition.
  • the plasma resistant coating may be comprised of a multi-layer stack in come embodiments.
  • the multi-layer stack may include an erbium containing oxide or an erbium containing fluoride as one layer, a different oxide or fluoride material as another layer, and one or more additional layers of Er 2 O 3 , ErF 3 , Al 2 O 3 , YF 3 , Y 2 O 3 or ZrO 2 .
  • the sequence of layers may be repeated until desired thickness is achieved.
  • This multi-layer stack may be annealed in order to create one, or more than one, interdiffused solid state phase between the first layer, the second layer and any additional layers.
  • the interdiffused multi-layer stack may be a homogenous or approximately homogenous coating that includes the constituent materials of the different layers.
  • Embodiments described herein enable high aspect ratio features of chamber components and other articles to be effectively coated with plasma resistant ceramic coatings of erbium containing oxides, erbium containing fluorides and erbium containing oxy-fluorides.
  • the plasma resistant ceramic coatings are conformal (e.g., with a thickness variation of less than about +/ ⁇ 5%) and very dense (e.g., with a porosity of 0% or approximately 0%).
  • the plasma resistant ceramic coatings of the erbium containing oxides, the erbium containing fluorides and the erbium containing oxy-fluorides may be particularly resistant to corrosion and erosion from particular plasma etch chemistries, such as CCl 4 /CHF 3 plasma etch chemistries.
  • CVD allows for conformal deposition of material on an article surface.
  • a volatile precursor or mixture of precursors is flowed through a processing chamber at a steady rate.
  • the precursor(s) will react within the chamber in a manner that deposits a desired product on to the article surface.
  • the amount of material deposited is a function of deposition time. The longer the deposition time, the thicker the resultant film. Often other by-products of the chemical reaction will be created as well; these are removed by the gas flow through the chamber.
  • the CVD process can be at a range of pressures from atmospheric to low pressure (i.e.: ⁇ 10 ⁇ 8 Torr).
  • ALD allows for a controlled self-limiting deposition of material through chemical reactions with the surface of the article. Aside from being a conformal process, ALD is also a uniform process. All exposed sides of the article will have the same or approximately the same amount of material deposited.
  • a typical reaction cycle of an ALD process starts with a precursor flooded into an ALD chamber. The precursor is then flushed out of the ALD chamber before a second precursor is introduced into the ALD chamber and subsequently flushed out. The reaction of the article surface and the chemical precursors creates a chemically bonded layer that is one atomic layer thick. Excess material that may be created by the process is flushed out. Unlike CVD, the thickness of material grown using ALD is not dependent on deposition time. For ALD the final thickness of material is dependent on the number of reaction cycles that are run, because each reaction cycle will grow a layer that is one atomic layer thick.
  • Process chamber components such as shower heads, nozzles, diffusers and gas lines, would benefit from having these plasma resistant erbium containing ceramic coatings to protect them in harsh etch environments. Many of these chamber components have aspect ratios that range between 10:1 and 200:1, which makes them difficult to coat well using conventional line of slight deposition methods.
  • Embodiments described herein enable high aspect ratio articles such as the aforementioned process chamber components to be coated with plasma resistant ceramic coatings that protect the articles.
  • embodiments enable the insides of gas lines, the insides of nozzles, the insides of holes in showerheads, and so on to be coated with an erbium containing ceramic coating.
  • FIG. 1 is a sectional view of a semiconductor processing chamber 100 having one or more chamber components that are coated with a plasma resistant ceramic coating that is an erbium oxide based coating, an erbium fluoride based coating or an erbium oxy-fluoride based coating in accordance with embodiments of the present invention.
  • the processing chamber 100 may be used for processes in which a corrosive plasma environment having plasma processing conditions is provided.
  • the processing chamber 100 may be a chamber for a plasma etcher or plasma etch reactor, a plasma cleaner, and so forth.
  • Examples of chamber components that may include the plasma resistant ceramic coating include chamber components with complex shapes and holes having large aspect ratios.
  • Some exemplary chamber components include a substrate support assembly 148 , an electrostatic chuck (ESC) 150 , a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, a showerhead of a processing chamber, gas lines, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • the plasma resistant ceramic coating which is described in greater detail below, is applied using non-line of sight (NLOS) deposition processes such as ALD and CVD.
  • NLOS non-line of sight
  • ALD allows for the application of a conformal coating of a substantially uniform thickness on all types of components including components with complex shapes and holes with large aspect ratios.
  • CVD also allows for application of a conformal coating of a relatively uniform thickness.
  • the plasma resistant ceramic coating may be grown or deposited using ALD or CVD with various erbium containing ceramics such as ceramics including erbium oxide (Er 2 O 3 ), erbium fluoride (ErF 3 ), and/or erbium oxy-fluoride (Er x O y F z ).
  • erbium containing ceramics may also include amounts of zirconium, yttrium, and/or aluminum.
  • the erbium containing ceramics may include zirconium oxide (ZrO 2 ), aluminum oxide (Al 2 O 3 ), yttrium oxide (Y 2 O 3 ), zirconium fluoride (ZrF 4 ), aluminum fluoride (AlF 3 ) and/or yttrium fluoride (YF 3 ).
  • ZrO 2 zirconium oxide
  • Al 2 O 3 aluminum oxide
  • Y 2 O 3 yttrium oxide
  • ZrF 4 zirconium fluoride
  • AlF 3 aluminum fluoride
  • YF 3 yttrium fluoride
  • the erbium containing ceramic may be, for example, Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , or Er w Y x Zr y O z .
  • the substrate support assembly 148 has an erbium based plasma resistant ceramic coating 136 , in accordance with one embodiment.
  • any of the other chamber components such as showerheads, gas lines, electrostatic chucks, nozzles and others, may also be coated with a multi-component coating.
  • the processing chamber 100 includes a chamber body 102 and a showerhead 130 that enclose an interior volume 106 .
  • the showerhead 130 may include a showerhead base and a showerhead gas distribution plate. Alternatively, the showerhead 130 may be replaced by a lid and a nozzle in some embodiments.
  • the chamber body 102 may be fabricated from aluminum, stainless steel or other suitable material.
  • the chamber body 102 generally includes sidewalls 108 and a bottom 110 . Any of the showerhead 130 (or lid and/or nozzle), sidewalls 108 and/or bottom 110 may include the erbium based plasma resistant ceramic coating.
  • An outer liner 116 may be disposed adjacent the sidewalls 108 to protect the chamber body 102 .
  • the outer liner 116 may be fabricated and/or coated with a multi-component coating.
  • the outer liner 116 is fabricated from aluminum oxide.
  • An exhaust port 126 may be defined in the chamber body 102 , and may couple the interior volume 106 to a pump system 128 .
  • the pump system 128 may include one or more pumps and throttle valves utilized to evacuate and regulate the pressure of the interior volume 106 of the processing chamber 100 .
  • the showerhead 130 may be supported on the sidewall 108 of the chamber body 102 .
  • the showerhead 130 (or lid) may be opened to allow access to the interior volume 106 of the processing chamber 100 , and may provide a seal for the processing chamber 100 while closed.
  • a gas panel 158 may be coupled to the processing chamber 100 to provide process and/or cleaning gases to the interior volume 106 through the showerhead 130 or lid and nozzle.
  • showerhead 130 may be used for processing chambers used for dielectric etch (etching of dielectric materials).
  • the showerhead 130 includes a gas distribution plate (GDP) 133 having multiple gas delivery holes 132 throughout the GDP 133 .
  • the showerhead 130 may include the GDP 133 bonded to an aluminum base or an anodized aluminum base.
  • the GDP 133 may be made from Si or SiC, or may be a ceramic such as Y 2 O 3 , Al 2 O 3 , Y 3 Al 5 O 12 (YAG), and so forth.
  • showerhead 130 and delivery holes 132 may be coated with a erbium based plasma resistant ceramic coating as described in more detail below with respect to FIGS. 5A and 5B .
  • a lid may be used rather than a showerhead.
  • the lid may include a center nozzle that fits into a center hole of the lid.
  • the lid may be a ceramic such as Al 2 O 3 , Y 2 O 3 , YAG, or a ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .
  • the nozzle may also be a ceramic, such as Y 2 O 3 , YAG, or the ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .
  • the lid, showerhead base 104 , GDP 133 and/or nozzle may all be coated with a plasma resistant ceramic coating according to an embodiment.
  • processing gases that may be used to process substrates in the processing chamber 100 include halogen-containing gases, such as C 2 F 6 , SF 6 , SiCl 4 , HBr, NF 3 , CF 4 , CHF 3 , CH 2 F 3 , F, NF 3 , Cl 2 , CCl 4 , BCl 3 and SiF 4 , among others, and other gases such as O 2 , or N 2 O.
  • carrier gases include N 2 , He, Ar, and other gases inert to process gases (e.g., non-reactive gases).
  • the substrate support assembly 148 is disposed in the interior volume 106 of the processing chamber 100 below the showerhead 130 or lid.
  • the substrate support assembly 148 holds the substrate 144 during processing.
  • a ring 146 (e.g., a single ring) may cover a portion of the electrostatic chuck 150 , and may protect the covered portion from exposure to plasma during processing.
  • the ring 146 may be silicon or quartz in one embodiment.
  • An inner liner 118 may be coated on the periphery of the substrate support assembly 148 .
  • the inner liner 118 may be a halogen-containing gas resist material such as those discussed with reference to the outer liner 116 .
  • the inner liner 118 may be fabricated from the same materials of the outer liner 116 . Additionally, the inner liner 118 may also be coated with a erbium based plasma resistant ceramic coating as described herein.
  • the substrate support assembly 148 includes a mounting plate 162 supporting a pedestal 152 , and an electrostatic chuck 150 .
  • the electrostatic chuck 150 further includes a thermally conductive base 164 and an electrostatic puck 166 bonded to the thermally conductive base by a bond 138 , which may be a silicone bond in one embodiment.
  • An upper surface of the electrostatic puck 166 may be covered by the erbium based plasma resistant ceramic coating 136 in the illustrated embodiment.
  • the erbium based plasma resistant ceramic coating 136 may be disposed on the entire exposed surface of the electrostatic chuck 150 including the outer and side periphery of the thermally conductive base 164 and the electrostatic puck 166 as well as any other geometrically complex parts or holes having large aspect ratios in the electrostatic chuck.
  • the mounting plate 162 is coupled to the bottom 110 of the chamber body 102 and includes passages for routing utilities (e.g., fluids, power lines, sensor leads, etc.) to the thermally conductive base 164 and the electrostatic puck 166 .
  • the thermally conductive base 164 and/or electrostatic puck 166 may include one or more optional embedded heating elements 176 , embedded thermal isolators 174 and/or conduits 168 , 170 to control a lateral temperature profile of the substrate support assembly 148 .
  • the conduits 168 , 170 may be fluidly coupled to a fluid source 172 that circulates a temperature regulating fluid through the conduits 168 , 170 .
  • the embedded isolator 174 may be disposed between the conduits 168 , 170 in one embodiment.
  • the heater 176 is regulated by a heater power source 178 .
  • the conduits 168 , 170 and heater 176 may be utilized to control the temperature of the thermally conductive base 164 .
  • the conduits and heater heat and/or cool the electrostatic puck 166 and a substrate (e.g., a wafer) 144 being processed.
  • the temperature of the electrostatic puck 166 and the thermally conductive base 164 may be monitored using a plurality of temperature sensors 190 , 192 , which may be monitored using a controller 195 .
  • the electrostatic puck 166 may further include multiple gas passages such as grooves, mesas and other surface features that may be formed in an upper surface of the puck 166 . These surface features may all be coated with a erbium based plasma resistant ceramic coating according to an embodiment.
  • the gas passages may be fluidly coupled to a source of a heat transfer (or backside) gas such as He via holes drilled in the electrostatic puck 166 . In operation, the backside gas may be provided at controlled pressure into the gas passages to enhance the heat transfer between the electrostatic puck 166 and the substrate 144 .
  • the electrostatic puck 166 includes at least one clamping electrode 180 controlled by a chucking power source 182 .
  • the clamping electrode 180 (or other electrode disposed in the electrostatic puck 166 or base 164 ) may further be coupled to one or more RF power sources 184 , 186 through a matching circuit 188 for maintaining a plasma formed from process and/or other gases within the processing chamber 100 .
  • the RF power sources 184 , 186 are generally capable of producing RF signal having a frequency from about 50 kHz to about 3 GHz and a power of up to about 10,000 Watts.
  • FIG. 2 depicts a deposition process in accordance with a variety of ALD and CVD techniques to grow or deposit a erbium based plasma resistant ceramic coating on an article.
  • ALD processes exist and the specific type may be selected based on several factors such as the surface to be coated, the coating material, chemical interaction between the surface and the coating material, etc.
  • the general principle for the various ALD processes comprises growing a thin film layer by repeatedly exposing the surface to be coated to sequential alternating pulses of gaseous chemical precursors that chemically react with the surface one at a time in a self-limiting manner.
  • CVD processes include atmospheric CVD (APCVD), low pressures CVD (LPCVD), plasma enhanced CVD (PECVD), vapor phase epitaxy, and so on. Any of these CVD processes that are NLOS processes may be used in embodiments.
  • APCVD atmospheric CVD
  • LPCVD low pressures CVD
  • PECVD plasma enhanced CVD
  • vapor phase epitaxy and so on. Any of these CVD processes that are NLOS processes may be used in embodiments.
  • an article is exposed to one or more volatile precursors, which react and/or decompose on the article surface to produce a desired coating.
  • Byproducts may be produced, which are removed by evacuating the byproducts from the deposition chamber in which the CVD process is performed.
  • FIG. 2 illustrates an article 210 having a surface 205 .
  • Article 210 may represent various process chamber components (e.g., semiconductor process chamber components) including but not limited to a substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, a diffuser, and so on.
  • Article 210 may also be a portion of a battery or any conductive article.
  • the article 210 and surface 205 may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, mylar, polyester, or other suitable materials, and may further comprise materials such as AN, Si, SiC, Al 2 O 3 , SiO 2 , and so on.
  • each individual chemical reaction between a precursor and the surface may be referred to as a “half-reaction.”
  • a precursor is pulsed onto the surface for a period of time sufficient to allow the precursor to fully react with the surface.
  • the reaction is self-limiting as the precursor will react with a finite number of available reactive sites on the surface, forming a uniform continuous film layer on the surface. Any sites that have already reacted with a precursor will become unavailable for further reaction with the same precursor unless and/or until the reacted sites are subjected to a treatment that will form new reactive sites on the uniform continuous coating.
  • Exemplary treatments may be plasma treatment, treatment by exposing the uniform continuous film layer to radicals, or introduction of a different precursor able to react with the most recent uniform continuous film layer grown on the surface.
  • article 210 having surface 205 may be introduced to a first precursor 260 for a first duration until a layer 215 is fully grown or deposited (the terms grown and deposited may be used interchangeably herein) using ALD or CVD.
  • Layer 215 may be uniform, continuous and conformal.
  • the layer 215 may also have a very low porosity of less than 1% in embodiments, and less than 0.1% in further embodiments. In some embodiments the porosity is 0% or approximately 0%.
  • Layer 215 may have a thickness of one atom or a few atoms (e.g., 2-3 atoms) in some embodiments in which ALD is used to form the layer 215 .
  • Layer 215 may have a thickness of about 1-100 nm if CVD is used.
  • Layer 215 may be Er 2 O 3 , ErF 3 , Y 2 O 3 , Al 2 O 3 , YF 3 , or ZrO 2 in embodiments.
  • layer 215 is a multi-component material of Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , or Er a Y x Zr y O z (e.g., a single phase solid solution of Y 2 O 3 , ZrO 2 and Er 2 O 3 ).
  • Layer 215 may also be one of AN, SiC, Y 3 Al 5 O 12 (YAG), Y 4 Al 2 O 9 (YAM), TiO 2 , Y 2 O 3 stabilized ZrO 2 (YSZ), or a ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .
  • article 210 having surface 205 and layer 215 may be introduced to a second precursor 270 for a second duration until a second layer 220 is fully grown or deposited over layer 215 using ALD or CVD.
  • the second layer 220 may be uniform, continuous and conformal.
  • the second layer 220 may also have a very low porosity of less than 1% in embodiments, and less than 0.1% in further embodiments, and 0% or approximately 0% in still further embodiments.
  • Second layer 220 may have a thickness of one atom or a few atoms (e.g., 2-3 atoms) in some embodiments in which ALD is used to form the second layer 220 .
  • Second layer 220 may have a thickness of about 1-100 nm if CVD is used.
  • Second layer 220 may be Er 2 O 3 , ErF 3 , Y 2 O 3 , Al 2 O 3 , YF 3 , or ZrO 2 in embodiments.
  • second layer 220 is a multi-component material of Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , or Er a Y x Zr y O z (e.g., a single phase solid solution of Y 2 O 3 , ZrO 2 and Er 2 O 3 ).
  • Second layer 220 may also be one of AN, SiC, Y 3 Al 5 O 12 (YAG), Y 4 Al 2 O 9 (YAM), TiO 2 , Y 2 O 3 stabilized ZrO 2 (YSZ), or a ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .
  • At least one of the first layer or the second layer is an erbium containing compound (e.g., Er 2 O 3 , ErF 3 , Er x O y F z , Er x Al y O z , Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , or Er a Y x Zr y O z ).
  • layer 215 may be an intermediate layer that is deposited prior to deposition of the erbium containing layer.
  • second layer 220 may be a capping layer that is deposited over the erbium containing layer.
  • second layer 220 has a same composition as layer 215 .
  • precursors 260 and 270 may be repeatedly introduced sequentially to grow or deposit additional alternating layers 225 , 230 , 235 , 240 , 245 , and 250 . Sequentially introducing the various precursors may be repeated N times, where N represents a finite number of layers selected based on the targeted coating thickness and properties. The various layers may remain intact or in some embodiments may be interdiffused.
  • the surface reactions are done sequentially, and the various precursors are not in contact in embodiments.
  • the chamber in which the ALD or CVD process takes place may be purged with an inert carrier gas (such as nitrogen or air) to remove any unreacted precursor and/or surface-precursor reaction byproducts.
  • an inert carrier gas such as nitrogen or air
  • the precursors may be different or the same. In some embodiments, at least one precursor is used. In other embodiments, at least two precursors are used. In some embodiments, different precursors may be used to grow or deposit film layers having the same composition (e.g., to grow multiple layers of Er 2 O 3 on top of each other). In other embodiments, different precursors may be used to grow different film layers having different compositions.
  • ALD or CVD processes may be conducted at various temperatures depending on the type of ALD or CVD process.
  • the optimal temperature range for a particular ALD process is referred to as the “ALD temperature window.” Temperatures below the ALD temperature window may result in poor growth rates and non-ALD type deposition. Temperatures above the ALD temperature window may result in thermal decomposition of the article or rapid desorption of the precursor.
  • the ALD temperature window may range from about 200° C. to about 400° C. In some embodiments, the ALD temperature window is between about 200-350° C.
  • the ALD process and CVD process allow for a conformal erbium based plasma resistant ceramic coating having uniform thickness on articles and surfaces having complex geometric shapes, holes with large aspect ratios, and three-dimensional structures.
  • Sufficient exposure time of the precursor to the surface enables the precursor to disperse and fully react with the surface in its entirety, including all of its three-dimensional complex features.
  • the exposure time utilized to obtain conformal ALD in high aspect ratio structures is proportionate to the square of the aspect ratio and can be predicted using modeling techniques.
  • the ALD technique is advantageous over other commonly used coating techniques because it allows in-situ on demand material synthesis of a particular composition or formulation without the need for a lengthy and difficult fabrication of source materials (such as powder feedstock and sintered targets).
  • ALD may be better able than CVD to coat articles with high aspect ratios. Accordingly, in some embodiments ALD is used to coat articles having aspect ratios of about 50:1 and higher (e.g., 200:1).
  • multi-component films such as Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , and Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , and Er a Y x Zr y O z (e.g., a single phase solid solution of Y 2 O 3 , ZrO 2 and Er 2 O 3 ) can be grown or deposited, for example, by proper sequencing of the precursors used to grow Er 2 O 3 , ErF 3 , Y 2 O 3 , Al 2 O 3 , YF 3 , and ZrO 2 , as illustrated in more detail in the examples below.
  • FIG. 3A illustrates a method 300 for forming a plasma resistant ceramic coating comprising erbium on an article such as a process chamber component according to embodiments.
  • Method 300 may be used to coat articles having aspect ratios of about 10:1 to about 200:1 (e.g., aspect ratios of 20:1, 50:1, 100:1, 150:1, and so on).
  • the method may optionally begin by selecting a composition for the plasma resistant ceramic coating.
  • the composition selection and method of forming may be performed by the same entity or by multiple entities.
  • the method may optionally include, at block 305 , cleaning the article with an acid solution.
  • the article is bathed in a bath of the acid solution.
  • the acid solution may be a hydrofluoric acid (HF) solution, a hydrochloric acid (HCl) solution, a nitric acid (HNO 3 ) solution, or combination thereof in embodiments.
  • the acid solution may remove surface contaminants from the article and/or may remove an oxide from the surface of the article. Cleaning the article with the acid solution may improve a quality of a coating deposited using ALD.
  • an acid solution containing approximately 0.1-5.0 vol % HF is used to clean chamber components made of quartz.
  • an acid solution containing approximately 0.1-20 vol % HCl is used to clean articles made of Al 2 O 3 .
  • an acid solution containing approximately 5-15 vol % HNO 3 is used to clean articles made of aluminum and other metals.
  • the article is loaded into a deposition chamber. If ALD is to be performed, then the article is loaded into an ALD deposition chamber. If CVD is to be performed, then the article is loaded into a CVD deposition chamber.
  • the method comprises depositing an erbium based plasma resistant ceramic coating onto a surface of the article using either ALD or CVD.
  • ALD is performed to deposit an erbium based plasma resistant ceramic coating.
  • CVD is performed to deposit the erbium based plasma resistant ceramic coating.
  • ALD and CVD are very conformal processes as performed in embodiments, which may cause the surface roughness of the erbium based plasma resistant ceramic coating to match a surface roughness of an underlying surface of the article that is coated.
  • the erbium based plasma resistant ceramic coating may have a thickness that is a monolayer thick (e.g., about 0.1-2 nm) to a thickness of about 100 nm in some embodiments. In other embodiments, the erbium based plasma resistant ceramic coating may have a thickness of about 100 nm to about 1 micron.
  • the erbium based plasma resistant ceramic coating may have a porosity of 0% (or approximately 0%) and a thickness variation of about +/ ⁇ 5% or less.
  • the erbium based plasma resistant ceramic coating is an erbium containing oxide, an erbium containing fluoride, or an erbium containing oxy-fluoride.
  • Erbium based materials are used to form the plasma resistant ceramic coating in embodiments because Erbium based oxides, fluorides and oxy-fluorides generally have high stability, high hardness, and superior erosion resistant properties.
  • Er 2 O 3 has a Gibbs formation free energy of ⁇ 1808.70 kJ/mol at 298 K, which indicates that Er 2 O 3 is very stable and will have low reaction rates with oxygen during processing.
  • Er 2 O 3 has a monoclinic cubic structure at temperatures of below about 2300° C., which contributes to a high hardness for Er 2 O 3 of 9.75+/ ⁇ 3.38 GPa and a fracture toughness (ability to withstand fracturing) of about 2.2-4.0 MPa ⁇ m 1/2 .
  • An Er 2 O 3 plasma resistant ceramic coating deposited in accordance with embodiments herein may also have a low erosion rate to many plasma and chemistry environments, such as an erosion rate of about 0.0187 ⁇ m/hr when exposed to a CCl 4 /CHF 3 plasma chemistry at a bias of 2000 Watts.
  • Examples of erbium containing compounds that the plasma resistant ceramic coating may be formed of include Er 2 O 3 , ErF 3 , Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , and Er a Y x Zr y O z (e.g., a single phase solid solution of Y 2 O 3 , ZrO 2 and Er 2 O 3 ).
  • the erbium content in the plasma resistant ceramic coating may range from about 0.1 at. % to close to 100 at. %.
  • the erbium content may range from about 0.1 at. % to close to 100 at. % and the oxygen content may range from about 0.1 at. % to close to 100 at. %.
  • the erbium content may range from about 0.1 at. % to close to 100 at. % and the fluorine content may range from about 0.1 at. % to close to 100 at. %.
  • the erbium containing oxy-fluorides the erbium content may range from about 0.1 at. % to close to 100 at. %
  • the oxygen content may range from about 0.1 at. % to close to 100 at. %
  • the fluorine content may range from about 0.1 at. % to close to 100 at. %.
  • Y 2 O 3 and Er 2 O 3 are miscible.
  • a single phase solid solution can be formed for any combination of Y 2 O 3 and Er 2 O 3 .
  • a mixture of just over 0 mol % Y 2 O 3 and just under 100 mol % Er 2 O 3 may be combined to form a plasma resistant ceramic coating that is a single phase solid solution.
  • a mixture of just over 0 mol % E 2 O 3 and just under 100 mol % Y 2 O 3 may be combined to form a plasma resistant ceramic coating that is a single phase solid solution.
  • Plasma resistant ceramic coatings of Y x Er y O z may contain between over 0 mol % to under 100 mol % Y 2 O 3 and over 0 mol % to under 100 mol % Er 2 O 3 .
  • Some notable examples include 1-10 mol % Y 2 O 3 and 90-99 mol % Er 2 O 3 , 11-20 mol % Y 2 O 3 and 80-89 mol % Er 2 O 3 , 21-30 mol % Y 2 O 3 and 70-79 mol % Er 2 O 3 , 31-40 mol % Y 2 O 3 and 60-69 mol % Er 2 O 3 , 41-50 mol % Y 2 O 3 and 50-59 mol % Er 2 O 3 , 51-60 mol % Y 2 O 3 and 40-49 mol % Er 2 O 3 , 61-70 mol % Y 2 O 3 and 30-39 mol % Er 2 O 3 , 71-80 mol % Y 2 O 3
  • ZrO 2 may be combined with Y 2 O 3 and Er 2 O 3 to form a single phase solid solution containing a mixture of the ZrO 2 , Y 2 O 3 and Er 2 O 3 (e.g., Er a Y x Zr y O z ).
  • the solid solution of Er a Y x Zr y O z may have a cubic, hexagonal, tetragonal and/or cubic fluorite structure.
  • the solid solution of Er a Y x Zr y O z may contain over 0 mol % to 60 mol % ZrO 2 , over 0 mol % to 99 mol % Er 2 O 3 , and over 0 mol % to 99 mol % Y 2 O 3 .
  • Some notable amounts of ZrO 2 that may be used include 2 mol %, 5 mol %, 10 mol %, 15 mol %, 20 mol %, 30 mol %, 50 mol % and 60 mol %.
  • Er 2 O 3 and/or Y 2 O 3 that may be used include 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol %, 60 mol %, 70 mol %, 80 mol %, and 90 mol %.
  • Plasma resistant ceramic coatings of Er a Zr x Al y O z may contain over 0% to 60 mol % ZrO 2 , over 0 mol % to 99 mol % Er 2 O 3 , and over 0 mol % to 60 mol % Al 2 O 3 .
  • Some notable amounts of ZrO 2 that may be used include 2 mol %, 5 mol %, 10 mol %, 15 mol %, 20 mol %, 30 mol %, 50 mol % and 60 mol %.
  • Er 2 O 3 Some notable amounts of Er 2 O 3 that may be used include 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol %, 60 mol %, 70 mol %, 80 mol %, and 90 mol %.
  • Some notable amounts of Al 2 O 3 that may be used include 2 mol %, 5 mol %, 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol % and 60 mol %.
  • the plasma resistant ceramic coating of Er a Zr x Al y O z contains 42 mol % Y 2 O 3 , 40 mol % ZrO 2 and 18 mol % Er 2 O 3 and has a lamellar structure.
  • the plasma resistant ceramic coating of Er a Zr x Al y O z contains 63 mol % Y 2 O 3 , 10 mol % ZrO 2 and 27 mol % Er 2 O 3 and has a lamellar structure.
  • Plasma resistant ceramic coatings of Y x Er y F z may contain a mixture of just over 0 mol % YF 3 and just under 100 mol % ErF 3 . Additionally, a mixture of just over 0 mol % ErF 3 and just under 100 mol % YF 3 may be combined to form a plasma resistant ceramic coating. Plasma resistant ceramic coatings of Y x Er y F z may contain between over 0 mol % to under 100 mol % YF 3 and over 0 mol % to under 100 mol % ErF 3 .
  • Some notable examples include 1-10 mol % YF 3 and 90-99 mol % ErF 3 , 11-20 mol % YF 3 and 80-89 mol % ErF 3 , 21-30 mol % YF 3 and 70-79 mol % ErF 3 , 31-40 mol % YF 3 and 60-69 mol % ErF 3 , 41-50 mol % YF 3 and 50-59 mol % ErF 3 , 51-60 mol % YF 3 and 40-49 mol % ErF 3 , 61-70 mol % YF 3 and 30-39 mol % ErF 3 , 71-80 mol % YF 3 and 20-29 mol % ErF 3 , 81-90 mol % YF 3 and 10-19 mol % ErF 3 , and 1-10 mol % ErF 3 and 90-99 mol % YF 3 .
  • Y 2 O 3 , Er 2 O 3 , YF 3 and ErF 3 may be combined to form a solid solution of Y w Er x O y F z .
  • the solid solution of Y w Er x O y F z may contain over 0 mol % to less than 100 mol % Y 2 O 3 , over 0 mol % to less than 100 mol % Er 2 O 3 , over 0 mol % to less than 100 mol % YF 3 and/or over 0 mol % to less than 100 mol % Er 2 F3.
  • Er 2 O 3 , Y 2 O 3 , YF 3 and/or ErF 3 that may be used include 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol %, 60 mol %, 70 mol %, 80 mol %, and 90 mol %.
  • the erbium based plasma resistant ceramic coating of Er 2 O 3 , ErF 3 , Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , or Er a Y x Zr y O z has a low outgassing rate, a dielectric breakdown voltage on the order of about 200V/ ⁇ m, and a hermiticity (leak rate) of less than about 10 ⁇ 9 Torr.
  • FIG. 3B illustrates a method 350 for forming a erbium based plasma resistant ceramic coating on an article such as a process chamber component according to an embodiment.
  • the method may optionally begin by selecting a composition for the plasma resistant ceramic coating.
  • the composition selection and method of forming may be performed by the same entity or by multiple entities.
  • a surface of the article (e.g., of the process chamber component) is cleaned using an acid solution.
  • the acid solution may be any of the acid solutions described above with reference to block 305 of method 300 .
  • the article may then be loaded into an ALD deposition chamber.
  • the method comprises depositing a first layer of Er 2 O 3 , Al 2 O 3 , ErF 3 , YF 3 , Y 2 O 3 , or ZrO 2 onto a surface of an article via ALD.
  • the method further comprises depositing an additional layer of a second oxide or a second fluoride onto the surface of the article via ALD.
  • the second oxide or fluoride is one of Er 2 O 3 , Al 2 O 3 , ErF 3 , Y 2 O 3 , or ZrO 2 .
  • the additional layer is a different material than first layer.
  • the method may further comprise determining whether additional layers are to be added. Determining whether additional layers are to be added and/or how many layers are to be added can be either done in-situ, or prior to initiating the depositions (e.g., in the optional multi-component composition selection process). If additional layers are to be added, the operations of block 360 may be repeated, and an additional layer of Er 2 O 3 , Al 2 O 3 , ErF 3 , YF 3 , Y 2 O 3 , or ZrO 2 may be deposited by ALD. The additional layer may be a same material as the first layer or one or more previous additional layers. Alternatively, the additional layer may be a different material from the first layer and previous additional layers. If no more additional layers are to be added, the method may proceed to block 375 .
  • At least one yttrium oxide precursor may be selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III) or yttrium (III)butoxide for the ALD.
  • At least one aluminum oxide precursor may be selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum for ALD.
  • At least one zirconium oxide precursor may be selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV) for ALD.
  • At least one erbium oxide precursor may be selected from tris-methylcyclopentadienyl erbium(III) (Er(MeCp) 3 ), erbium boranamide (Er(BA) 3 ) Er(TMHD) 3 , erbium(III) tris(2,2,6,6-tetramethyl-3,5-heptanedionate), or tris(butylcyclopentadienyl)erbium(III) for ALD.
  • the first layer or any of the additional layers comprises erbium oxide
  • tris(2,2,6,6-tetramethyl-3,5-heptanedionato) erbium (Er(thd)3) and ozone are used as the precursors to form Er 2 O 3 .
  • Er(CpMe)3 and water are used as the precursors to form Er 2 O 3 .
  • Er(thd)3 and O-radicals are used as the precursors to form Er 2 O 3 .
  • the first layer or any of the additional layers comprises erbium oxide
  • Er(PrCp)3, Er(CpMe)2 and/or Er(BuCp)3 are used with either ozone or water as the precursors to form Er 2 O 3 .
  • At least one of the listed precursors or any other suitable precursors may be used each time a Er 2 O 3 , Al 2 O 3 , ErF 3 , Y 2 O 3 , YF 3 , or ZrO 2 layer is grown using ALD, regardless of whether it is the first, second, or Nth film layer, where the Nth film layer would represent a finite number of film layers grown on the surface of the article and selected based on targeted protective coating thickness and properties.
  • the article e.g., the chamber component
  • all of the layers of the plasma resistant ceramic coating on the chamber component are annealed.
  • the annealing may result in a multi-component composition comprising an interdiffused solid state phase of some or all film layers deposited onto the surface of the article.
  • Annealing may be performed at a temperature ranging from about 300° C. to about 1800° C., from about 300° C. to about 1500° C., from about 300° C. to about 1000° C., or from about 300° C. to about 500° C.
  • the annealing temperature may be selected based on the material of construction of the article, surface, and film layers so as to maintain their integrity and refrain from deforming, decomposing, or melting any or all of these components.
  • FIGS. 4A-4D depict variations of a erbium based plasma resistant ceramic coating according to different embodiments.
  • FIG. 4A illustrates an erbium based plasma resistant ceramic coating having a multi-component composition for a surface 405 of an article 410 according to an embodiment.
  • Surface 405 may be the surface of various articles 410 .
  • articles 410 may include various semiconductor process chamber components including but not limited to substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • ESC electrostatic chuck
  • a ring e.g., a process kit ring or single ring
  • a chamber wall e.g., a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • a ring e
  • the semiconductor process chamber component may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, or other suitable materials, and may further comprise materials such as AN, Si, SiC, Al 2 O 3 , SiO 2 , and so on.
  • the multi component coating composition comprises at least one first film layer 415 of an erbium oxide or an erbium fluoride coated onto surface 405 of article 410 using an ALD or CVD process and at least one second film layer 425 of an additional oxide or an additional fluoride coated onto surface 405 of article 410 using an ALD process.
  • FIG. 4A illustrates an embodiment where the erbium based plasma resistant ceramic coating comprises a stack of alternating layers of the first layer 415 and the second layer 425 , where the layers are intact and not interdiffused, where there is an equal number of each of the layers (four 415 layers and four 425 layers), and where all layers are of equal uniform thickness.
  • the first film layer is deposited prior to the deposition of the second film layer, and the second film layer is deposited over the first film layer.
  • the order may be reversed.
  • the layers may have different thicknesses.
  • FIG. 4B illustrates an embodiment where the erbium based plasma ceramic coating has a multi-component coating composition.
  • the erbium based plasma resistant ceramic coating is deposited on surface 405 of article 410 (e.g., a semiconductor process chamber component as described above) and comprises a stack of alternating layers of the first layer 415 , second layer 425 , and at least one additional layer 435 .
  • the layers are intact and deposited and/or grown at a predetermined order and with a uniform equal thickness. However, the number of layers may not be equal and certain layers may be more prevalent than others (e.g., three 415 layers, three 425 layers, two 435 layers).
  • one or more of the layers is a monolayer or a thin layer having a uniform thickness ranging from about 0.1 nanometer to about 100 nanometer.
  • One or more of the layers may be thick layers having a uniform thickness ranging from about 100 nanometer to about 1 micrometer.
  • FIG. 4C illustrates an embodiment where the erbium based plasma resistant ceramic coating is a multi-component coating.
  • the erbium based plasma resistant ceramic coating is deposited on surface 405 of article 410 and comprises a stack of intact uniform film layers without a fixed order or fixed thickness.
  • the multi-component coating comprises a first thick layer 420 having a first thickness, a second thick layer 430 having a second thickness different from the first thickness, and at least one additional thick layer 440 having a third thickness different from the first and the second thickness. Certain layers may be more prevalent than others so as to achieve certain properties (such as erosion/corrosion resistance) for the multi-component coating (two 420 layers, one 430 layer, one 440 layers).
  • the various film layers illustrated in FIGS. 4A through 4C may have the same composition. In other embodiments, the compositions of the layers may be different. In some embodiments, the various film layers may have similar properties such as thickness, porosity, plasma resistance, CTE. In other embodiments, each film layer may have different properties. It is to be understood that although FIGS. 4A-4C depict a certain number of film layers, the figures are not intended to be limiting, and more or fewer film layers may be deposited onto the surface in certain embodiments. In some embodiments, the entire surface of the article may be coated. In other embodiments, at least a portion of the article's surface may be coated.
  • FIG. 4D illustrates an embodiment where the erbium based plasma resistant ceramic coating has a multi-component coating composition 450 .
  • the erbium based plasma resistant ceramic coating is deposited on surface 405 of article 410 and comprises an interdiffused solid state phase of multiple layers.
  • the erbium based plasma resistant ceramic coating is selected from the group consisting of Er 2 O 3 , ErF 3 , Er x O y F z , Er x Al y O z (e.g., Er 3 Al 5 O 12 ), Er x Zr y O z , Er a Zr x Al y O z , Y x Er y O z , Y x Er y F z , Y w Er x O y F z , and Er a Y x Zr y O z (e.g., a single phase solid solution of Y 2 O 3 , ZrO 2 and Er 2 O 3 ).
  • FIG. 5A illustrates a bottom view of a showerhead 500 .
  • the showerhead example provided below is just an exemplary chamber component whose performance may be improved by the use of the erbium based plasma resistant ceramic coating as set forth in embodiments herein. It is to be understood that the performance of other chamber components may also be improved when coated with the erbium based plasma resistant ceramic coating disclosed herein.
  • the showerhead 500 as depicted here, was chosen as an illustration of a semiconductor process chamber component having a surface with complex geometry and holes with large aspect ratios.
  • the complex geometry of lower surface 505 may receive a erbium based plasma resistant ceramic coating according to embodiments herein.
  • Lower surface 505 of showerhead 500 defines gas conduits 510 arranged in evenly distributed concentric rings.
  • gas conduits 510 may be configured in alternative geometric configurations and may have as many or as few gas conduits as needed depending on the type of reactor and/or process utilized.
  • the erbium based plasma resistant ceramic coating is grown or deposited on surface 505 and in gas conduit holes 510 using the ALD technique or CVD technique which enables a conformal coating of relatively uniform thickness on the surface as well as in the gas conduit holes despite the complex geometry and the large aspect ratios of the holes.
  • showerhead 500 may be exposed to corrosive chemistries such as fluorine and may erode due to plasma interaction with the showerhead.
  • the erbium based plasma resistant ceramic coating may reduce such plasma interactions and improve the showerhead's durability.
  • the erbium based plasma resistant ceramic coating deposited with ALD or CVD maintains the relative shape and geometric configuration of the lower surface 505 and of the gas conduits 510 so as to not disturb the functionality of the showerhead.
  • the plasma resistant ceramic coating may maintain the shape and geometric configuration of the surface it is intended to coat so as to not disturb the component's functionality, provide plasma resistance, and improve erosion and/or corrosion resistance throughout the entire surface.
  • etch rate The resistance of the coating material to plasma is measured through “etch rate” (ER), which may have units of micron/hour ( ⁇ m/hr), throughout the duration of the coated components' operation and exposure to plasma. Measurements may be taken after different processing times. For example, measurements may be taken before processing, after 50 processing hours, after 150 processing hours, after 200 processing hours, and so on. Variations in the composition of the erbium based plasma resistant ceramic coating grown or deposited on the showerhead or on any other process chamber component may result in multiple different plasma resistances or erosion rate values. Additionally, a erbium based plasma resistant ceramic coating with a single composition exposed to various plasmas could have multiple different plasma resistances or erosion rate values. For example, a plasma resistant material may have a first plasma resistance or erosion rate associated with a first type of plasma and a second plasma resistance or erosion rate associated with a second type of plasma.
  • FIG. 5B depicts a blown up view of a gas conduit 510 having a large aspect ratio coated according to an embodiment.
  • Gas conduit 510 may have a length L and a diameter D.
  • Gas conduit 510 may have a large aspect ratio defined as L:D, wherein the aspect ratio may range from about 50:1 to about 100:1. In some embodiments, the aspect ratio may be lower than 50:1 or greater than 100:1 (e.g., up to 200:1).
  • Gas conduit 510 may have an interior surface 555 which may be coated with a erbium based plasma resistant ceramic coating.
  • the erbium based plasma resistant ceramic coating may comprise at least one first layer 560 and may optionally comprise a second layer 565 and optionally one or more additional layer (not shown).
  • the first layer 560 may comprise an erbium based oxide, an erbium based fluoride, or an erbium based oxy-fluoride.
  • the first layer 560 may have any of the erbium based material compositions described herein above.
  • the second layer 565 and/or one or more additional layers may each comprise an additional oxide or an additional fluoride (e.g., yttrium oxide, yttrium fluoride, zirconium oxide, aluminum oxide, etc.).
  • the second layer 565 is another erbium based material, and may have any of the erbium based material compositions described herein above. All layers may be coated using an ALD process or a CVD process.
  • the ALD process and CVD process may grow conformal coating layers of uniform thickness throughout the interior surface of gas conduit 510 despite its large aspect ratio while ensuring that the final multi-component coating may also be thin enough so as to not plug the gas conduits in the showerhead.
  • the erbium based plasma resistant ceramic coating may comprise intact layers of at least one first layer, at least one second layer, and optionally at least one additional layer.
  • the first, second, and any optional additional layers may alternate at a predetermined order.
  • the first, second, and any optional additional layers may be present in any order.
  • some of the layers may be more prevalent than other layers so as to achieve certain properties for the plasma resistant ceramic coating. Certain properties may be plasma resistance and erosion/corrosion resistance that would improve the durability of the coated semiconductor process chamber component.
  • the intact layers may comprise monolayers or thin layers of uniform thickness. Each monolayer or thin layer may have a thickness ranging from about 0.1 nanometers to about 100 nanometers. In other embodiments, the intact layers may comprise thick layers of uniform thickness. Each thick layer may have a thickness ranging from about 100 nanometers to about 1 micrometer. In yet other embodiments, the intact layers may comprise a combination of monolayers, thin layers and/or thick layers.
  • the erbium based plasma resistant ceramic coating may comprise an interdiffused solid state phase of at least one first layer, at least one second layer, and optionally at least one additional layer.
  • an interdiffused solid state phase of the various layers may be obtained through annealing. The composition of the layers, number of layers, frequency of each layer, and thickness of the layers will all contribute to the final properties of the erbium based plasma resistant ceramic coating.
  • a first layer may be an erbium oxide monolayer grown using ALD from a precursor or pair of precursors selected from any of the previously mentioned erbium oxide precursors.
  • a second layer may be an erbium fluoride monolayer grown using ALD from an erbium fluoride precursor.
  • One or more additional layers of erbium oxide and/or erbium fluoride may be grown.
  • the resulting coating may comprise Er x O y F z after annealing, where X, Y and Z depend on the ratio of erbium oxide layers to erbium fluoride layers.
  • a first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors.
  • a second layer may be an aluminum oxide monolayer grown using ALD from any of the previously mentioned aluminum oxide precursors or other aluminum oxide precursors.
  • One or more additional erbium oxide layers and/or aluminum oxide layers may be grown.
  • the resulting coating may comprise Er x Al y O z after annealing, where X, Y and Z depend on the ratio of erbium oxide layers to aluminum oxide layers.
  • a first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors.
  • a second layer may be a zirconium oxide monolayer grown using ALD from one or more of the previously mentioned zirconium oxide precursors or other zirconium oxide precursors.
  • One or more additional erbium oxide layers and/or zirconium oxide layers may be grown.
  • the resulting coating may comprise Er x Zr y O z after annealing, where X, Y and Z depend on the ratio of erbium oxide layers to zirconium oxide layers.
  • a first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors.
  • a second layer may be a zirconium oxide monolayer grown using ALD from one or more of the previously mentioned zirconium oxide precursors or other zirconium oxide precursors.
  • a third layer may be an aluminum oxide monolayer grown using ALD from any of the previously mentioned aluminum oxide precursors or other aluminum oxide precursors.
  • One or more additional layers of erbium oxide, zirconium oxide and/or aluminum oxide may be grown.
  • the resulting coating may comprise Er a Zr x Al y O z after annealing, where A, X, Y, and Z depend on the number and ratio of erbium oxide layers, aluminum oxide layers and zirconium oxide layers.
  • a first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors.
  • a second layer may be a yttrium oxide monolayer grown using ALD from one or more of the previously mentioned yttrium oxide precursors or other yttrium oxide precursors.
  • One or more additional layers of erbium oxide and/or yttrium oxide may be grown.
  • the resulting coating may comprise Y x Er y O z after annealing, where X, Y, and Z depend on the ratio of erbium oxide layers to yttrium oxide layers.
  • a first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors.
  • a second layer may be a yttrium oxide monolayer grown using ALD from one or more of the previously mentioned yttrium oxide precursors or other yttrium oxide precursors.
  • a third layer may be a zirconium oxide monolayer grown using ALD from one or more of the previously mentioned zirconium oxide precursors or other zirconium oxide precursors.
  • One or more additional layers of erbium oxide, yttrium oxide and/or zirconium oxide may be grown.
  • the resulting coating may comprise Er a Y x Zr y O z after annealing, where A, X, Y, and Z depend on the number and ratio of erbium oxide layers, yttrium oxide layers and zirconium oxide layers.
  • the resulting erbium based plasma resistant ceramic coating may therefore be a single phase solid solution that contains a mixture of Y 2 O 3 , ZrO 2 and Er 2 O 3 .
  • FIG. 6 is a chart comparing plasma etch erosion rates in microns per hour for different ceramics.
  • the erosion rate of bulk Er 2 O 3 is less than 0.02 ⁇ m/hr when exposed to a plasma etch chemistry of CCl 4 /CHF 3 at a bias of 2000 Watts.
  • the etch rate of bulk Er 2 O 3 is lower than the etch rates of Y 2 O 3 and YF 3 as shown.
  • ALD and CVD deposited Er 2 O 3 is denser than bulk Er 2 O 3 (e.g., has a lower porosity), and also have a lower etch rate than bulk Er 2 O 3 .

Abstract

Described herein is a method of depositing a plasma resistant ceramic coating onto a surface of a chamber component using a non-line-of-sight (NLOS) deposition process, such as atomic layer deposition (ALD) and chemical vapor deposition (CVD). The plasma resistant ceramic coating consists of an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride. Also described are chamber components having a plasma resistant ceramic coating of an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride.

Description

TECHNICAL FIELD
Embodiments of the present disclosure relate to methods of coating chamber components with an erbium containing ceramic coating using non-line of sight deposition (NLOS) techniques.
BACKGROUND
Various manufacturing processes expose semiconductor process chamber components to high temperatures, high energy plasma, a mixture of corrosive gases, high stress, and combinations thereof. These extreme conditions may erode and/or corrode the chamber components, increasing the chamber components' susceptibility to defects. It is desirable to reduce these defects and improve the components' erosion and/or corrosion resistance in such extreme environments.
Protective coatings are typically deposited on chamber components by a variety of methods, such as thermal spray, sputtering, or evaporation techniques. In these techniques, the surfaces of the chamber components that are not directly exposed to the coating material source (e.g., are not in a line of sight of a material source) are coated with a significantly thinner coating than surfaces that are directly exposed to the coating material source. This may result in poor quality film, a low-density film, or a portion of the chamber component not being coated at all.
Some materials are more resistant to erosion and/or corrosion from particular hostile environments than others.
SUMMARY
Some of the embodiments described herein cover a method of using an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride in order to create a plasma resistant ceramic coating on chamber components through a non-line of sight (NLOS) method of deposition. These NLOS methods that may be used are Chemical Vapor Deposition (CVD) and Atomic Layer Deposition (ALD) in embodiments.
In some embodiments, a chamber component includes a portion having an aspect ratio between 10:1 and 200:1. The chamber component additionally includes a plasma resistant ceramic coating on a surface of the portion the chamber component. The plasma resistant ceramic coating consists of an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride. The plasma resistant ceramic coating has an approximately zero porosity and has a uniform thickness with a thickness variation of less than +/−5%.
In some embodiments, a chamber component includes a portion having an aspect ratio between 10:1 and 200:1. The chamber component additionally includes a plasma resistant ceramic coating on a surface of the portion the chamber component. The plasma resistant ceramic coating consists of Er2O3. The plasma resistant ceramic coating has an approximately zero porosity and has a uniform thickness with a thickness variation of less than +/−5%.
BRIEF DESCRIPTION OF THE DRAWINGS
The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that different references to “an” or “one” embodiment in this disclosure are not necessarily to the same embodiment, and such references mean at least one.
FIG. 1 depicts a sectional view of one embodiment of a processing chamber.
FIG. 2 depicts a deposition process in accordance with a variety of atomic layer deposition techniques and chemical vapor deposition techniques, in accordance with embodiments.
FIG. 3A illustrates a method for creating a ceramic coating using either atomic layer deposition or chemical vapor deposition, in accordance with embodiments.
FIG. 3B illustrates a method for creating a ceramic coating using atomic layer deposition, in accordance with one embodiment.
FIGS. 4A-4D depict variations of a multi-component coating composition according to different embodiments.
FIG. 5A depicts a chamber component (showerhead) according to an embodiment.
FIG. 5B depicts a blown up view of a gas conduit having a large aspect ratio, wherein an interior of the gas conduit is coated, according to an embodiment.
FIG. 6 is a chart comparing plasma etch erosion rates in microns per hour for different ceramics.
DETAILED DESCRIPTION OF EMBODIMENTS
The embodiments described herein cover methods for depositing an erbium based plasma resistant ceramic coating containing an erbium based oxide, an erbium based fluoride, or an erbium based oxy-fluoride onto a chamber component or other article using non-line of sight (NLOS) deposition processes. The NLOS deposition process may be chemical vapor deposition (CVD) or atomic layer deposition (ALD), also known as atomic layer epitaxy, atomic monolayer epitaxy, and atomic layer chemical vapor deposition. The plasma resistant coating may be comprised of a multi-layer stack in come embodiments. The multi-layer stack may include an erbium containing oxide or an erbium containing fluoride as one layer, a different oxide or fluoride material as another layer, and one or more additional layers of Er2O3, ErF3, Al2O3, YF3, Y2O3 or ZrO2. The sequence of layers may be repeated until desired thickness is achieved. This multi-layer stack may be annealed in order to create one, or more than one, interdiffused solid state phase between the first layer, the second layer and any additional layers. The interdiffused multi-layer stack may be a homogenous or approximately homogenous coating that includes the constituent materials of the different layers.
Embodiments described herein enable high aspect ratio features of chamber components and other articles to be effectively coated with plasma resistant ceramic coatings of erbium containing oxides, erbium containing fluorides and erbium containing oxy-fluorides. The plasma resistant ceramic coatings are conformal (e.g., with a thickness variation of less than about +/−5%) and very dense (e.g., with a porosity of 0% or approximately 0%). The plasma resistant ceramic coatings of the erbium containing oxides, the erbium containing fluorides and the erbium containing oxy-fluorides may be particularly resistant to corrosion and erosion from particular plasma etch chemistries, such as CCl4/CHF3 plasma etch chemistries.
CVD allows for conformal deposition of material on an article surface. A volatile precursor or mixture of precursors is flowed through a processing chamber at a steady rate. The precursor(s) will react within the chamber in a manner that deposits a desired product on to the article surface. The amount of material deposited is a function of deposition time. The longer the deposition time, the thicker the resultant film. Often other by-products of the chemical reaction will be created as well; these are removed by the gas flow through the chamber. The CVD process can be at a range of pressures from atmospheric to low pressure (i.e.: ˜10−8 Torr).
ALD allows for a controlled self-limiting deposition of material through chemical reactions with the surface of the article. Aside from being a conformal process, ALD is also a uniform process. All exposed sides of the article will have the same or approximately the same amount of material deposited. A typical reaction cycle of an ALD process starts with a precursor flooded into an ALD chamber. The precursor is then flushed out of the ALD chamber before a second precursor is introduced into the ALD chamber and subsequently flushed out. The reaction of the article surface and the chemical precursors creates a chemically bonded layer that is one atomic layer thick. Excess material that may be created by the process is flushed out. Unlike CVD, the thickness of material grown using ALD is not dependent on deposition time. For ALD the final thickness of material is dependent on the number of reaction cycles that are run, because each reaction cycle will grow a layer that is one atomic layer thick.
Process chamber components, such as shower heads, nozzles, diffusers and gas lines, would benefit from having these plasma resistant erbium containing ceramic coatings to protect them in harsh etch environments. Many of these chamber components have aspect ratios that range between 10:1 and 200:1, which makes them difficult to coat well using conventional line of slight deposition methods. Embodiments described herein enable high aspect ratio articles such as the aforementioned process chamber components to be coated with plasma resistant ceramic coatings that protect the articles. For example, embodiments enable the insides of gas lines, the insides of nozzles, the insides of holes in showerheads, and so on to be coated with an erbium containing ceramic coating.
FIG. 1 is a sectional view of a semiconductor processing chamber 100 having one or more chamber components that are coated with a plasma resistant ceramic coating that is an erbium oxide based coating, an erbium fluoride based coating or an erbium oxy-fluoride based coating in accordance with embodiments of the present invention. The processing chamber 100 may be used for processes in which a corrosive plasma environment having plasma processing conditions is provided. For example, the processing chamber 100 may be a chamber for a plasma etcher or plasma etch reactor, a plasma cleaner, and so forth. Examples of chamber components that may include the plasma resistant ceramic coating include chamber components with complex shapes and holes having large aspect ratios. Some exemplary chamber components include a substrate support assembly 148, an electrostatic chuck (ESC) 150, a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, a showerhead of a processing chamber, gas lines, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on. The plasma resistant ceramic coating, which is described in greater detail below, is applied using non-line of sight (NLOS) deposition processes such as ALD and CVD. ALD allows for the application of a conformal coating of a substantially uniform thickness on all types of components including components with complex shapes and holes with large aspect ratios. Similarly, CVD also allows for application of a conformal coating of a relatively uniform thickness.
The plasma resistant ceramic coating may be grown or deposited using ALD or CVD with various erbium containing ceramics such as ceramics including erbium oxide (Er2O3), erbium fluoride (ErF3), and/or erbium oxy-fluoride (ErxOyFz). The erbium containing ceramics may also include amounts of zirconium, yttrium, and/or aluminum. For example, the erbium containing ceramics may include zirconium oxide (ZrO2), aluminum oxide (Al2O3), yttrium oxide (Y2O3), zirconium fluoride (ZrF4), aluminum fluoride (AlF3) and/or yttrium fluoride (YF3). The erbium containing ceramic may be, for example, ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, or ErwYxZryOz.
As illustrated, the substrate support assembly 148 has an erbium based plasma resistant ceramic coating 136, in accordance with one embodiment. However, it should be understood that any of the other chamber components, such as showerheads, gas lines, electrostatic chucks, nozzles and others, may also be coated with a multi-component coating.
In one embodiment, the processing chamber 100 includes a chamber body 102 and a showerhead 130 that enclose an interior volume 106. The showerhead 130 may include a showerhead base and a showerhead gas distribution plate. Alternatively, the showerhead 130 may be replaced by a lid and a nozzle in some embodiments. The chamber body 102 may be fabricated from aluminum, stainless steel or other suitable material. The chamber body 102 generally includes sidewalls 108 and a bottom 110. Any of the showerhead 130 (or lid and/or nozzle), sidewalls 108 and/or bottom 110 may include the erbium based plasma resistant ceramic coating.
An outer liner 116 may be disposed adjacent the sidewalls 108 to protect the chamber body 102. The outer liner 116 may be fabricated and/or coated with a multi-component coating. In one embodiment, the outer liner 116 is fabricated from aluminum oxide.
An exhaust port 126 may be defined in the chamber body 102, and may couple the interior volume 106 to a pump system 128. The pump system 128 may include one or more pumps and throttle valves utilized to evacuate and regulate the pressure of the interior volume 106 of the processing chamber 100.
The showerhead 130 may be supported on the sidewall 108 of the chamber body 102. The showerhead 130 (or lid) may be opened to allow access to the interior volume 106 of the processing chamber 100, and may provide a seal for the processing chamber 100 while closed. A gas panel 158 may be coupled to the processing chamber 100 to provide process and/or cleaning gases to the interior volume 106 through the showerhead 130 or lid and nozzle. Showerhead 130 may be used for processing chambers used for dielectric etch (etching of dielectric materials). The showerhead 130 includes a gas distribution plate (GDP) 133 having multiple gas delivery holes 132 throughout the GDP 133. The showerhead 130 may include the GDP 133 bonded to an aluminum base or an anodized aluminum base. The GDP 133 may be made from Si or SiC, or may be a ceramic such as Y2O3, Al2O3, Y3Al5O12 (YAG), and so forth. Showerhead 130 and delivery holes 132 may be coated with a erbium based plasma resistant ceramic coating as described in more detail below with respect to FIGS. 5A and 5B.
For processing chambers used for conductor etch (etching of conductive materials), a lid may be used rather than a showerhead. The lid may include a center nozzle that fits into a center hole of the lid. The lid may be a ceramic such as Al2O3, Y2O3, YAG, or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The nozzle may also be a ceramic, such as Y2O3, YAG, or the ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The lid, showerhead base 104, GDP 133 and/or nozzle may all be coated with a plasma resistant ceramic coating according to an embodiment.
Examples of processing gases that may be used to process substrates in the processing chamber 100 include halogen-containing gases, such as C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 and SiF4, among others, and other gases such as O2, or N2O. Examples of carrier gases include N2, He, Ar, and other gases inert to process gases (e.g., non-reactive gases). The substrate support assembly 148 is disposed in the interior volume 106 of the processing chamber 100 below the showerhead 130 or lid. The substrate support assembly 148 holds the substrate 144 during processing. A ring 146 (e.g., a single ring) may cover a portion of the electrostatic chuck 150, and may protect the covered portion from exposure to plasma during processing. The ring 146 may be silicon or quartz in one embodiment.
An inner liner 118 may be coated on the periphery of the substrate support assembly 148. The inner liner 118 may be a halogen-containing gas resist material such as those discussed with reference to the outer liner 116. In one embodiment, the inner liner 118 may be fabricated from the same materials of the outer liner 116. Additionally, the inner liner 118 may also be coated with a erbium based plasma resistant ceramic coating as described herein.
In one embodiment, the substrate support assembly 148 includes a mounting plate 162 supporting a pedestal 152, and an electrostatic chuck 150. The electrostatic chuck 150 further includes a thermally conductive base 164 and an electrostatic puck 166 bonded to the thermally conductive base by a bond 138, which may be a silicone bond in one embodiment. An upper surface of the electrostatic puck 166 may be covered by the erbium based plasma resistant ceramic coating 136 in the illustrated embodiment. The erbium based plasma resistant ceramic coating 136 may be disposed on the entire exposed surface of the electrostatic chuck 150 including the outer and side periphery of the thermally conductive base 164 and the electrostatic puck 166 as well as any other geometrically complex parts or holes having large aspect ratios in the electrostatic chuck. The mounting plate 162 is coupled to the bottom 110 of the chamber body 102 and includes passages for routing utilities (e.g., fluids, power lines, sensor leads, etc.) to the thermally conductive base 164 and the electrostatic puck 166.
The thermally conductive base 164 and/or electrostatic puck 166 may include one or more optional embedded heating elements 176, embedded thermal isolators 174 and/or conduits 168, 170 to control a lateral temperature profile of the substrate support assembly 148. The conduits 168, 170 may be fluidly coupled to a fluid source 172 that circulates a temperature regulating fluid through the conduits 168, 170. The embedded isolator 174 may be disposed between the conduits 168, 170 in one embodiment. The heater 176 is regulated by a heater power source 178. The conduits 168, 170 and heater 176 may be utilized to control the temperature of the thermally conductive base 164. The conduits and heater heat and/or cool the electrostatic puck 166 and a substrate (e.g., a wafer) 144 being processed. The temperature of the electrostatic puck 166 and the thermally conductive base 164 may be monitored using a plurality of temperature sensors 190, 192, which may be monitored using a controller 195.
The electrostatic puck 166 may further include multiple gas passages such as grooves, mesas and other surface features that may be formed in an upper surface of the puck 166. These surface features may all be coated with a erbium based plasma resistant ceramic coating according to an embodiment. The gas passages may be fluidly coupled to a source of a heat transfer (or backside) gas such as He via holes drilled in the electrostatic puck 166. In operation, the backside gas may be provided at controlled pressure into the gas passages to enhance the heat transfer between the electrostatic puck 166 and the substrate 144.
The electrostatic puck 166 includes at least one clamping electrode 180 controlled by a chucking power source 182. The clamping electrode 180 (or other electrode disposed in the electrostatic puck 166 or base 164) may further be coupled to one or more RF power sources 184, 186 through a matching circuit 188 for maintaining a plasma formed from process and/or other gases within the processing chamber 100. The RF power sources 184, 186 are generally capable of producing RF signal having a frequency from about 50 kHz to about 3 GHz and a power of up to about 10,000 Watts.
FIG. 2 depicts a deposition process in accordance with a variety of ALD and CVD techniques to grow or deposit a erbium based plasma resistant ceramic coating on an article. Various types of ALD processes exist and the specific type may be selected based on several factors such as the surface to be coated, the coating material, chemical interaction between the surface and the coating material, etc. The general principle for the various ALD processes comprises growing a thin film layer by repeatedly exposing the surface to be coated to sequential alternating pulses of gaseous chemical precursors that chemically react with the surface one at a time in a self-limiting manner.
Similarly, various types of CVD processes exist and the specific type may be selected based on several factors such as the surface to be coated, the coating material, chemical interaction between the surface and the coating material, desired thickness, desired coating properties, etc. Some examples of CVD processes include atmospheric CVD (APCVD), low pressures CVD (LPCVD), plasma enhanced CVD (PECVD), vapor phase epitaxy, and so on. Any of these CVD processes that are NLOS processes may be used in embodiments. For the various CVD processes, an article is exposed to one or more volatile precursors, which react and/or decompose on the article surface to produce a desired coating. Byproducts may be produced, which are removed by evacuating the byproducts from the deposition chamber in which the CVD process is performed.
FIG. 2 illustrates an article 210 having a surface 205. Article 210 may represent various process chamber components (e.g., semiconductor process chamber components) including but not limited to a substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, a diffuser, and so on. Article 210 may also be a portion of a battery or any conductive article. The article 210 and surface 205 may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, mylar, polyester, or other suitable materials, and may further comprise materials such as AN, Si, SiC, Al2O3, SiO2, and so on.
For ALD, each individual chemical reaction between a precursor and the surface may be referred to as a “half-reaction.” During each half reaction, a precursor is pulsed onto the surface for a period of time sufficient to allow the precursor to fully react with the surface. The reaction is self-limiting as the precursor will react with a finite number of available reactive sites on the surface, forming a uniform continuous film layer on the surface. Any sites that have already reacted with a precursor will become unavailable for further reaction with the same precursor unless and/or until the reacted sites are subjected to a treatment that will form new reactive sites on the uniform continuous coating. Exemplary treatments may be plasma treatment, treatment by exposing the uniform continuous film layer to radicals, or introduction of a different precursor able to react with the most recent uniform continuous film layer grown on the surface.
In FIG. 2, article 210 having surface 205 may be introduced to a first precursor 260 for a first duration until a layer 215 is fully grown or deposited (the terms grown and deposited may be used interchangeably herein) using ALD or CVD. Layer 215 may be uniform, continuous and conformal. The layer 215 may also have a very low porosity of less than 1% in embodiments, and less than 0.1% in further embodiments. In some embodiments the porosity is 0% or approximately 0%. Layer 215 may have a thickness of one atom or a few atoms (e.g., 2-3 atoms) in some embodiments in which ALD is used to form the layer 215. Layer 215 may have a thickness of about 1-100 nm if CVD is used.
Layer 215 may be Er2O3, ErF3, Y2O3, Al2O3, YF3, or ZrO2 in embodiments. In some embodiments, layer 215 is a multi-component material of ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, or EraYxZryOz (e.g., a single phase solid solution of Y2O3, ZrO2 and Er2O3). Layer 215 may also be one of AN, SiC, Y3Al5O12 (YAG), Y4Al2O9 (YAM), TiO2, Y2O3 stabilized ZrO2 (YSZ), or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2.
Subsequently, article 210 having surface 205 and layer 215 may be introduced to a second precursor 270 for a second duration until a second layer 220 is fully grown or deposited over layer 215 using ALD or CVD. The second layer 220 may be uniform, continuous and conformal. The second layer 220 may also have a very low porosity of less than 1% in embodiments, and less than 0.1% in further embodiments, and 0% or approximately 0% in still further embodiments. Second layer 220 may have a thickness of one atom or a few atoms (e.g., 2-3 atoms) in some embodiments in which ALD is used to form the second layer 220. Second layer 220 may have a thickness of about 1-100 nm if CVD is used.
Second layer 220 may be Er2O3, ErF3, Y2O3, Al2O3, YF3, or ZrO2 in embodiments. In some embodiments, second layer 220 is a multi-component material of ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, or EraYxZryOz (e.g., a single phase solid solution of Y2O3, ZrO2 and Er2O3). Second layer 220 may also be one of AN, SiC, Y3Al5O12 (YAG), Y4Al2O9 (YAM), TiO2, Y2O3 stabilized ZrO2 (YSZ), or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. In one embodiment, at least one of the first layer or the second layer is an erbium containing compound (e.g., Er2O3, ErF3, ErxOyFz, ErxAlyOz, ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, or EraYxZryOz). Accordingly, layer 215 may be an intermediate layer that is deposited prior to deposition of the erbium containing layer. Alternatively, second layer 220 may be a capping layer that is deposited over the erbium containing layer. In one embodiment, second layer 220 has a same composition as layer 215.
Thereafter, precursors 260 and 270 may be repeatedly introduced sequentially to grow or deposit additional alternating layers 225, 230, 235, 240, 245, and 250. Sequentially introducing the various precursors may be repeated N times, where N represents a finite number of layers selected based on the targeted coating thickness and properties. The various layers may remain intact or in some embodiments may be interdiffused.
The surface reactions (e.g., half-reactions) are done sequentially, and the various precursors are not in contact in embodiments. Prior to introduction of a new precursor, the chamber in which the ALD or CVD process takes place may be purged with an inert carrier gas (such as nitrogen or air) to remove any unreacted precursor and/or surface-precursor reaction byproducts. Depending on which ALD or CVD process is used, the precursors may be different or the same. In some embodiments, at least one precursor is used. In other embodiments, at least two precursors are used. In some embodiments, different precursors may be used to grow or deposit film layers having the same composition (e.g., to grow multiple layers of Er2O3 on top of each other). In other embodiments, different precursors may be used to grow different film layers having different compositions.
ALD or CVD processes may be conducted at various temperatures depending on the type of ALD or CVD process. The optimal temperature range for a particular ALD process is referred to as the “ALD temperature window.” Temperatures below the ALD temperature window may result in poor growth rates and non-ALD type deposition. Temperatures above the ALD temperature window may result in thermal decomposition of the article or rapid desorption of the precursor. The ALD temperature window may range from about 200° C. to about 400° C. In some embodiments, the ALD temperature window is between about 200-350° C.
The ALD process and CVD process allow for a conformal erbium based plasma resistant ceramic coating having uniform thickness on articles and surfaces having complex geometric shapes, holes with large aspect ratios, and three-dimensional structures. Sufficient exposure time of the precursor to the surface enables the precursor to disperse and fully react with the surface in its entirety, including all of its three-dimensional complex features. The exposure time utilized to obtain conformal ALD in high aspect ratio structures is proportionate to the square of the aspect ratio and can be predicted using modeling techniques. Additionally, the ALD technique is advantageous over other commonly used coating techniques because it allows in-situ on demand material synthesis of a particular composition or formulation without the need for a lengthy and difficult fabrication of source materials (such as powder feedstock and sintered targets). ALD may be better able than CVD to coat articles with high aspect ratios. Accordingly, in some embodiments ALD is used to coat articles having aspect ratios of about 50:1 and higher (e.g., 200:1).
With the ALD technique, multi-component films such as ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, and EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, and EraYxZryOz (e.g., a single phase solid solution of Y2O3, ZrO2 and Er2O3) can be grown or deposited, for example, by proper sequencing of the precursors used to grow Er2O3, ErF3, Y2O3, Al2O3, YF3, and ZrO2, as illustrated in more detail in the examples below.
FIG. 3A illustrates a method 300 for forming a plasma resistant ceramic coating comprising erbium on an article such as a process chamber component according to embodiments. Method 300 may be used to coat articles having aspect ratios of about 10:1 to about 200:1 (e.g., aspect ratios of 20:1, 50:1, 100:1, 150:1, and so on). The method may optionally begin by selecting a composition for the plasma resistant ceramic coating. The composition selection and method of forming may be performed by the same entity or by multiple entities.
The method may optionally include, at block 305, cleaning the article with an acid solution. In one embodiment, the article is bathed in a bath of the acid solution. The acid solution may be a hydrofluoric acid (HF) solution, a hydrochloric acid (HCl) solution, a nitric acid (HNO3) solution, or combination thereof in embodiments. The acid solution may remove surface contaminants from the article and/or may remove an oxide from the surface of the article. Cleaning the article with the acid solution may improve a quality of a coating deposited using ALD. In one embodiment, an acid solution containing approximately 0.1-5.0 vol % HF is used to clean chamber components made of quartz. In one embodiment, an acid solution containing approximately 0.1-20 vol % HCl is used to clean articles made of Al2O3. In one embodiment, an acid solution containing approximately 5-15 vol % HNO3 is used to clean articles made of aluminum and other metals.
At block 310, the article is loaded into a deposition chamber. If ALD is to be performed, then the article is loaded into an ALD deposition chamber. If CVD is to be performed, then the article is loaded into a CVD deposition chamber.
Pursuant to block 320, the method comprises depositing an erbium based plasma resistant ceramic coating onto a surface of the article using either ALD or CVD. In one embodiment, at block 325 ALD is performed to deposit an erbium based plasma resistant ceramic coating. In one embodiment, at block 330 CVD is performed to deposit the erbium based plasma resistant ceramic coating. ALD and CVD are very conformal processes as performed in embodiments, which may cause the surface roughness of the erbium based plasma resistant ceramic coating to match a surface roughness of an underlying surface of the article that is coated. The erbium based plasma resistant ceramic coating may have a thickness that is a monolayer thick (e.g., about 0.1-2 nm) to a thickness of about 100 nm in some embodiments. In other embodiments, the erbium based plasma resistant ceramic coating may have a thickness of about 100 nm to about 1 micron. The erbium based plasma resistant ceramic coating may have a porosity of 0% (or approximately 0%) and a thickness variation of about +/−5% or less.
The erbium based plasma resistant ceramic coating is an erbium containing oxide, an erbium containing fluoride, or an erbium containing oxy-fluoride. Erbium based materials are used to form the plasma resistant ceramic coating in embodiments because Erbium based oxides, fluorides and oxy-fluorides generally have high stability, high hardness, and superior erosion resistant properties. For example, Er2O3 has a Gibbs formation free energy of −1808.70 kJ/mol at 298 K, which indicates that Er2O3 is very stable and will have low reaction rates with oxygen during processing. Additionally, Er2O3 has a monoclinic cubic structure at temperatures of below about 2300° C., which contributes to a high hardness for Er2O3 of 9.75+/−3.38 GPa and a fracture toughness (ability to withstand fracturing) of about 2.2-4.0 MPa·m1/2. An Er2O3 plasma resistant ceramic coating deposited in accordance with embodiments herein may also have a low erosion rate to many plasma and chemistry environments, such as an erosion rate of about 0.0187 μm/hr when exposed to a CCl4/CHF3 plasma chemistry at a bias of 2000 Watts.
Examples of erbium containing compounds that the plasma resistant ceramic coating may be formed of include Er2O3, ErF3, ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, and EraYxZryOz (e.g., a single phase solid solution of Y2O3, ZrO2 and Er2O3). The erbium content in the plasma resistant ceramic coating may range from about 0.1 at. % to close to 100 at. %. For erbium containing oxides, the erbium content may range from about 0.1 at. % to close to 100 at. % and the oxygen content may range from about 0.1 at. % to close to 100 at. %. For erbium containing fluorides, the erbium content may range from about 0.1 at. % to close to 100 at. % and the fluorine content may range from about 0.1 at. % to close to 100 at. %. For erbium containing oxy-fluorides, the erbium content may range from about 0.1 at. % to close to 100 at. %, the oxygen content may range from about 0.1 at. % to close to 100 at. %, and the fluorine content may range from about 0.1 at. % to close to 100 at. %.
Advantageously, Y2O3 and Er2O3 are miscible. A single phase solid solution can be formed for any combination of Y2O3 and Er2O3. For example, a mixture of just over 0 mol % Y2O3 and just under 100 mol % Er2O3 may be combined to form a plasma resistant ceramic coating that is a single phase solid solution. Additionally, a mixture of just over 0 mol % E2O3 and just under 100 mol % Y2O3 may be combined to form a plasma resistant ceramic coating that is a single phase solid solution. Plasma resistant ceramic coatings of YxEryOz may contain between over 0 mol % to under 100 mol % Y2O3 and over 0 mol % to under 100 mol % Er2O3. Some notable examples include 1-10 mol % Y2O3 and 90-99 mol % Er2O3, 11-20 mol % Y2O3 and 80-89 mol % Er2O3, 21-30 mol % Y2O3 and 70-79 mol % Er2O3, 31-40 mol % Y2O3 and 60-69 mol % Er2O3, 41-50 mol % Y2O3 and 50-59 mol % Er2O3, 51-60 mol % Y2O3 and 40-49 mol % Er2O3, 61-70 mol % Y2O3 and 30-39 mol % Er2O3, 71-80 mol % Y2O3 and 20-29 mol % Er2O3, 81-90 mol % Y2O3 and 10-19 mol % Er2O3, and 1-10 mol % Er2O3 and 90-99 mol % Y2O3. The single phase solid solution of YxEryOz may have a monoclinic cubic state at temperatures below about 2330° C.
Advantageously, ZrO2 may be combined with Y2O3 and Er2O3 to form a single phase solid solution containing a mixture of the ZrO2, Y2O3 and Er2O3 (e.g., EraYxZryOz). The solid solution of EraYxZryOz may have a cubic, hexagonal, tetragonal and/or cubic fluorite structure. The solid solution of EraYxZryOz may contain over 0 mol % to 60 mol % ZrO2, over 0 mol % to 99 mol % Er2O3, and over 0 mol % to 99 mol % Y2O3. Some notable amounts of ZrO2 that may be used include 2 mol %, 5 mol %, 10 mol %, 15 mol %, 20 mol %, 30 mol %, 50 mol % and 60 mol %. Some notable amounts of Er2O3 and/or Y2O3 that may be used include 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol %, 60 mol %, 70 mol %, 80 mol %, and 90 mol %.
Plasma resistant ceramic coatings of EraZrxAlyOz may contain over 0% to 60 mol % ZrO2, over 0 mol % to 99 mol % Er2O3, and over 0 mol % to 60 mol % Al2O3. Some notable amounts of ZrO2 that may be used include 2 mol %, 5 mol %, 10 mol %, 15 mol %, 20 mol %, 30 mol %, 50 mol % and 60 mol %. Some notable amounts of Er2O3 that may be used include 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol %, 60 mol %, 70 mol %, 80 mol %, and 90 mol %. Some notable amounts of Al2O3 that may be used include 2 mol %, 5 mol %, 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol % and 60 mol %. In one example, the plasma resistant ceramic coating of EraZrxAlyOz contains 42 mol % Y2O3, 40 mol % ZrO2 and 18 mol % Er2O3 and has a lamellar structure. In another example, the plasma resistant ceramic coating of EraZrxAlyOz contains 63 mol % Y2O3, 10 mol % ZrO2 and 27 mol % Er2O3 and has a lamellar structure.
Plasma resistant ceramic coatings of YxEryFz may contain a mixture of just over 0 mol % YF3 and just under 100 mol % ErF3. Additionally, a mixture of just over 0 mol % ErF3 and just under 100 mol % YF3 may be combined to form a plasma resistant ceramic coating. Plasma resistant ceramic coatings of YxEryFz may contain between over 0 mol % to under 100 mol % YF3 and over 0 mol % to under 100 mol % ErF3. Some notable examples include 1-10 mol % YF3 and 90-99 mol % ErF3, 11-20 mol % YF3 and 80-89 mol % ErF3, 21-30 mol % YF3 and 70-79 mol % ErF3, 31-40 mol % YF3 and 60-69 mol % ErF3, 41-50 mol % YF3 and 50-59 mol % ErF3, 51-60 mol % YF3 and 40-49 mol % ErF3, 61-70 mol % YF3 and 30-39 mol % ErF3, 71-80 mol % YF3 and 20-29 mol % ErF3, 81-90 mol % YF3 and 10-19 mol % ErF3, and 1-10 mol % ErF3 and 90-99 mol % YF3.
Three of more of Y2O3, Er2O3, YF3 and ErF3 may be combined to form a solid solution of YwErxOyFz. The solid solution of YwErxOyFz may contain over 0 mol % to less than 100 mol % Y2O3, over 0 mol % to less than 100 mol % Er2O3, over 0 mol % to less than 100 mol % YF3 and/or over 0 mol % to less than 100 mol % Er2F3. Some notable amounts of Er2O3, Y2O3, YF3 and/or ErF3 that may be used include 10 mol %, 20 mol %, 30 mol %, 40 mol %, 50 mol %, 60 mol %, 70 mol %, 80 mol %, and 90 mol %.
In embodiments, the erbium based plasma resistant ceramic coating of Er2O3, ErF3, ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, or EraYxZryOz has a low outgassing rate, a dielectric breakdown voltage on the order of about 200V/μm, and a hermiticity (leak rate) of less than about 10−9 Torr.
FIG. 3B illustrates a method 350 for forming a erbium based plasma resistant ceramic coating on an article such as a process chamber component according to an embodiment. The method may optionally begin by selecting a composition for the plasma resistant ceramic coating. The composition selection and method of forming may be performed by the same entity or by multiple entities.
At block 352 of method 350, a surface of the article (e.g., of the process chamber component) is cleaned using an acid solution. The acid solution may be any of the acid solutions described above with reference to block 305 of method 300. The article may then be loaded into an ALD deposition chamber.
Pursuant to block 355, the method comprises depositing a first layer of Er2O3, Al2O3, ErF3, YF3, Y2O3, or ZrO2 onto a surface of an article via ALD. Pursuant to block 360, the method further comprises depositing an additional layer of a second oxide or a second fluoride onto the surface of the article via ALD. The second oxide or fluoride is one of Er2O3, Al2O3, ErF3, Y2O3, or ZrO2. In one embodiment, the additional layer is a different material than first layer.
In some embodiments, pursuant to block 370, the method may further comprise determining whether additional layers are to be added. Determining whether additional layers are to be added and/or how many layers are to be added can be either done in-situ, or prior to initiating the depositions (e.g., in the optional multi-component composition selection process). If additional layers are to be added, the operations of block 360 may be repeated, and an additional layer of Er2O3, Al2O3, ErF3, YF3, Y2O3, or ZrO2 may be deposited by ALD. The additional layer may be a same material as the first layer or one or more previous additional layers. Alternatively, the additional layer may be a different material from the first layer and previous additional layers. If no more additional layers are to be added, the method may proceed to block 375.
In some embodiments, when the first layer or any of the additional layers comprises yttrium oxide, at least one yttrium oxide precursor may be selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III) or yttrium (III)butoxide for the ALD. In some embodiments, when the first layer or any of the additional layers comprises yttrium fluoride, at least one yttrium fluoride precursor may be selected from the combination of Y(thd)3, where thd=2,2,6,6-tetramethyl-3,5-heptanedionatom, and TiF4, TaF5, or NH4F, for the ALD.
In some embodiments, when the first layer or any of the additional layers comprises aluminum oxide, at least one aluminum oxide precursor may be selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum for ALD. In some embodiments, when the first layer or any of the additional layers comprises zirconium oxide, at least one zirconium oxide precursor may be selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV) for ALD.
In some embodiments, when the first layer or any of the additional layers comprises erbium oxide, at least one erbium oxide precursor may be selected from tris-methylcyclopentadienyl erbium(III) (Er(MeCp)3), erbium boranamide (Er(BA)3) Er(TMHD)3, erbium(III) tris(2,2,6,6-tetramethyl-3,5-heptanedionate), or tris(butylcyclopentadienyl)erbium(III) for ALD. In some embodiments, when the first layer or any of the additional layers comprises erbium oxide, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) erbium (Er(thd)3) and ozone are used as the precursors to form Er2O3. In some embodiments, when the first layer or any of the additional layers comprises erbium oxide, Er(CpMe)3 and water are used as the precursors to form Er2O3. In some embodiments, when the first layer or any of the additional layers comprises erbium oxide, Er(thd)3 and O-radicals are used as the precursors to form Er2O3. In some embodiments, when the first layer or any of the additional layers comprises erbium oxide, Er(PrCp)3, Er(CpMe)2 and/or Er(BuCp)3 are used with either ozone or water as the precursors to form Er2O3.
At least one of the listed precursors or any other suitable precursors may be used each time a Er2O3, Al2O3, ErF3, Y2O3, YF3, or ZrO2 layer is grown using ALD, regardless of whether it is the first, second, or Nth film layer, where the Nth film layer would represent a finite number of film layers grown on the surface of the article and selected based on targeted protective coating thickness and properties.
At block 375, the article (e.g., the chamber component) and all of the layers of the plasma resistant ceramic coating on the chamber component are annealed. In some embodiments, the annealing may result in a multi-component composition comprising an interdiffused solid state phase of some or all film layers deposited onto the surface of the article. Annealing may be performed at a temperature ranging from about 300° C. to about 1800° C., from about 300° C. to about 1500° C., from about 300° C. to about 1000° C., or from about 300° C. to about 500° C. The annealing temperature may be selected based on the material of construction of the article, surface, and film layers so as to maintain their integrity and refrain from deforming, decomposing, or melting any or all of these components.
FIGS. 4A-4D depict variations of a erbium based plasma resistant ceramic coating according to different embodiments. FIG. 4A illustrates an erbium based plasma resistant ceramic coating having a multi-component composition for a surface 405 of an article 410 according to an embodiment. Surface 405 may be the surface of various articles 410. For example, articles 410 may include various semiconductor process chamber components including but not limited to substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on. The semiconductor process chamber component may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, or other suitable materials, and may further comprise materials such as AN, Si, SiC, Al2O3, SiO2, and so on.
In FIG. 4A, the multi component coating composition comprises at least one first film layer 415 of an erbium oxide or an erbium fluoride coated onto surface 405 of article 410 using an ALD or CVD process and at least one second film layer 425 of an additional oxide or an additional fluoride coated onto surface 405 of article 410 using an ALD process.
FIG. 4A illustrates an embodiment where the erbium based plasma resistant ceramic coating comprises a stack of alternating layers of the first layer 415 and the second layer 425, where the layers are intact and not interdiffused, where there is an equal number of each of the layers (four 415 layers and four 425 layers), and where all layers are of equal uniform thickness. In some embodiments, the first film layer is deposited prior to the deposition of the second film layer, and the second film layer is deposited over the first film layer. In some embodiments, the order may be reversed. Alternatively, the layers may have different thicknesses.
FIG. 4B illustrates an embodiment where the erbium based plasma ceramic coating has a multi-component coating composition. The erbium based plasma resistant ceramic coating is deposited on surface 405 of article 410 (e.g., a semiconductor process chamber component as described above) and comprises a stack of alternating layers of the first layer 415, second layer 425, and at least one additional layer 435. The layers are intact and deposited and/or grown at a predetermined order and with a uniform equal thickness. However, the number of layers may not be equal and certain layers may be more prevalent than others (e.g., three 415 layers, three 425 layers, two 435 layers).
In some embodiments, one or more of the layers is a monolayer or a thin layer having a uniform thickness ranging from about 0.1 nanometer to about 100 nanometer. One or more of the layers may be thick layers having a uniform thickness ranging from about 100 nanometer to about 1 micrometer.
FIG. 4C illustrates an embodiment where the erbium based plasma resistant ceramic coating is a multi-component coating. The erbium based plasma resistant ceramic coating is deposited on surface 405 of article 410 and comprises a stack of intact uniform film layers without a fixed order or fixed thickness. The multi-component coating comprises a first thick layer 420 having a first thickness, a second thick layer 430 having a second thickness different from the first thickness, and at least one additional thick layer 440 having a third thickness different from the first and the second thickness. Certain layers may be more prevalent than others so as to achieve certain properties (such as erosion/corrosion resistance) for the multi-component coating (two 420 layers, one 430 layer, one 440 layers).
In some embodiments, the various film layers illustrated in FIGS. 4A through 4C may have the same composition. In other embodiments, the compositions of the layers may be different. In some embodiments, the various film layers may have similar properties such as thickness, porosity, plasma resistance, CTE. In other embodiments, each film layer may have different properties. It is to be understood that although FIGS. 4A-4C depict a certain number of film layers, the figures are not intended to be limiting, and more or fewer film layers may be deposited onto the surface in certain embodiments. In some embodiments, the entire surface of the article may be coated. In other embodiments, at least a portion of the article's surface may be coated.
FIG. 4D illustrates an embodiment where the erbium based plasma resistant ceramic coating has a multi-component coating composition 450. The erbium based plasma resistant ceramic coating is deposited on surface 405 of article 410 and comprises an interdiffused solid state phase of multiple layers. In some embodiments, the erbium based plasma resistant ceramic coating, whether comprising intact layers or an interdiffused solid state phase, is selected from the group consisting of Er2O3, ErF3, ErxOyFz, ErxAlyOz (e.g., Er3Al5O12), ErxZryOz, EraZrxAlyOz, YxEryOz, YxEryFz, YwErxOyFz, and EraYxZryOz (e.g., a single phase solid solution of Y2O3, ZrO2 and Er2O3). Some example processes for creating these various multi-component coating compositions are illustrated in the examples below.
FIG. 5A illustrates a bottom view of a showerhead 500. The showerhead example provided below is just an exemplary chamber component whose performance may be improved by the use of the erbium based plasma resistant ceramic coating as set forth in embodiments herein. It is to be understood that the performance of other chamber components may also be improved when coated with the erbium based plasma resistant ceramic coating disclosed herein. The showerhead 500, as depicted here, was chosen as an illustration of a semiconductor process chamber component having a surface with complex geometry and holes with large aspect ratios.
The complex geometry of lower surface 505 may receive a erbium based plasma resistant ceramic coating according to embodiments herein. Lower surface 505 of showerhead 500 defines gas conduits 510 arranged in evenly distributed concentric rings. In other embodiments, gas conduits 510 may be configured in alternative geometric configurations and may have as many or as few gas conduits as needed depending on the type of reactor and/or process utilized. The erbium based plasma resistant ceramic coating is grown or deposited on surface 505 and in gas conduit holes 510 using the ALD technique or CVD technique which enables a conformal coating of relatively uniform thickness on the surface as well as in the gas conduit holes despite the complex geometry and the large aspect ratios of the holes.
Showerhead 500 may be exposed to corrosive chemistries such as fluorine and may erode due to plasma interaction with the showerhead. The erbium based plasma resistant ceramic coating may reduce such plasma interactions and improve the showerhead's durability. The erbium based plasma resistant ceramic coating deposited with ALD or CVD maintains the relative shape and geometric configuration of the lower surface 505 and of the gas conduits 510 so as to not disturb the functionality of the showerhead. Similarly, when applied to other chamber components, the plasma resistant ceramic coating may maintain the shape and geometric configuration of the surface it is intended to coat so as to not disturb the component's functionality, provide plasma resistance, and improve erosion and/or corrosion resistance throughout the entire surface.
The resistance of the coating material to plasma is measured through “etch rate” (ER), which may have units of micron/hour (μm/hr), throughout the duration of the coated components' operation and exposure to plasma. Measurements may be taken after different processing times. For example, measurements may be taken before processing, after 50 processing hours, after 150 processing hours, after 200 processing hours, and so on. Variations in the composition of the erbium based plasma resistant ceramic coating grown or deposited on the showerhead or on any other process chamber component may result in multiple different plasma resistances or erosion rate values. Additionally, a erbium based plasma resistant ceramic coating with a single composition exposed to various plasmas could have multiple different plasma resistances or erosion rate values. For example, a plasma resistant material may have a first plasma resistance or erosion rate associated with a first type of plasma and a second plasma resistance or erosion rate associated with a second type of plasma.
FIG. 5B depicts a blown up view of a gas conduit 510 having a large aspect ratio coated according to an embodiment. Gas conduit 510 may have a length L and a diameter D. Gas conduit 510 may have a large aspect ratio defined as L:D, wherein the aspect ratio may range from about 50:1 to about 100:1. In some embodiments, the aspect ratio may be lower than 50:1 or greater than 100:1 (e.g., up to 200:1).
Gas conduit 510 may have an interior surface 555 which may be coated with a erbium based plasma resistant ceramic coating. The erbium based plasma resistant ceramic coating may comprise at least one first layer 560 and may optionally comprise a second layer 565 and optionally one or more additional layer (not shown). The first layer 560 may comprise an erbium based oxide, an erbium based fluoride, or an erbium based oxy-fluoride. The first layer 560 may have any of the erbium based material compositions described herein above. The second layer 565 and/or one or more additional layers may each comprise an additional oxide or an additional fluoride (e.g., yttrium oxide, yttrium fluoride, zirconium oxide, aluminum oxide, etc.). In some embodiments, the second layer 565 is another erbium based material, and may have any of the erbium based material compositions described herein above. All layers may be coated using an ALD process or a CVD process. The ALD process and CVD process may grow conformal coating layers of uniform thickness throughout the interior surface of gas conduit 510 despite its large aspect ratio while ensuring that the final multi-component coating may also be thin enough so as to not plug the gas conduits in the showerhead.
In some embodiments, the erbium based plasma resistant ceramic coating may comprise intact layers of at least one first layer, at least one second layer, and optionally at least one additional layer. In an embodiment, the first, second, and any optional additional layers may alternate at a predetermined order. In another embodiment, the first, second, and any optional additional layers may be present in any order. In some embodiments, there may be an equal number of each one of the first, of the second, and of any optional additional layer. In other embodiments, some of the layers may be more prevalent than other layers so as to achieve certain properties for the plasma resistant ceramic coating. Certain properties may be plasma resistance and erosion/corrosion resistance that would improve the durability of the coated semiconductor process chamber component.
In some embodiments, the intact layers may comprise monolayers or thin layers of uniform thickness. Each monolayer or thin layer may have a thickness ranging from about 0.1 nanometers to about 100 nanometers. In other embodiments, the intact layers may comprise thick layers of uniform thickness. Each thick layer may have a thickness ranging from about 100 nanometers to about 1 micrometer. In yet other embodiments, the intact layers may comprise a combination of monolayers, thin layers and/or thick layers.
In other embodiments, the erbium based plasma resistant ceramic coating may comprise an interdiffused solid state phase of at least one first layer, at least one second layer, and optionally at least one additional layer. In an embodiment, an interdiffused solid state phase of the various layers may be obtained through annealing. The composition of the layers, number of layers, frequency of each layer, and thickness of the layers will all contribute to the final properties of the erbium based plasma resistant ceramic coating.
The following examples are set forth to assist in understanding the embodiments described herein and should not be construed as specifically limiting the embodiments described and claimed herein. Such variations, including the substitution of all equivalents now known or later developed, which would be within the purview of those skilled in the art, and changes in formulation or minor changes in experimental design, are to be considered to fall within the scope of the embodiments incorporated herein. These examples may be achieved by performing method 300 or method 350 described above.
Example 1—Forming an ErxOyFz Coating from Erbium Oxide and Erbium Fluoride Layers
A first layer may be an erbium oxide monolayer grown using ALD from a precursor or pair of precursors selected from any of the previously mentioned erbium oxide precursors. A second layer may be an erbium fluoride monolayer grown using ALD from an erbium fluoride precursor. One or more additional layers of erbium oxide and/or erbium fluoride may be grown. The resulting coating may comprise ErxOyFz after annealing, where X, Y and Z depend on the ratio of erbium oxide layers to erbium fluoride layers.
Example 2—Forming a ErxAlyOz Coating from Erbium Oxide and Aluminum Oxide Layers
A first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors. A second layer may be an aluminum oxide monolayer grown using ALD from any of the previously mentioned aluminum oxide precursors or other aluminum oxide precursors. One or more additional erbium oxide layers and/or aluminum oxide layers may be grown. The resulting coating may comprise ErxAlyOz after annealing, where X, Y and Z depend on the ratio of erbium oxide layers to aluminum oxide layers.
Example 3—Forming a ErxZryOz Coating from Erbium Oxide and Zirconium Oxide Layers
A first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors. A second layer may be a zirconium oxide monolayer grown using ALD from one or more of the previously mentioned zirconium oxide precursors or other zirconium oxide precursors. One or more additional erbium oxide layers and/or zirconium oxide layers may be grown. The resulting coating may comprise ErxZryOz after annealing, where X, Y and Z depend on the ratio of erbium oxide layers to zirconium oxide layers.
Example 4—Forming a EraZrxAlyOz Coating from Yttrium Oxide, Zirconium Oxide, and Aluminum Oxide Layers
A first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors. A second layer may be a zirconium oxide monolayer grown using ALD from one or more of the previously mentioned zirconium oxide precursors or other zirconium oxide precursors. A third layer may be an aluminum oxide monolayer grown using ALD from any of the previously mentioned aluminum oxide precursors or other aluminum oxide precursors. One or more additional layers of erbium oxide, zirconium oxide and/or aluminum oxide may be grown. The resulting coating may comprise EraZrxAlyOz after annealing, where A, X, Y, and Z depend on the number and ratio of erbium oxide layers, aluminum oxide layers and zirconium oxide layers.
Example 5—Forming a YxEryOz Coating from Yttrium Oxide and Erbium Oxide Layers
A first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors. A second layer may be a yttrium oxide monolayer grown using ALD from one or more of the previously mentioned yttrium oxide precursors or other yttrium oxide precursors. One or more additional layers of erbium oxide and/or yttrium oxide may be grown. The resulting coating may comprise YxEryOz after annealing, where X, Y, and Z depend on the ratio of erbium oxide layers to yttrium oxide layers.
Example 6—Forming a EraYxZryOz Coating from Yttrium Oxide, Erbium Oxide and Zirconium Oxide Layers
A first layer may be an erbium oxide monolayer grown using ALD from one or more of the previously mentioned erbium oxide precursors or other erbium oxide precursors. A second layer may be a yttrium oxide monolayer grown using ALD from one or more of the previously mentioned yttrium oxide precursors or other yttrium oxide precursors. A third layer may be a zirconium oxide monolayer grown using ALD from one or more of the previously mentioned zirconium oxide precursors or other zirconium oxide precursors. One or more additional layers of erbium oxide, yttrium oxide and/or zirconium oxide may be grown. The resulting coating may comprise EraYxZryOz after annealing, where A, X, Y, and Z depend on the number and ratio of erbium oxide layers, yttrium oxide layers and zirconium oxide layers. The resulting erbium based plasma resistant ceramic coating may therefore be a single phase solid solution that contains a mixture of Y2O3, ZrO2 and Er2O3.
FIG. 6 is a chart comparing plasma etch erosion rates in microns per hour for different ceramics. As shown, the erosion rate of bulk Er2O3 is less than 0.02 μm/hr when exposed to a plasma etch chemistry of CCl4/CHF3 at a bias of 2000 Watts. The etch rate of bulk Er2O3 is lower than the etch rates of Y2O3 and YF3 as shown. ALD and CVD deposited Er2O3 is denser than bulk Er2O3 (e.g., has a lower porosity), and also have a lower etch rate than bulk Er2O3.
The preceding description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide a good understanding of several embodiments of the present invention. It will be apparent to one skilled in the art, however, that at least some embodiments of the present invention may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format in order to avoid unnecessarily obscuring the present invention. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the present invention.
Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. In addition, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” When the term “about” or “approximately” is used herein, this is intended to mean that the nominal value presented is precise within ±10%.
Although the operations of the methods herein are shown and described in a particular order, the order of the operations of each method may be altered so that certain operations may be performed in an inverse order or so that certain operation may be performed, at least in part, concurrently with other operations. In another embodiment, instructions or sub-operations of distinct operations may be in an intermittent and/or alternating manner.
It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reading and understanding the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (19)

What is claimed is:
1. A method comprising:
depositing a multi-layer stack onto a surface of a chamber component using a chemical vapor deposition (CVD) process or an atomic layer deposition (ALD) process; and
annealing the chamber component comprising the multi-layer stack to convert the multi-layer stack into a plasma resistant ceramic coating, wherein the plasma resistant ceramic coating is selected from a group consisting of:
an erbium containing fluoride of YxEryFz, where x, y and z are selected such that the erbium containing fluoride of YxEryFz contains over 0 mol % to under 100 mol % YF3 and over 0 mol % to under 100 mol % ErF3, and
an erbium containing oxy-fluoride YwErxOxFz, where w, x, y and z are selected such that the erbium containing oxy-fluoride of YwErxOxFz contains over 0 mol % to under 100 mol % of three or more of Y2O3, YF3, Er2O3 and ErF3.
2. The method of claim 1, wherein the chamber component comprises a conduit, and wherein the surface of the chamber component onto which the plasma resistant ceramic coating is deposited comprises an internal surface of the conduit that has an aspect ratio between 50:1 and 200:1.
3. The method of claim 1, wherein the plasma resistant ceramic coating has a zero porosity.
4. The method of claim 1, further comprising:
prior to depositing the multi-layer stack, cleaning the surface of the chamber component using an acid solution, the acid solution comprising 0.1-20 vol % hydrochloric acid to improve an adhesion of the plasma resistant ceramic coating to the chamber component.
5. The method of claim 1, wherein the annealing is performed at a temperature of 300-1000° C.
6. The method of claim 1, wherein each layer in the multi-layer stack has a thickness of about 0.1-100 nm.
7. A method comprising:
depositing a multi-layer stack onto a surface of a chamber component using an atomic layer deposition (ALD) process, wherein the multi-layer stack comprises at least one of an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride, and wherein depositing the multi-layer stack comprises:
depositing a first layer using the ALD process, the first layer consisting essentially of Er2O3 or ErF3;
depositing a second layer using the ALD process, the second layer consisting of a different material than the first layer, wherein the second layer consists essentially of Er2O3, Al2O3, ErF3, Y2O3 or YF3; and
depositing one or more additional layers using the ALD process, each of the one or more additional layers consisting essentially of one of Er2O3, Al2O3, ErF3, Y2O3 or YF3; and
annealing the chamber component comprising the multi-layer stack, wherein the annealing causes the first layer, the second layer and the one or more additional layers to interdiffuse and transform into a single layer of a plasma resistant ceramic coating, the single layer comprising a solid state phase.
8. The method of claim 7, wherein the plasma resistant ceramic coating consists essentially of Er3Al5O12.
9. The method of claim 7, wherein the plasma resistant ceramic coating consists essentially of YxEryOz, where x, y and z are selected such that the erbium containing oxide of YxEryOz contains over 0 mol % to under 100 mol % Y2O3 and over 0 mol % to under 100 mol % Er2O3.
10. The method of claim 7, wherein the plasma resistant ceramic coating consists essentially of ErxOyFz, where x, y and z are selected such that the erbium containing oxy-fluoride of ErxOyFz contains over 0.1 at. % to under 100 at. % Er, over 0.1 at. % to under 100 at. % 0 and over 0.1 at. % to under 100 at. % F.
11. The method of claim 7, wherein the plasma resistant ceramic coating consists essentially of YxEryFz, where x, y and z are selected such that the erbium containing fluoride of YxEryFz contains over 0 mol % to under 100 mol % YF3 and over 0 mol % to under 100 mol % ErF3.
12. The method of claim 7, wherein the plasma resistant ceramic coating consists essentially of YwErxOyFz, where w, x, y and z are selected such that the erbium containing oxy-fluoride of YwErxOyFz contains over 0 mol % to under 100 mol % of three or more of Y2O3, YF3, Er2O3 and ErF3.
13. The method of claim 7, wherein the annealing is performed at a temperature of 300-1000° C.
14. The method of claim 7, wherein the first layer, the second layer and the one or more additional layers each have a thickness of about 0.1-100 nm.
15. The method of claim 7, wherein the single layer is an approximately homogenous layer.
16. A method comprising:
depositing a multi-layer stack onto a surface of a chamber component using an atomic layer deposition (ALD) process, wherein the multi-layer stack comprises at least one of an erbium containing oxide, an erbium containing oxy-fluoride, or an erbium containing fluoride, and wherein depositing the multi-layer stack comprises:
depositing a first layer using the ALD process, the first layer consisting essentially of ErF3;
depositing a second layer using the ALD process, the second layer consisting essentially of Er2O3, Al2O3, ZrO2, Y2O3 or YF3; and
depositing one or more additional layers using the ALD process, each of the one or more additional layers consisting essentially of one of Er2O3, ZrO2, Al2O3, ErF3, Y2O3 or YF3; and
annealing the chamber component comprising the multi-layer stack, wherein the annealing causes the first layer, the second layer and the one or more additional layers to interdiffuse and transform into a single layer of a plasma resistant coating, the single layer comprising a solid state phase.
17. The method of claim 16, wherein the annealing is performed at a temperature of 300-1000° C.
18. The method of claim 16, wherein the first layer, the second layer and the one or more additional layers each have a thickness of about 0.1-100 nm.
19. The method of claim 16, wherein the single layer is an approximately homogenous layer.
US15/191,269 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating Active US9850573B1 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US15/191,269 US9850573B1 (en) 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating
TW106101500A TWI762463B (en) 2016-06-23 2017-01-17 Non-line of sight deposition of erbium based plasma resistant ceramic coating
PCT/US2017/014617 WO2017222601A1 (en) 2016-06-23 2017-01-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating
KR1020197001795A KR102195757B1 (en) 2016-06-23 2017-01-23 Non-visible deposition of erbium-based plasma resistant ceramic coatings
JP2018567650A JP6859371B2 (en) 2016-06-23 2017-01-23 Deposit of erbium-based plasma resistant ceramic coating
KR1020207036657A KR102308849B1 (en) 2016-06-23 2017-01-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating
CN201780038885.6A CN109417021B (en) 2016-06-23 2017-01-23 Non-direct view deposition of erbium-based plasma resistant ceramic coatings
CN202310842884.4A CN116815158A (en) 2016-06-23 2017-01-23 Non-direct view deposition of erbium-based plasma resistant ceramic coatings
US15/820,871 US10676819B2 (en) 2016-06-23 2017-11-22 Non-line of sight deposition of erbium based plasma resistant ceramic coating
JP2021049459A JP7134283B2 (en) 2016-06-23 2021-03-24 Non-line-of-sight deposition of erbium-based plasma-resistant ceramic coatings

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/191,269 US9850573B1 (en) 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/820,871 Division US10676819B2 (en) 2016-06-23 2017-11-22 Non-line of sight deposition of erbium based plasma resistant ceramic coating

Publications (2)

Publication Number Publication Date
US9850573B1 true US9850573B1 (en) 2017-12-26
US20170369993A1 US20170369993A1 (en) 2017-12-28

Family

ID=60674665

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/191,269 Active US9850573B1 (en) 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating
US15/820,871 Active 2037-01-20 US10676819B2 (en) 2016-06-23 2017-11-22 Non-line of sight deposition of erbium based plasma resistant ceramic coating

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/820,871 Active 2037-01-20 US10676819B2 (en) 2016-06-23 2017-11-22 Non-line of sight deposition of erbium based plasma resistant ceramic coating

Country Status (6)

Country Link
US (2) US9850573B1 (en)
JP (2) JP6859371B2 (en)
KR (2) KR102308849B1 (en)
CN (2) CN116815158A (en)
TW (1) TWI762463B (en)
WO (1) WO2017222601A1 (en)

Cited By (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180094348A1 (en) * 2016-06-23 2018-04-05 Applied Materials, Inc. Non-Line of Sight Deposition of Erbium Based Plasma Resistant Ceramic Coating
US20180209042A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20190078206A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
EP3643808A1 (en) * 2018-10-19 2020-04-29 Rosemount Aerospace Inc. Air data probe corrosion protection
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US20210384033A1 (en) * 2020-06-03 2021-12-09 Asm Ip Holding B.V. Shower plate, substrate treatment device, and substrate treatment method
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US20220081282A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Micro-electromechanical device for use in a flow control apparatus
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11365479B2 (en) * 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11817341B2 (en) 2017-06-02 2023-11-14 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11866821B2 (en) * 2019-05-22 2024-01-09 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210388212A1 (en) * 2018-10-11 2021-12-16 Fundacion Tecnalia Research & Innovation A highly corrosion protective thin bi-layer stack for steel
WO2020172070A1 (en) * 2019-02-22 2020-08-27 Lam Research Corporation Electrostatic chuck with powder coating
TW202212615A (en) * 2020-06-25 2022-04-01 美商葛林陀德科技公司 Mixed substantially homogenous coatings deposited by ald
WO2023055656A1 (en) * 2021-09-30 2023-04-06 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5646425A (en) 1992-08-31 1997-07-08 International Business Machines Corporation Supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US5758858A (en) 1996-03-15 1998-06-02 Barnes; Renny H. Paralleling device and method of using
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
JP2002306957A (en) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd Plasma treating device
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
US20040151841A1 (en) 2003-02-04 2004-08-05 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
US6835417B2 (en) 2002-02-27 2004-12-28 Infineon Technologies Ag Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes
JP2006144123A (en) 2004-10-18 2006-06-08 Nihon Ceratec Co Ltd Corrosion-resistant member and its manufacturing method
US20070026246A1 (en) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
US20080032115A1 (en) 2004-10-18 2008-02-07 Nihon Ceratec Co., Ltd. Corrosion-Resistant Member and Method for Manufacture Thereof
US20080048225A1 (en) 2006-08-25 2008-02-28 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090302434A1 (en) * 2008-06-05 2009-12-10 American Air Liquide, Inc. Preparation of Lanthanide-Containing Precursors and Deposition of Lanthanide-Containing Films
US20100048028A1 (en) 2008-08-20 2010-02-25 Applied Materials, Inc. Surface treated aluminum nitride baffle
US20100119843A1 (en) 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US7732067B2 (en) 2004-11-24 2010-06-08 Zurbuchen Mark A Epitaxial layer structures and precursors for topotactic anion exchange oxide films
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20130162143A1 (en) * 2011-12-27 2013-06-27 Industrial Technology Research Institute Lamp control system, lamp power-saving system and method therefor
US20130162142A1 (en) * 2011-12-05 2013-06-27 Tocalo Co., Ltd. Plasma processing apparatus and method
US20140120312A1 (en) * 2012-10-29 2014-05-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Coating packaged chamber parts for semiconductor plasma apparatus
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US20140349073A1 (en) 2013-05-24 2014-11-27 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US20140377504A1 (en) 2013-06-20 2014-12-25 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150021324A1 (en) 2013-07-20 2015-01-22 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20150133285A1 (en) 2013-11-12 2015-05-14 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20150158775A1 (en) 2013-12-06 2015-06-11 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311044A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20150376780A1 (en) 2014-06-27 2015-12-31 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (en) 1989-09-28 1991-05-16 Nippon Mining Co Ltd Method for decreasing oxygen in rare earth metal
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (en) 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
US6129029A (en) 1998-08-21 2000-10-10 Watson; Ronald R. Method and apparatus for accessing safe deposit box
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (en) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd Plasma proof member
JP4277973B2 (en) 2001-07-19 2009-06-10 日本碍子株式会社 Yttria-alumina composite oxide film production method, yttria-alumina composite oxide film, and corrosion-resistant member
JP4921652B2 (en) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (en) 2002-03-22 2003-10-02 Ngk Insulators Ltd Multilayer body having yttria - alumina compound oxide film, yttria - alumina compound oxide film, corrosion- resistant member, corrosion-resistant film and method for manufacturing yttria - alumina compound oxide film
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
JP4597972B2 (en) 2003-03-31 2010-12-15 東京エレクトロン株式会社 A method of bonding adjacent coatings on a processing member.
US6866886B2 (en) * 2003-04-02 2005-03-15 Battelle Memorial Institute Method of coating the interior surface of hollow objects with a diffusion coating
KR100618630B1 (en) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
JP4606121B2 (en) 2004-01-29 2011-01-05 京セラ株式会社 Corrosion-resistant film laminated corrosion-resistant member and manufacturing method thereof
JP2006082474A (en) 2004-09-17 2006-03-30 Tosoh Corp Resin member
JP2006186306A (en) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd Gas diffusion plate and manufacturing method thereof
KR101226120B1 (en) 2004-10-26 2013-01-24 쿄세라 코포레이션 Corrosion resistance member, and method for manufacturing the same
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (en) 2006-02-20 2007-08-30 Showa Denko Kk Corrosion-resistant film having fluoride film of rare earth element, and its manufacturing method
JP4546447B2 (en) 2006-12-22 2010-09-15 トーカロ株式会社 Thermal spray coating coated member having excellent plasma erosion resistance and method for producing the same
JP5252613B2 (en) 2006-12-25 2013-07-31 国立大学法人東北大学 Ion implantation apparatus and ion implantation method
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
TWI483291B (en) * 2007-04-27 2015-05-01 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8858864B2 (en) * 2007-07-31 2014-10-14 Tokyo Electron Limited Nozzle member and process for producing the same
CN101469409B (en) * 2007-12-27 2011-04-20 北京有色金属研究总院 Composite aluminum oxide /erbium hydrogen resistance coating and preparation thereof
WO2010097280A1 (en) * 2009-02-27 2010-09-02 Unilever Plc A spray nozzle
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (en) 2011-09-01 2013-03-11 주식회사 코미코 Electrostatic chuck
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6117195B2 (en) 2012-05-22 2017-04-19 株式会社東芝 Parts for plasma processing apparatus and method for manufacturing parts for plasma processing apparatus
US8846543B2 (en) * 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (en) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 The coating improved for performance of semiconductor devices
CN103794445B (en) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 For electrostatic chuck assembly and the manufacture method of plasma process chamber
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9887121B2 (en) * 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
GB2513575B (en) * 2013-04-29 2017-05-31 Keronite Int Ltd Corrosion and erosion-resistant mixed oxide coatings for the protection of chemical and plasma process chamber components
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US10468235B2 (en) * 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
KR102369706B1 (en) 2014-02-07 2022-03-04 엔테그리스, 아이엔씨. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (en) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 A kind of manufacture method of electrostatic chuck and electrostatic chuck
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (en) 2014-09-11 2016-03-21 삼성전자주식회사 plasma processing equipment
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
CN111519166A (en) 2015-02-13 2020-08-11 恩特格里斯公司 Composite Atomic Layer Deposition (ALD) coating on substrate portion and method of forming patterned ALD coating on substrate portion
TWI683888B (en) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 Articles coated with fluoro-annealed films
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR101916872B1 (en) 2015-10-15 2018-11-08 아이원스 주식회사 Method for restoring coating layer of semiconductor process equipment component and semiconductor process equipment component thereof
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
KR101817779B1 (en) * 2015-12-31 2018-01-11 (주)코미코 Plasma Resistant Coating Layer, Method of Forming the Same
US10612121B2 (en) * 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20180337026A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US20190078199A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Rare-earth-based oxyfluoride ald coating for chamber productivity enhancement
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition

Patent Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5646425A (en) 1992-08-31 1997-07-08 International Business Machines Corporation Supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US5758858A (en) 1996-03-15 1998-06-02 Barnes; Renny H. Paralleling device and method of using
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
JP2002306957A (en) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd Plasma treating device
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6835417B2 (en) 2002-02-27 2004-12-28 Infineon Technologies Ag Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
US20040151841A1 (en) 2003-02-04 2004-08-05 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
JP2004241203A (en) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp Treatment method of plasma treatment chamber wall
US20080032115A1 (en) 2004-10-18 2008-02-07 Nihon Ceratec Co., Ltd. Corrosion-Resistant Member and Method for Manufacture Thereof
JP2006144123A (en) 2004-10-18 2006-06-08 Nihon Ceratec Co Ltd Corrosion-resistant member and its manufacturing method
US7732067B2 (en) 2004-11-24 2010-06-08 Zurbuchen Mark A Epitaxial layer structures and precursors for topotactic anion exchange oxide films
WO2007013184A1 (en) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3 thermal sprayed film coated member and process for producing the same
US20070026246A1 (en) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
US20080048225A1 (en) 2006-08-25 2008-02-28 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8871312B2 (en) 2007-08-02 2014-10-28 Applied Materials, Inc. Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090302434A1 (en) * 2008-06-05 2009-12-10 American Air Liquide, Inc. Preparation of Lanthanide-Containing Precursors and Deposition of Lanthanide-Containing Films
US20100048028A1 (en) 2008-08-20 2010-02-25 Applied Materials, Inc. Surface treated aluminum nitride baffle
US20100119843A1 (en) 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US20150004418A1 (en) 2008-11-12 2015-01-01 Applied Materials, Inc. Ceramic Component formed ceramic portions bonded together with a halogen plasma resistant bonding agent
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20130162142A1 (en) * 2011-12-05 2013-06-27 Tocalo Co., Ltd. Plasma processing apparatus and method
US20130162143A1 (en) * 2011-12-27 2013-06-27 Industrial Technology Research Institute Lamp control system, lamp power-saving system and method therefor
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20140120312A1 (en) * 2012-10-29 2014-05-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Coating packaged chamber parts for semiconductor plasma apparatus
US20140349073A1 (en) 2013-05-24 2014-11-27 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US20140377504A1 (en) 2013-06-20 2014-12-25 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150021324A1 (en) 2013-07-20 2015-01-22 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150133285A1 (en) 2013-11-12 2015-05-14 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20150158775A1 (en) 2013-12-06 2015-06-11 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311044A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150376780A1 (en) 2014-06-27 2015-12-31 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
International Search Report and Written Opinion of the International Searching Authority for International Application No. PCT/US2017/014617 dated May 8, 2017.
Johansson, P., et al. "Atomic Layer Deposition Process for Barrier Applications of Flexible Packaging", Presentation for TAPPI Conference, Apr. 18-21, 2010, 33 pages, Albuquerque, New Mexico.
Rönn, J., "Fabrication and characterization of atomic-layer-deposited Er2O3 for optical amplifier devices", Master Thesis for Aalto University School of Electrical Engineering, Dec. 1, 2014, 71 pages, Espoo, Finland.
Tamm, Aile et al. Atomic layer deposition and characterization of zirconium oxide-erbium oxide nanolaminates. Thin Solid Finls 519 (2010) 666-673. *

Cited By (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11198936B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20180094348A1 (en) * 2016-06-23 2018-04-05 Applied Materials, Inc. Non-Line of Sight Deposition of Erbium Based Plasma Resistant Ceramic Coating
US10676819B2 (en) * 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11251023B2 (en) * 2017-01-20 2022-02-15 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10573497B2 (en) * 2017-01-20 2020-02-25 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20220157568A1 (en) * 2017-01-20 2022-05-19 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180209042A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11817341B2 (en) 2017-06-02 2023-11-14 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190078206A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11365479B2 (en) * 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US20210333715A1 (en) * 2018-03-20 2021-10-28 Lam Research Corporation Protective coating for electrostatic chucks
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11835868B2 (en) * 2018-03-20 2023-12-05 Lam Research Corporation Protective coating for electrostatic chucks
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11629403B2 (en) 2018-10-19 2023-04-18 Rosemount Aerospace Inc. Air data probe corrosion protection
EP3643808A1 (en) * 2018-10-19 2020-04-29 Rosemount Aerospace Inc. Air data probe corrosion protection
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11866821B2 (en) * 2019-05-22 2024-01-09 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US20210384033A1 (en) * 2020-06-03 2021-12-09 Asm Ip Holding B.V. Shower plate, substrate treatment device, and substrate treatment method
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081282A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Micro-electromechanical device for use in a flow control apparatus
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
KR102195757B1 (en) 2020-12-28
US20180094348A1 (en) 2018-04-05
US10676819B2 (en) 2020-06-09
WO2017222601A1 (en) 2017-12-28
US20170369993A1 (en) 2017-12-28
JP2021107580A (en) 2021-07-29
TWI762463B (en) 2022-05-01
JP6859371B2 (en) 2021-04-14
CN109417021A (en) 2019-03-01
KR20200143533A (en) 2020-12-23
CN116815158A (en) 2023-09-29
KR20190009429A (en) 2019-01-28
KR102308849B1 (en) 2021-10-01
TW201809337A (en) 2018-03-16
CN109417021B (en) 2023-07-18
JP7134283B2 (en) 2022-09-09
JP2019522113A (en) 2019-08-08

Similar Documents

Publication Publication Date Title
US10676819B2 (en) Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11198937B2 (en) Atomic layer deposition of protective coatings for semiconductor process chamber components
US10745805B2 (en) Plasma resistant coating of porous body by atomic layer deposition
US11008653B2 (en) Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR20200000244U (en) Erosion resistant metal fluoride coatings deposited by atomic layer deposition
TW201920742A (en) Atomic layer deposition coatings for high temperature heaters

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SUN, JENNIFER Y.;REEL/FRAME:038999/0982

Effective date: 20160622

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4