USRE41266E1 - Composite electrode for plasma processes - Google Patents

Composite electrode for plasma processes Download PDF

Info

Publication number
USRE41266E1
USRE41266E1 US10/734,073 US73407303A USRE41266E US RE41266 E1 USRE41266 E1 US RE41266E1 US 73407303 A US73407303 A US 73407303A US RE41266 E USRE41266 E US RE41266E
Authority
US
United States
Prior art keywords
electrode
electrode assembly
disk
plate
support frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/734,073
Inventor
Raymond L. Degner
Eric H. Lenz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24336863&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=USRE41266(E1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US10/734,073 priority Critical patent/USRE41266E1/en
Application granted granted Critical
Publication of USRE41266E1 publication Critical patent/USRE41266E1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Definitions

  • the present invention relates generally to the design of electrodes used for producing a plasma in a reactor vessel. More particularly, the present invention relates to the design of a composite electrode useful in plasma reactors, such as plasmid etch and plasma-enhanced chemical vapor deposition reactors.
  • Plasma etching of semiconductor wafers and other substrates relies on the production of ionized gaseous species using a radio frequency (rf) discharge at pressures in the range from about 0.1 to 10 Torr, commonly referred to as a glow discharge.
  • rf radio frequency
  • the charged species react with molecules at the surface of the substrate, resulting in volatile reaction products which are carried away.
  • etchers are commonly employed in semiconductor fabrication including wet chemical (barrel) reactors, vertical dry chemical plasma reactors, and horizontal dry chemical plasma reactors.
  • dry chemical parallel plate plasma reactors are typically characterized by a reactor volume defined by a pair of vertically spaced-apart horizontal electrode plates, although other orientations may also be employed.
  • An enchant gas is typically fed through at least one of the electrode plates, and rf energy is applied across the electrodes to induce the desired plasma.
  • Parallel plate reactors may be configured to process either single or multiple wafers. Single wafer parallel plate reactors, because of their highly symmetric electrical field and gas flow characteristics, are able to provide highly uniform etching across the surface of the wafer.
  • Parallel plate plasma reactors usually operable by introducing a low pressure enchant gas through the upper electrode plate and placing a single wafer or multiple wafers over the lower electrode.
  • the plasma is uniformly generated as the etchant gas flows downward and the rf energy is applied to the reactor, typically across the two electrodes.
  • the upper electrode must meet a number of requirements in order to achieve desired performance characteristics. Foremost, the electrode must have defined electrical properties, such as impedance, current capacity, and the like, in order to couple rf energy into the plasma in combination with the lower electrode. Additionally, the upper electrode material must be able to withstand prolonged exposure to the generated plasma, and interaction between the electrode material and the plasma should not have a deleterious effect on any of the desired plasma properties. In particular, the upper electrode should not generate large particles or large quantities of particles and should not release heavy metals or other contaminants into the zone between the opposed electrodes. Transition group metals severely degrade minority carrier lifetimes and significantly increase junction leakage. Alakalis, particularly sodium, cause instability in MOS threshold voltages.
  • the temperature characteristics of the plasma are also critical to system performance, and it is desirable that the electrode be able to be maintained at a uniform, stable temperature across its entire surface. Finally, it is often desirable that the enchant gas be introduced through the upper electrode. In that case, the electrode material should be machinable in order to form the necessary passages and other features for delivering a uniform flow of gas therethrough.
  • upper electrodes for parallel plate plasma reactors have generally been formed from a single (or coated) material, such as polycrystalline silicon, graphite, aluminum, flame sprayed silicon powder on aluminum, or the like. While each of these materials enjoys certain advantages, e.g. polycrystalline silicon is compatible with many plasma chemistries, anodized aluminum is relatively inexpensive and easy to fabricate, and graphite is readily machined and can be purified to semiconductor purity, no one material has been found to meet all electrode requirements.
  • Such electrodes should possess desirable electrical and thermal properties, and should be compatible with any or all plasma chemistries. In particular, it would be desirable if such electrodes were relatively easy and inexpensive to fabricate.
  • an electrode assembly suitable for use in a parallel plate plasma reactor comprises a plate, usually in the form of a disk, composed of a “semiconductor purity” material having a substantially uniform thickness.
  • a plate usually in the form of a disk, composed of a “semiconductor purity” material having a substantially uniform thickness.
  • One face of the plate is bonded to a support frame composed of an electrically and thermally conductive material, leaving the other face substantially flat and free from protuberances.
  • the support frame will be in the form of a ring which is bonded about the periphery of a plate in the form of a disk.
  • a plate and support frame are bonded together with a relatively ductile bonding layer formed by brazing, soldering, or the like.
  • the bonding material should be composed of a thermally and electrically conductive material, such as metals, conductive epoxies, or the like preferably being formed from low vapor pressure materials which will leave less tendency to contaminate low
  • the present invention comprises the electrode assemblies themselves as well as improved parallel plate electrode reactors which incorporate the electrode assemblies as an upper or exposed electrode thereof.
  • the composite electrodes of the present invention have a number of advantages over previous electrodes formed from a single material.
  • the plate portion of the composite electrode which is exposed to plasma can be formed from material which is most suitable for the processing conditions with less concern for the cost of the material or the ability to machine the material.
  • the material of choice can be dictated primarily by plasma chemistry and the desirability to minimize formation of particles and release of other contaminates.
  • the support frame can be composed of material which has desired electrical, thermal, and structural properties and which can be relatively easily machined or otherwise formed into a desired geometry, e.g. a ring.
  • the material of the support frame should not be brittle and should allow treatment within the reactor by bolting or other conventional fasteners.
  • the material of the support frame will usually be chosen to have a thermal expansion coefficient which is generally compatible with that of the electrode plate, but a certain amount of mismatch can be tolerated when the bonding layer is formed from a ductile material.
  • the support frame is chosen to have a slightly greater coefficient of thermal expansion.
  • FIG. 1 is an exploded view of an electrode assembly constructed in accordance with the principles of the present invention, shown with a portion of the support ring broken away.
  • FIG. 2A is a cross-sectional view of the electrode assembly of FIG. 1 .
  • FIGS. 2B and 2C illustrate cross-sectional views of two alternate embodiments of the electrode assembly of the present invention.
  • FIG. 3 is a schematic illustration of a plasma reactor system employing the electrode assembly of the present invention.
  • FIG. 4 is a detailed view of the mounting of the electrode assembly of the present invention within the reactor system of FIG. 3 .
  • the present invention provides an improved construction for an electrode assembly useful particularly in parallel plate plasma reactor apparatus.
  • Such reactor apparatus typically include upper and lower electrodes where the upper electrode is mounted in a housing which is capable of moving up and down relative to the lower electrode.
  • the lower electrode which is generally fixed, will serve as a support service or “chuck” for the semiconductor wafer or other article which is being treated.
  • a particular construction for such a reactor is described in more detail hereinafter with reference to FIG. 3 .
  • the electrode assembly of the present invention comprises a generally flat plate, usually in the form of a disk having a substantially uniform thickness thereacross, which is composed of a material which is “semiconductor pure”.
  • Semiconductor purity means that the material is at least 99.999% pure and is substantially free of trace contaminants that could interfere with the associated wafer fabrication process.
  • the material should have a total ash content of less than 10 parts per million (ppm) including minimal amounts of heavy metal, transition group metal, and alkali contaminants which are particularly damaging to silicon device performance.
  • the plate will provide an electrode surface which is exposed to the plasma in the parallel plate or other reactor.
  • the plate will be composed of a particular semiconductor pure material which is selected to be compatible with the plasma chemistry being performed in the reactor. Etching is typically performed with halogen-based chemistries, and the materials listed in Table 1 below are generally suitable for most such chemistries. This listing is not meant to be comprehensive and other electrode materials and plasma chemistries may also be used in the present invention.
  • the thickness and other dimensions of the electrode plate are not critical and will be selected based on the dimensions of the reactor, cost of the material, machinability of the material, material erosion rate, and the like. Usually, however, for expensive material it will be desirable to minimize the thickness of the electrode plate while providing sufficient material to permit extended use before thinning of the material requires replacement.
  • the plate will be in the form of a disc having a diameter in the range from about 12 cm to 32 cm, usually being in the range from about 15 cm to 25 cm.
  • the thickness of the plate will be in the range from about 0.1 cm to 2 cm, usually being in the range from about 0.3 cm to 1 cm.
  • the geometry of the electrode plate will generally be symmetric and relatively simple in order to enhance uniform electrical and thermal characteristics as well as minimize stresses which might occur during machining, mounting, and/or use of the electrode.
  • the most preferred geometry is a round disk.
  • the plate will generally be flat and free from protuberances, but the upper face might have ridges, shoulders, or other symmetric features. In particular, a peripheral shoulder may be provided to facilitate connection to a support ring, as described below.
  • the exposed face of the electrode plate will be free from protuberances and it will usually be desirable to form apertures or orifices through the plate in order to facilitate introduction of reactant gases into the reactor volume.
  • Such apertures will generally be chosen to have symmetrical characteristics, usually having a circular profile, and will be typically laid out in a uniform, symmetric pattern which will minimize non-uniformities in the thermal, electrical, and structural properties of the disk.
  • electrode plates may be formed from a porous, sintered material, as described in U.S. Pat. No. 4,367,114, the disclosure of which is incorporated herein by reference.
  • the use of such “domed” electrodes is generally limited to the electrode which supports the wafer being processed.
  • the electrode assembly of the present invention further comprises a support frame which is bonded to one face or surface of the electrode plate.
  • the support frame provides mechanical support for the electrode plate when mounted in the reactor housing, as discussed hereinafter. Additionally, the support frame will provide electrical and thermal connection of the electrode plate to the rf source and heat sink provided within the reactor.
  • the support frame is preferably formed from a material having high electrical and thermal conductivities. By electrical conductivity, of course, it is meant the materials should have a low impedance within the rf range of interest, typically either about 400 kHz or about 13 MHz.
  • the material of the support frame should be readily machinable so that the support frame can be shaped to be compatible with mounting of the electrode assembly in a wide variety of parallel plate and other reactor systems. Suitable materials for this support frame include graphite, aluminum, copper, stainless steel, and the like.
  • the support frame may assume virtually any geometry, but will preferably have a symmetric geometry corresponding to that of the electrode plate, i.e., usually having a circular symmetry in order to enhance uniform thermal, electrical, and structural characteristics in the electrode assembly during use.
  • the support frame will typically be an annular ring (or include a plurality of concentric annular rings) which is bonded about the periphery of one face of surface of the electrode plate.
  • the use of the annular ring defines a region for receiving enchant gases which are then passed through the apertures or orifices, when present in the electrode plate.
  • the support frame could itself be a disk having apertures aligned with the apertures in the electrode plate (if any) to allow introduction of reactant gases.
  • an electrode assembly 10 constructed in accordance with the principles of the present invention includes plate 12 in the form of a disk and a support frame 14 in the form of an annular ring.
  • the electrode plate 12 will generally be formed from the materials and have the dimensions set forth above
  • the plate includes apertures 16 formed near its center in order to distribute a reactant gas therethrough, as described in more detail hereinafter.
  • the apertures 16 may extend over a greater portion of the plate than illustrated, but will usually be maintained in a symmetric arrangement for the reasons discussed above.
  • Support ring 14 includes a flange portion 18 and a downward extension portion 20 .
  • the downward extension is sized to engage the upper surface 22 of electrode disk 12 about its periphery.
  • Exemplary dimensions for the electrode assembly 10 are set forth herein below.
  • the support ring 14 may be bonded to the electrode plate 12 by any suitable process which provides the necessary bonding strength as well as thermal and electrical characteristics. Typically, bonding will be performed by either brazing, soldering or use of adhesives to form a ductile bonding layer, preferably having a low vapor pressure. The ductility is desirable so that any thermal expansion mismatch between the electrode plate 12 and support ring 14 will not result in breaking or fracturing of the bond, or the electrode plate 12 .
  • Suitable metals include ductile, low vapor pressure metals, such as indium, silver, and alloys thereof. Particularly preferred is the use of indium.
  • the characteristics of indium are particularly well balanced for use as the bonding layer. Indium is available at relatively high purity, has a high ductility, and provides good wetting of and abrasion to other materials. Additionally, indium has moderate strength, a moderate melting point, and a relatively low vapor pressure which minimizes loss into the reactor.
  • the difference between soldering and brazing is primarily temperature, with soldering generally being performed at below about 800° F. and brazing generally being performed at above about 800° F.
  • the electrode plate 12 is secured to the support ring 14 by soldering with indium at a temperature of about 310° F. to 320° F.
  • Soldering is a less expensive process and is particularly suitable for joining materials which have a large mismatch in their coefficients of thermal expansion.
  • the relatively low solidification temperature of soldered materials minimizes the expansion mismatch at the solidification temperature.
  • Brazing will usually provide a higher strength bond, but is suitable only for materials which have well matched thermal expansion coefficients in order to avoid high stresses in the bonding layer and electrode plate after cooling to room temperature.
  • the bonding layer may be formed from an electrically and thermally conductive adhesive, preferably from a metal filled epoxy such as a silver, aluminum, nickel, platinum, gold, iron, and copper-filled epoxy.
  • a metal filled epoxy such as a silver, aluminum, nickel, platinum, gold, iron, and copper-filled epoxy.
  • Suitable metal filled epoxies are commercially available from suppliers, such as Devcon Corporation, Thermoset Plastics, Shell Company, and Varian Associates.
  • the support ring 14 and electrode pair 12 will be bonded at an interface region defined by the contact area between the ring and the plate. It will generally be advantageous to maximize the interface area in order to enhance thermal and electrical contact as well as structural support.
  • the contact area will have an annular width of at least about 1 cm, preferably being at least about 1.5 cm. The width may, of course, be greater up to and including having contact along the entire rear surface of the plate.
  • Suitable metals typically include titanium and nickel, and will usually be applied to thicknesses in the range from about 1000 ⁇ to 50,000 ⁇ by conventional techniques, such as sputtering.
  • the support ring 14 In forming the electrode assembly 10 , it will be desirable to “pre-stress” the support ring 14 so that it provides a radially-directed inward compression on the electrode plate 12 . Such a compressive stress helps to inhibit stress fracturing of the electrode plate 12 during use. More specifically, by utilizing a support ring 14 which is formed from a material which has a slightly larger thermal expansion coefficient than that of the electrode plate, and forming or curing the bonding layer at a temperature above the expected operating temperature, the support ring will apply a constant compressive force on both the electrode plate and the bonding layer. Even when the temperature of the electrode assembly is raised from room temperature to the operation temperature, the support ring will still be in compression (although reduced relative to room temperature). Thus, thermal cycling will be less likely to fracture the electrode plate which is fragile relative to the support ring.
  • the reactor system 50 includes chamber 52 , an inlet load lock 54 , and an outlet load lock 56 .
  • Construction of a suitable reactor housing 52 is described in detail in U.S. Pat. No. 4,340,462, the disclosure of which is incorporated herein by reference.
  • the reactor housing 52 includes a lower electrode plate 58 which is adapted to receive a single wafer substrate on its upper surface.
  • the electrode assembly 10 is mounted in an upper structure 59 which is reciprocally mounted in an open upper end of the reactor chamber 52 with a motor-driven screw mechanism 60 capable of raising and lowering the upper structure 59 . In this way, the gap between the exposed surface of electrode plate 12 and the lower electrode 58 may be precisely adjusted.
  • the load lock mechanisms 54 and 56 include means for transferring single wafers from a wafer supply source 62 through the reactor vessel 52 and out to a wafer receptacle 64 .
  • the construction of suitable load locks and transfer mechanisms are described in detail in U.S. Pat. Nos. 4,433,951; 4,483,654; 4,728,252; and 4,833,790, the disclosures of which are incorporated herein by reference.
  • the reactor system 50 will also include an etchant gas source 70 connected to the electrode housing 59 to deliver the etchant gas to the electrode assembly 10 .
  • the system further includes a blower and main pump 72 used to maintain the pressure in the housing 52 at the desired level, typically in the range from about 0.01 to 10 Torr.
  • the reactor system 50 will also include a cooling water source 74 connected above the upper and lower electrodes, as well as a load lock pump 76 for drawing down the pressure in load locks 54 and 56 .
  • the system 50 includes an rf power source 78 for supplying the necessary excitation voltage to the electrode assembly 10 and lower electrode 58 .
  • An exemplary power source is described in detail in U.S. Pat. No. 4,871,421, the disclosure of which is incorporated herein by reference.
  • FIGS. 2B and 2C Alternate embodiments of the electrode assembly of the present invention are illustrated in FIGS. 2B and 2C .
  • the electrode assembly 10 ′ ( FIG. 2B ) is similar to electrode assembly 10 (FIG. 2 A), except that the support frame 14 ′ is not an open ring, but rather incorporates a disk which contacts the entire upper surface 22 ′ of electrode plate 12 ′.
  • the disk portion 15 of support frame 14 ′ includes apertures 17 which are aligned with apertures 16 ′ in the electrode plate 12 ′ to allow passage of a reactant gas.
  • FIG. 2B provides for enhanced structural support as well as thermal and electrical contact between the support frame and electrode plate.
  • the electrode assembly 10 ′′ ( FIG. 2C ) includes three concentric support rings 14 a, 15 b, and 14 c bonded to the upper surface 22 ′′ of the electrode plate 12 ′′.
  • the use of multiple support rings enhances structural support as well as thermal and electrical contact while leaving direct access to apertures 16 ′′ in the electrode plate.
  • the electrode assembly 10 is mounted in the upper structure 59 of reactor housing 52 with the lower surface of electrode disk 12 being exposed over its entire area. That is, there is no mechanical structure holding the electrode disk 12 in place within upper structure 59 . Instead, support ring 14 is secured to a backing plate 80 , which is typically formed from a metal, such as aluminum. The support ring 14 is attached to the backing plate 18 , typically using fasteners, (not illustrated), so that continuous contact is made between upper exposed surface 82 of the support ring 14 and a lower surface of the backing plate 80 .
  • a cooling channel 84 is formed in an upper surface of the backing plate 80 , and the channel is covered by a ring plate 64 .
  • the channel 84 forms a cooling duct for receiving cooling water from source 74 .
  • Provisions (not shown) for electrically connecting the backing plate 80 to the rf power source 78 will also be provided. In this way, electrical and thermal contact between the backing plate 80 and the electrode plate 12 is maintained through the upper surface of support ring 14 .
  • Reactant gas from gas panel 70 is fed to electrode plate 12 through central passage 86 .
  • the gas enters the region between the rear (unexposed) surface of electrode plate 12 and the lower surface of backing plate 80 .
  • a pair of baffle plates 87 and 88 are provided in the region between the backing plate 80 and electrode plate 12 in order to help distribute the gas evenly through apertures 16 formed in the electrode plate.
  • the baffle plates may be substantially solid disks including a plurality of apertures (as illustrated) or may alternatively be screen members defining a plurality of tortuous paths in order to help distribute the reactive gas.
  • Additional structure including a first insulating ring 90 and a second insulating ring 92 are provided about the outer periphery of the electrode assembly 10 .
  • Such structure protects the support ring 14 from direct contact with the plasma, enhances the electrical field properties of the electrode plate 12 during use, and electrically insulates the electrode assembly 10 from the upper structure 59 .

Abstract

An electrode assembly for a plasma reactor, such as a plasma etch or plasma-enhanced chemical vapor deposition reactor, comprises an electrode plate having a support frame attached to one surface thereof. The electrode plate is composed of a substantially pure material which is compatible with a particular reaction being performed in the reactor, while the support frame is composed of a material having desirable thermal, electrical, and structural characteristics. The support frame is bonded to the electrode plate using a bonding layer, usually a ductile metallic bonding layer, which provides effective thermal and electrical coupling while permitting a degree of thermal expansion mismatch between the support frame and the electrode plate.

Description

1. Field of the Invention
The present invention relates generally to the design of electrodes used for producing a plasma in a reactor vessel. More particularly, the present invention relates to the design of a composite electrode useful in plasma reactors, such as plasmid etch and plasma-enhanced chemical vapor deposition reactors.
2. Description of the Background Art
Plasma etching of semiconductor wafers and other substrates relies on the production of ionized gaseous species using a radio frequency (rf) discharge at pressures in the range from about 0.1 to 10 Torr, commonly referred to as a glow discharge. The charged species react with molecules at the surface of the substrate, resulting in volatile reaction products which are carried away.
Several types of etchers are commonly employed in semiconductor fabrication including wet chemical (barrel) reactors, vertical dry chemical plasma reactors, and horizontal dry chemical plasma reactors. Of interest herein are dry chemical parallel plate plasma reactors. Such parallel plate reactors are typically characterized by a reactor volume defined by a pair of vertically spaced-apart horizontal electrode plates, although other orientations may also be employed. An enchant gas is typically fed through at least one of the electrode plates, and rf energy is applied across the electrodes to induce the desired plasma. Parallel plate reactors may be configured to process either single or multiple wafers. Single wafer parallel plate reactors, because of their highly symmetric electrical field and gas flow characteristics, are able to provide highly uniform etching across the surface of the wafer. Parallel plate reactors are described in a number of U.S. Pat. Nos. including 4,612,077; 4,534,816, 4,595,484, 4,590,042; 4,407,708; and 4,158,589, the disclosures of which are incorporated herein by reference.
Parallel plate plasma reactors usually operable by introducing a low pressure enchant gas through the upper electrode plate and placing a single wafer or multiple wafers over the lower electrode. The plasma is uniformly generated as the etchant gas flows downward and the rf energy is applied to the reactor, typically across the two electrodes.
The upper electrode must meet a number of requirements in order to achieve desired performance characteristics. Foremost, the electrode must have defined electrical properties, such as impedance, current capacity, and the like, in order to couple rf energy into the plasma in combination with the lower electrode. Additionally, the upper electrode material must be able to withstand prolonged exposure to the generated plasma, and interaction between the electrode material and the plasma should not have a deleterious effect on any of the desired plasma properties. In particular, the upper electrode should not generate large particles or large quantities of particles and should not release heavy metals or other contaminants into the zone between the opposed electrodes. Transition group metals severely degrade minority carrier lifetimes and significantly increase junction leakage. Alakalis, particularly sodium, cause instability in MOS threshold voltages. The temperature characteristics of the plasma are also critical to system performance, and it is desirable that the electrode be able to be maintained at a uniform, stable temperature across its entire surface. Finally, it is often desirable that the enchant gas be introduced through the upper electrode. In that case, the electrode material should be machinable in order to form the necessary passages and other features for delivering a uniform flow of gas therethrough.
Heretofore, upper electrodes for parallel plate plasma reactors have generally been formed from a single (or coated) material, such as polycrystalline silicon, graphite, aluminum, flame sprayed silicon powder on aluminum, or the like. While each of these materials enjoys certain advantages, e.g. polycrystalline silicon is compatible with many plasma chemistries, anodized aluminum is relatively inexpensive and easy to fabricate, and graphite is readily machined and can be purified to semiconductor purity, no one material has been found to meet all electrode requirements.
Thus, it would be desirable to provide improved upper electrode construction used in, inter alia, parallel plate plasma reactors. Such electrodes should possess desirable electrical and thermal properties, and should be compatible with any or all plasma chemistries. In particular, it would be desirable if such electrodes were relatively easy and inexpensive to fabricate.
SUMMARY OF THE INVENTION
According to the present invention, an electrode assembly suitable for use in a parallel plate plasma reactor comprises a plate, usually in the form of a disk, composed of a “semiconductor purity” material having a substantially uniform thickness. One face of the plate is bonded to a support frame composed of an electrically and thermally conductive material, leaving the other face substantially flat and free from protuberances. Usually, the support frame will be in the form of a ring which is bonded about the periphery of a plate in the form of a disk. Preferably, a plate and support frame are bonded together with a relatively ductile bonding layer formed by brazing, soldering, or the like. The bonding material should be composed of a thermally and electrically conductive material, such as metals, conductive epoxies, or the like preferably being formed from low vapor pressure materials which will leave less tendency to contaminate low pressure reactor environments.
The present invention comprises the electrode assemblies themselves as well as improved parallel plate electrode reactors which incorporate the electrode assemblies as an upper or exposed electrode thereof.
The composite electrodes of the present invention have a number of advantages over previous electrodes formed from a single material. The plate portion of the composite electrode which is exposed to plasma can be formed from material which is most suitable for the processing conditions with less concern for the cost of the material or the ability to machine the material. Thus, the material of choice can be dictated primarily by plasma chemistry and the desirability to minimize formation of particles and release of other contaminates. Similarly, the support frame can be composed of material which has desired electrical, thermal, and structural properties and which can be relatively easily machined or otherwise formed into a desired geometry, e.g. a ring. In particular, the material of the support frame should not be brittle and should allow treatment within the reactor by bolting or other conventional fasteners. The material of the support frame will usually be chosen to have a thermal expansion coefficient which is generally compatible with that of the electrode plate, but a certain amount of mismatch can be tolerated when the bonding layer is formed from a ductile material. In a preferred embodiment, the support frame is chosen to have a slightly greater coefficient of thermal expansion. By then joining and/or curing the bonding layer at a temperature above the expected operating temperature of the electrode, the electrode plate will be maintained under compression, enhancing the durability of the plate. In this way, the support frame can be reliably connected to an electrical power source as well as a heat sink intended to control the temperature of the electrode. By properly configuring the contact area between the support frame and the electrode plate, the rf fields produced by the electrode as well as the temperature profile maintained across the electrode can be maintained within desired parameters.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is an exploded view of an electrode assembly constructed in accordance with the principles of the present invention, shown with a portion of the support ring broken away.
FIG. 2A is a cross-sectional view of the electrode assembly of FIG. 1.
FIGS. 2B and 2C illustrate cross-sectional views of two alternate embodiments of the electrode assembly of the present invention.
FIG. 3 is a schematic illustration of a plasma reactor system employing the electrode assembly of the present invention.
FIG. 4 is a detailed view of the mounting of the electrode assembly of the present invention within the reactor system of FIG. 3.
DESCRIPTION OF THE SPECIFIC EMBODIMENTS
The present invention provides an improved construction for an electrode assembly useful particularly in parallel plate plasma reactor apparatus. Such reactor apparatus typically include upper and lower electrodes where the upper electrode is mounted in a housing which is capable of moving up and down relative to the lower electrode. The lower electrode which is generally fixed, will serve as a support service or “chuck” for the semiconductor wafer or other article which is being treated. A particular construction for such a reactor is described in more detail hereinafter with reference to FIG. 3.
The electrode assembly of the present invention comprises a generally flat plate, usually in the form of a disk having a substantially uniform thickness thereacross, which is composed of a material which is “semiconductor pure”. Semiconductor purity means that the material is at least 99.999% pure and is substantially free of trace contaminants that could interfere with the associated wafer fabrication process. In particular, the material should have a total ash content of less than 10 parts per million (ppm) including minimal amounts of heavy metal, transition group metal, and alkali contaminants which are particularly damaging to silicon device performance.
The plate will provide an electrode surface which is exposed to the plasma in the parallel plate or other reactor. Thus, the plate will be composed of a particular semiconductor pure material which is selected to be compatible with the plasma chemistry being performed in the reactor. Etching is typically performed with halogen-based chemistries, and the materials listed in Table 1 below are generally suitable for most such chemistries. This listing is not meant to be comprehensive and other electrode materials and plasma chemistries may also be used in the present invention.
TABLE 1
Graphite
Polycrystalline silicon
Quartz
Glassy carbon
Single crystal silicon
Pyrolytic graphite
Silicon carbide
Alumina
Zirconium
Diamond-coated materials
Titanium oxide
The thickness and other dimensions of the electrode plate are not critical and will be selected based on the dimensions of the reactor, cost of the material, machinability of the material, material erosion rate, and the like. Usually, however, for expensive material it will be desirable to minimize the thickness of the electrode plate while providing sufficient material to permit extended use before thinning of the material requires replacement. Most commonly, the plate will be in the form of a disc having a diameter in the range from about 12 cm to 32 cm, usually being in the range from about 15 cm to 25 cm. The thickness of the plate will be in the range from about 0.1 cm to 2 cm, usually being in the range from about 0.3 cm to 1 cm.
The geometry of the electrode plate will generally be symmetric and relatively simple in order to enhance uniform electrical and thermal characteristics as well as minimize stresses which might occur during machining, mounting, and/or use of the electrode. The most preferred geometry is a round disk. The plate will generally be flat and free from protuberances, but the upper face might have ridges, shoulders, or other symmetric features. In particular, a peripheral shoulder may be provided to facilitate connection to a support ring, as described below. The exposed face of the electrode plate will be free from protuberances and it will usually be desirable to form apertures or orifices through the plate in order to facilitate introduction of reactant gases into the reactor volume. Such apertures will generally be chosen to have symmetrical characteristics, usually having a circular profile, and will be typically laid out in a uniform, symmetric pattern which will minimize non-uniformities in the thermal, electrical, and structural properties of the disk.
As an alternative to discrete orifices, electrode plates may be formed from a porous, sintered material, as described in U.S. Pat. No. 4,367,114, the disclosure of which is incorporated herein by reference. In some cases, it will also be possible to form the electrode with a slight curvature, as described in U.S. Pat. Nos. 4,603,466 and 4,615,755, the disclosures of which are incorporated herein by reference. The use of such “domed” electrodes, however, is generally limited to the electrode which supports the wafer being processed.
The electrode assembly of the present invention further comprises a support frame which is bonded to one face or surface of the electrode plate. The support frame provides mechanical support for the electrode plate when mounted in the reactor housing, as discussed hereinafter. Additionally, the support frame will provide electrical and thermal connection of the electrode plate to the rf source and heat sink provided within the reactor. Thus, the support frame is preferably formed from a material having high electrical and thermal conductivities. By electrical conductivity, of course, it is meant the materials should have a low impedance within the rf range of interest, typically either about 400 kHz or about 13 MHz. The material of the support frame should be readily machinable so that the support frame can be shaped to be compatible with mounting of the electrode assembly in a wide variety of parallel plate and other reactor systems. Suitable materials for this support frame include graphite, aluminum, copper, stainless steel, and the like.
The support frame may assume virtually any geometry, but will preferably have a symmetric geometry corresponding to that of the electrode plate, i.e., usually having a circular symmetry in order to enhance uniform thermal, electrical, and structural characteristics in the electrode assembly during use. In the case of circular electrode plates, i.e. disks, the support frame will typically be an annular ring (or include a plurality of concentric annular rings) which is bonded about the periphery of one face of surface of the electrode plate. As described in more detail hereinafter with reference to the drawings, the use of the annular ring defines a region for receiving enchant gases which are then passed through the apertures or orifices, when present in the electrode plate. Alternatively, the support frame could itself be a disk having apertures aligned with the apertures in the electrode plate (if any) to allow introduction of reactant gases.
Referring now to FIGS. 1 and 2A, an electrode assembly 10 constructed in accordance with the principles of the present invention includes plate 12 in the form of a disk and a support frame 14 in the form of an annular ring. The electrode plate 12 will generally be formed from the materials and have the dimensions set forth above The plate includes apertures 16 formed near its center in order to distribute a reactant gas therethrough, as described in more detail hereinafter. The apertures 16 may extend over a greater portion of the plate than illustrated, but will usually be maintained in a symmetric arrangement for the reasons discussed above.
Support ring 14 includes a flange portion 18 and a downward extension portion 20. The downward extension is sized to engage the upper surface 22 of electrode disk 12 about its periphery.
Exemplary dimensions for the electrode assembly 10 are set forth herein below.
TABLE 2
Dimension Range
D1 10-30 cm
D2 12-32 cm
D3 15-35 cm
t1 0.1-2 cm
t2 0.2-2 cm
t3 0.3-5 cm
The support ring 14 may be bonded to the electrode plate 12 by any suitable process which provides the necessary bonding strength as well as thermal and electrical characteristics. Typically, bonding will be performed by either brazing, soldering or use of adhesives to form a ductile bonding layer, preferably having a low vapor pressure. The ductility is desirable so that any thermal expansion mismatch between the electrode plate 12 and support ring 14 will not result in breaking or fracturing of the bond, or the electrode plate 12.
With both soldering and brazing, a metallic bonding layer will be formed between the electrode plate and the support frame. Suitable metals include ductile, low vapor pressure metals, such as indium, silver, and alloys thereof. Particularly preferred is the use of indium. The characteristics of indium are particularly well balanced for use as the bonding layer. Indium is available at relatively high purity, has a high ductility, and provides good wetting of and abrasion to other materials. Additionally, indium has moderate strength, a moderate melting point, and a relatively low vapor pressure which minimizes loss into the reactor. The difference between soldering and brazing is primarily temperature, with soldering generally being performed at below about 800° F. and brazing generally being performed at above about 800° F.
In a preferred embodiment, the electrode plate 12 is secured to the support ring 14 by soldering with indium at a temperature of about 310° F. to 320° F. Soldering is a less expensive process and is particularly suitable for joining materials which have a large mismatch in their coefficients of thermal expansion. The relatively low solidification temperature of soldered materials minimizes the expansion mismatch at the solidification temperature. Brazing will usually provide a higher strength bond, but is suitable only for materials which have well matched thermal expansion coefficients in order to avoid high stresses in the bonding layer and electrode plate after cooling to room temperature.
As an alternative to soldering or brazing, the bonding layer may be formed from an electrically and thermally conductive adhesive, preferably from a metal filled epoxy such as a silver, aluminum, nickel, platinum, gold, iron, and copper-filled epoxy. Suitable metal filled epoxies are commercially available from suppliers, such as Devcon Corporation, Thermoset Plastics, Shell Company, and Varian Associates.
The support ring 14 and electrode pair 12 will be bonded at an interface region defined by the contact area between the ring and the plate. It will generally be advantageous to maximize the interface area in order to enhance thermal and electrical contact as well as structural support. Usually, the contact area will have an annular width of at least about 1 cm, preferably being at least about 1.5 cm. The width may, of course, be greater up to and including having contact along the entire rear surface of the plate.
When employing brazing or soldering, it will usually be desirable to coat thin layers of metal on the interface region of both the support frame and the electrode to enhance wetability and adhesion of the bonding layer. Suitable metals typically include titanium and nickel, and will usually be applied to thicknesses in the range from about 1000 Å to 50,000 Å by conventional techniques, such as sputtering.
In forming the electrode assembly 10, it will be desirable to “pre-stress” the support ring 14 so that it provides a radially-directed inward compression on the electrode plate 12. Such a compressive stress helps to inhibit stress fracturing of the electrode plate 12 during use. More specifically, by utilizing a support ring 14 which is formed from a material which has a slightly larger thermal expansion coefficient than that of the electrode plate, and forming or curing the bonding layer at a temperature above the expected operating temperature, the support ring will apply a constant compressive force on both the electrode plate and the bonding layer. Even when the temperature of the electrode assembly is raised from room temperature to the operation temperature, the support ring will still be in compression (although reduced relative to room temperature). Thus, thermal cycling will be less likely to fracture the electrode plate which is fragile relative to the support ring.
Referring now to FIGS. 3 and 4, an improved parallel plate reactor system 50 employing the electrode assembly 10 of the present invention will be described. The reactor system 50 includes chamber 52, an inlet load lock 54, and an outlet load lock 56. Construction of a suitable reactor housing 52 is described in detail in U.S. Pat. No. 4,340,462, the disclosure of which is incorporated herein by reference.
Briefly, the reactor housing 52 includes a lower electrode plate 58 which is adapted to receive a single wafer substrate on its upper surface. The electrode assembly 10 is mounted in an upper structure 59 which is reciprocally mounted in an open upper end of the reactor chamber 52 with a motor-driven screw mechanism 60 capable of raising and lowering the upper structure 59. In this way, the gap between the exposed surface of electrode plate 12 and the lower electrode 58 may be precisely adjusted.
The load lock mechanisms 54 and 56 include means for transferring single wafers from a wafer supply source 62 through the reactor vessel 52 and out to a wafer receptacle 64. The construction of suitable load locks and transfer mechanisms are described in detail in U.S. Pat. Nos. 4,433,951; 4,483,654; 4,728,252; and 4,833,790, the disclosures of which are incorporated herein by reference. The reactor system 50 will also include an etchant gas source 70 connected to the electrode housing 59 to deliver the etchant gas to the electrode assembly 10. The system further includes a blower and main pump 72 used to maintain the pressure in the housing 52 at the desired level, typically in the range from about 0.01 to 10 Torr. The reactor system 50 will also include a cooling water source 74 connected above the upper and lower electrodes, as well as a load lock pump 76 for drawing down the pressure in load locks 54 and 56. Finally, the system 50 includes an rf power source 78 for supplying the necessary excitation voltage to the electrode assembly 10 and lower electrode 58. An exemplary power source is described in detail in U.S. Pat. No. 4,871,421, the disclosure of which is incorporated herein by reference.
Alternate embodiments of the electrode assembly of the present invention are illustrated in FIGS. 2B and 2C. The electrode assembly 10′ (FIG. 2B) is similar to electrode assembly 10 (FIG. 2A), except that the support frame 14′ is not an open ring, but rather incorporates a disk which contacts the entire upper surface 22′ of electrode plate 12′. The disk portion 15 of support frame 14′ includes apertures 17 which are aligned with apertures 16′ in the electrode plate 12′ to allow passage of a reactant gas. The embodiment of FIG. 2B provides for enhanced structural support as well as thermal and electrical contact between the support frame and electrode plate.
The electrode assembly 10″ (FIG. 2C) includes three concentric support rings 14a, 15b, and 14c bonded to the upper surface 22″ of the electrode plate 12″. The use of multiple support rings enhances structural support as well as thermal and electrical contact while leaving direct access to apertures 16″ in the electrode plate.
Referring now in particular to FIG. 4, the electrode assembly 10 is mounted in the upper structure 59 of reactor housing 52 with the lower surface of electrode disk 12 being exposed over its entire area. That is, there is no mechanical structure holding the electrode disk 12 in place within upper structure 59. Instead, support ring 14 is secured to a backing plate 80, which is typically formed from a metal, such as aluminum. The support ring 14 is attached to the backing plate 18, typically using fasteners, (not illustrated), so that continuous contact is made between upper exposed surface 82 of the support ring 14 and a lower surface of the backing plate 80. A cooling channel 84 is formed in an upper surface of the backing plate 80, and the channel is covered by a ring plate 64. Thus, the channel 84 forms a cooling duct for receiving cooling water from source 74. Provisions (not shown) for electrically connecting the backing plate 80 to the rf power source 78 will also be provided. In this way, electrical and thermal contact between the backing plate 80 and the electrode plate 12 is maintained through the upper surface of support ring 14.
Reactant gas from gas panel 70 is fed to electrode plate 12 through central passage 86. The gas enters the region between the rear (unexposed) surface of electrode plate 12 and the lower surface of backing plate 80. A pair of baffle plates 87 and 88 are provided in the region between the backing plate 80 and electrode plate 12 in order to help distribute the gas evenly through apertures 16 formed in the electrode plate. The baffle plates may be substantially solid disks including a plurality of apertures (as illustrated) or may alternatively be screen members defining a plurality of tortuous paths in order to help distribute the reactive gas.
Additional structure including a first insulating ring 90 and a second insulating ring 92 are provided about the outer periphery of the electrode assembly 10. Such structure protects the support ring 14 from direct contact with the plasma, enhances the electrical field properties of the electrode plate 12 during use, and electrically insulates the electrode assembly 10 from the upper structure 59.
Although the foregoing invention has been described in some detail by way of illustration and example for purposes of clarity of understanding, it will be obvious that certain changes and modifications may be practiced within the scope of the intended claims.

Claims (36)

1. An improved reactor of the type having a first electrode for supporting a substrate, an opposed electrode, and means for producing a plasma therebetween, wherein the opposed electrode has one face exposed to the first electrode and an opposite face connected to an electrical source and a thermal sink, the improvement comprising an opposed electrode including (a) an electrode plate composed of a substantially pure material and having a substantially uniform thickness and (b) a support frame composed of an electrically and thermally conductive material bonded to a back face of the plate, whereby the support frame is connected to the electrical source and thermal sink and a front face of the plate which is exposed to the first electrode is substantially free from protuberances.
2. An improved reactor as in claim 1, wherein the opposed electrode is mounted in an assembly having an insulating ring which is flush with the entire periphery of the exposed face, whereby the support frame is protected from exposure to the plasma.
3. An improved reactor as in claim 1, wherein the electrode plate comprises a disk.
4. An improved reactor as in claim 3, wherein the disk includes a plurality of apertures therethrough to permit the flow of a reactant gas into the space between the electrodes.
5. An improved reactor as in claim 3, wherein the support frame comprises a ring which is secured about the periphery of the disk.
6. An improved reactor as in claim 3, wherein the support frame comprises a plurality of concentric rings secured to the opposite face of the electrode disk.
7. An improved reactor as in claim 3, wherein the support frame comprises a flat plate which is secured to and covers substantially the entire opposite face of the electrode disk.
8. An improved parallel electrode reactor as in claim 5, wherein the disk as a diameter in the range from about 12 to 32 cm and a thickness in the range from about 0.1 to 2 cm.
9. An improved reactor as in claim 8, wherein the right has an annular width in the range from about 0.5 to 5 cm and a thickness in the range from about 0.2 to 3 cm.
10. An improved reactor as in claim 1, wherein the plate is bonded to the support frame by means of a bonding layer.
11. An improved reactor as in claim 10, wherein the bonding layer is composed of a material having a low vapor pressure.
12. An improved reactor as in claim 11, wherein the bonding layer material is selected from the group consisting of indium, silver, and metal-filled epoxies.
13. An improved reactor as in claim 12, wherein the bonding layer is formed by brazing, soldering, or adhesion.
14. An improved reactor as in claim 13, wherein at least one of the plate and the support frame is metallized in the region to be bonded prior to brazing, soldering, or adhesion.
15. An improved reactor as in claim 10, wherein the bonding layer is substantially free from voids and has substantially uniform electrical and thermal conductivities through the region of bonding.
16. An improved reactor as in claim 1, wherein the electrode plate is composed of a pure material selected from the group consisting of graphite, polycrystalline silicon, quartz, glassy carbon, single crystal silicon, pyrolytic graphite, silicon carbon, alumina, zirconium, diamond-coated materials, and titanium oxides.
17. An improved reactor as in claim 1, wherein the electrically and thermally conductive material is selected from the group consisting of graphite, aluminum, copper, and stainless steel.
18. An electrode assembly comprising:
an electrode disk composed of a substantially pure material and having a substantially uniform thickness; and
a support ring bonded about the periphery of one face of the disk, leaving the other face substantially flat and free from protuberances, wherein the support ring is composed of an electrically and thermally conductive material.
19. An electrode assembly as in claim 18, wherein the disk includes a plurality of apertures to permit gas flow therethrough.
20. An electrode assembly as in claim 18, further comprising at least one additional support ring mounted concentrically within the peripheral support ring or the one face of the disk.
21. An electrode assembly as in claim 18, wherein the support ring includes an interior plate which contacts substantially the entire one face of the disk.
22. An electrode assembly as in claim 18, wherein the disk has a diameter in the range from about 12 to 32 cm and a thickness in the range from about 0.1 to 2 cm.
23. An electrode assembly as in claim 18, wherein the ring has an annular width in the range from about 0.5 to 5 cm and a thickness in the range from about 0.2 to 3 cm.
24. An electrode assembly as in claim 18, wherein the disk is bonded to the ring by means of a bonding layer.
25. An electrode assembly as in claim 14, wherein the bonding layer is composed of a ductile metal or alloy or a metal-filled epoxy having a low vapor pressure.
26. An electrode assembly as in claim 25, wherein the ductile metal or alloy is selected from the group consisting of indium, silver, and metal-filled epoxies.
27. An electrode assembly as in claim 26, wherein the bonding layer is formed by brazing, soldering, or adhesion.
28. An electrode assembly as in claim 27, wherein at least one of the disk and the ring is metallized in the region to be bonded prior to brazing, soldering, or adhesion.
29. An electrode assembly as in claim 24, wherein the bonding layer is substantially free from voids and has substantially uniform electrical and thermal conductivities through the region of bonding.
30. An electrode assembly as in claim 18, wherein the material is selected from the group consisting of graphite, polycrystalline silicon, quartz, glassy carbon, single crystal silicon, pyrolytic graphite, silicon carbide, alumina, zirconium, diamond-coated materials, and titanium oxides.
31. An electrode assembly as in claim 18, wherein the electrically and thermally conductive material is selected from the group consisting of graphite, aluminum, copper, and stainless steel.
32. An electrode assembly as in claim 18, wherein the support ring is pre-stressed to impart a radially inward compression on the electrode disk.
33. A method for forming an electrode assembly including a support ring and an electrode plate, said method comprising:
bonding the support ring about the periphery of the electrode plate at elevated temperature, wherein the material of the electrode plate support ring has a higher coefficient of thermal expansion than that of the electrode plate; and
allowing the bonded assembly to return to room temperature, whereby the differential contraction imparts the desired stress.
34. A method as in claim 33, wherein the elevated temperature is chosen to be above an expected operating temperature of the electrode assembly.
35. A method as in claim 33, wherein the electrode plate is formed from a substantially pure material selected from the group consisting of graphite, polycrystalline silicone, quartz, glassy carbon, single crystal silicon, pyrolytic graphite, silicon carbon, alumina, zirconium, diamond-coated materials, and titanium oxides.
36. An electrode assembly formed by the method of claim 33.
US10/734,073 1990-09-18 2003-12-12 Composite electrode for plasma processes Expired - Lifetime USRE41266E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/734,073 USRE41266E1 (en) 1990-09-18 2003-12-12 Composite electrode for plasma processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/584,324 US5074456A (en) 1990-09-18 1990-09-18 Composite electrode for plasma processes
US10/734,073 USRE41266E1 (en) 1990-09-18 2003-12-12 Composite electrode for plasma processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/584,324 Reissue US5074456A (en) 1990-09-18 1990-09-18 Composite electrode for plasma processes

Publications (1)

Publication Number Publication Date
USRE41266E1 true USRE41266E1 (en) 2010-04-27

Family

ID=24336863

Family Applications (2)

Application Number Title Priority Date Filing Date
US07/584,324 Ceased US5074456A (en) 1990-09-18 1990-09-18 Composite electrode for plasma processes
US10/734,073 Expired - Lifetime USRE41266E1 (en) 1990-09-18 2003-12-12 Composite electrode for plasma processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US07/584,324 Ceased US5074456A (en) 1990-09-18 1990-09-18 Composite electrode for plasma processes

Country Status (2)

Country Link
US (2) US5074456A (en)
TW (1) TW362338B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110030898A1 (en) * 2003-04-25 2011-02-10 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US20120248598A1 (en) * 2011-03-31 2012-10-04 International Business Machines Corporation Semiconductor bonding apparatus

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5129850A (en) * 1991-08-20 1992-07-14 Motorola, Inc. Method of making a molded field emission electron emitter employing a diamond coating
US5141460A (en) * 1991-08-20 1992-08-25 Jaskie James E Method of making a field emission electron source employing a diamond coating
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5871609A (en) * 1992-06-12 1999-02-16 Nisshinbo Industries, Inc Electrode plate and jig for use in plasma etching
EP0575126A1 (en) * 1992-06-17 1993-12-22 Hughes Aircraft Company Plasma reactor head and electrode assembly
JP3148004B2 (en) * 1992-07-06 2001-03-19 株式会社東芝 Optical CVD apparatus and method for manufacturing semiconductor device using the same
FR2694131B1 (en) * 1992-07-21 1996-09-27 Balzers Hochvakuum PROCESS AND INSTALLATION FOR THE MANUFACTURE OF A COMPONENT, IN PARTICULAR AN OPTICAL COMPONENT, AND OPTICAL COMPONENT THUS OBTAINED
KR960000190B1 (en) * 1992-11-09 1996-01-03 엘지전자주식회사 Semiconductor manufacturing method and apparatus thereof
JP3242166B2 (en) * 1992-11-19 2001-12-25 株式会社日立製作所 Etching equipment
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
KR100324792B1 (en) * 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5410166A (en) * 1993-04-28 1995-04-25 The United States Of America As Represented By The Secretary Of The Air Force P-N junction negative electron affinity cathode
US5372674A (en) * 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5567255A (en) * 1994-10-13 1996-10-22 Integrated Process Equipment Corp. Solid annular gas discharge electrode
JP3257328B2 (en) * 1995-03-16 2002-02-18 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
JP3295310B2 (en) * 1995-08-08 2002-06-24 三洋電機株式会社 High-speed film forming method and apparatus using rotating electrode
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5660639A (en) * 1995-10-17 1997-08-26 Ford Motor Company Method and apparatus for plasma treating an article
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
TW449820B (en) * 1996-02-15 2001-08-11 Tokai Carbon Kk Plasma-etching electrode plate
JP3437026B2 (en) * 1996-02-15 2003-08-18 東海カーボン株式会社 Electrode plate for plasma etching and method of manufacturing the same
JPH09289196A (en) * 1996-04-22 1997-11-04 Nisshinbo Ind Inc Plasma etching electrode
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JP3728021B2 (en) * 1996-06-28 2005-12-21 日清紡績株式会社 Plasma etching electrode and manufacturing method thereof
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6258204B1 (en) * 1999-05-03 2001-07-10 Philips Semiconductors Inc. Electrically planar upper electrode cover
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
WO2000068985A1 (en) * 1999-05-06 2000-11-16 Tokyo Electron Limited Apparatus for plasma processing
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6508911B1 (en) 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
AU1786301A (en) * 1999-12-02 2001-06-12 Tegal Corporation Improved reactor with heated and textured electrodes and surfaces
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
NL1013954C2 (en) * 1999-12-24 2001-06-29 Xycarb Ceramics B V A method of manufacturing an electrode for a plasma reactor and such an electrode.
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP4454781B2 (en) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 Plasma processing equipment
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
EP1167572A3 (en) * 2000-06-22 2002-04-10 Applied Materials, Inc. Lid assembly for a semiconductor processing chamber
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2002093777A (en) 2000-07-11 2002-03-29 Nisshinbo Ind Inc Dry etching system
WO2002008486A2 (en) * 2000-07-20 2002-01-31 Tokyo Electon Limited Electrode apparatus and method for plasma processing
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
AU2001273537A1 (en) * 2000-07-20 2002-02-05 Tokyo Electron Limited Improved electrode for plasma processing system
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6284997B1 (en) 2000-11-08 2001-09-04 Integrated Materials, Inc. Crack free welding of silicon
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
KR100413145B1 (en) * 2001-01-11 2003-12-31 삼성전자주식회사 Gas injector and apparatus for etching the gas injector
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100400044B1 (en) * 2001-07-16 2003-09-29 삼성전자주식회사 Shower head of wafer treatment apparatus having gap controller
US20030106644A1 (en) * 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP3876167B2 (en) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 Cleaning method and semiconductor device manufacturing method
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
JP3868341B2 (en) * 2002-04-22 2007-01-17 日清紡績株式会社 Plasma etching electrode with excellent heat resistance and dry etching apparatus equipped with the same
AU2003233655A1 (en) * 2002-05-23 2003-12-12 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
WO2004005574A2 (en) * 2002-07-02 2004-01-15 Academy Precision Materials A Division Of Academy Corporation Rotary target and method for onsite mechanical assembly of rotary target
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
KR100772740B1 (en) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 Internal member of a plasma processing vessel
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4532479B2 (en) 2003-03-31 2010-08-25 東京エレクトロン株式会社 A barrier layer for a processing member and a method of forming the same.
JP4597972B2 (en) 2003-03-31 2010-12-15 東京エレクトロン株式会社 A method of bonding adjacent coatings on a processing member.
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US7470626B2 (en) 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7452824B2 (en) 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20050276381A1 (en) * 2003-07-02 2005-12-15 Academy Corporation Rotary target locking ring assembly
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7132746B2 (en) * 2003-08-18 2006-11-07 Delphi Technologies, Inc. Electronic assembly with solder-bonded heat sink
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060081337A1 (en) * 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050235915A1 (en) * 2004-04-27 2005-10-27 Ho Yeu-Chuan S Plasma surface treatment electrode assembly and arrangement
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7428915B2 (en) 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060272941A1 (en) * 2005-06-06 2006-12-07 Simpson Wayne R Large area elastomer bonded sputtering target and method for manufacturing
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
CN101336467B (en) * 2005-11-25 2010-05-26 夏普株式会社 Plasma processing apparatus and plasma processing method
JP2007165512A (en) * 2005-12-13 2007-06-28 Hitachi High-Technologies Corp Plasma processing apparatus
US9228254B2 (en) * 2006-01-12 2016-01-05 Seagate Technology Llc Cathode sputtering gas distribution apparatus
US7619179B2 (en) * 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
KR100744639B1 (en) * 2006-07-31 2007-08-07 주식회사 월덱스 Silicon one matter of plasma chamber cathode and outring
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
EP2074644A1 (en) * 2006-10-03 2009-07-01 Dow Global Technologies Inc. Improved plasma electrode
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
CN100577866C (en) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 Gas sprayer assembly applied in plasma reaction chamber, manufacture method and renewing reutilization method thereof
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
TWI387400B (en) * 2008-10-20 2013-02-21 Ind Tech Res Inst Plasma system
US20100180426A1 (en) * 2009-01-21 2010-07-22 Applied Materials, Inc. Particle reduction treatment for gas delivery system
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5455462B2 (en) * 2009-06-23 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing equipment
CN105088191B (en) * 2009-07-15 2018-07-13 应用材料公司 The fluid control features structure of CVD chambers
JP5336968B2 (en) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 Electrode for plasma processing apparatus and plasma processing apparatus
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (en) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation End-clamping and machine-fixed inner electrode of showerhead electrode assembly
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082354A (en) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp Plasma processing apparatus
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6145162B2 (en) * 2013-04-19 2017-06-14 キヤノンアネルバ株式会社 Ion beam processing apparatus, electrode assembly, and electrode assembly cleaning method
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190393053A1 (en) * 2018-06-20 2019-12-26 Applied Materials, Inc. Etching apparatus
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7097284B2 (en) * 2018-12-06 2022-07-07 東京エレクトロン株式会社 Plasma processing equipment
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2023238750A1 (en) * 2022-06-06 2023-12-14 東京エレクトロン株式会社 Structure inside plasma processing device, electrode palte, and plasma processing device

Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158589A (en) 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4367114A (en) 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
US4385979A (en) 1982-07-09 1983-05-31 Varian Associates, Inc. Target assemblies of special materials for use in sputter coating apparatus
US4407708A (en) 1981-08-06 1983-10-04 Eaton Corporation Method for operating a magnetron sputtering apparatus
US4443951A (en) 1980-07-17 1984-04-24 Mauser-Werke Oberndorf Gmbh Arrangement for the measuring and correcting of the steering geometry of motor vehicles
US4483654A (en) 1981-02-13 1984-11-20 Lam Research Corporation Workpiece transfer mechanism
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4544091A (en) 1982-05-06 1985-10-01 Gte Products Corporation Target bonding process
US4564435A (en) 1985-05-23 1986-01-14 Varian Associates, Inc. Target assembly for sputtering magnetic material
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61104625A (en) 1984-10-29 1986-05-22 Hitachi Ltd Plasma processing apparatus
US4595484A (en) 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4600563A (en) 1985-02-05 1986-07-15 Psi Star Incorporated Plasma reactor with voltage transformer
EP0188208A2 (en) 1985-01-17 1986-07-23 International Business Machines Corporation Plasma reactor chamber
JPS61120758U (en) 1985-01-16 1986-07-30
US4603466A (en) 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4612432A (en) 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS61243170A (en) 1985-04-22 1986-10-29 Toshiba Corp Bonding method for sputtering target
JPS61279672A (en) 1985-06-03 1986-12-10 Daido Steel Co Ltd Sputtering device
JPS61291967A (en) 1985-06-18 1986-12-22 Matsushita Electric Ind Co Ltd Target for sputtering
JPS62109317A (en) 1985-11-08 1987-05-20 Anelva Corp Plasma etching apparatus
JPS6319731A (en) 1986-07-11 1988-01-27 Hitachi Ltd Impregnated cathode structure
US4728252A (en) 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPS63317667A (en) 1987-06-18 1988-12-26 Seiko Epson Corp Method for joining target for sputtering
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JPS6475673A (en) 1987-09-17 1989-03-22 Fujitsu Ltd Composite sputter target
WO1989002695A1 (en) 1987-09-15 1989-03-23 Plasma Science, Inc. Multiple electrode plasma reactor power distribution system
US4820371A (en) 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4833790A (en) 1987-05-11 1989-05-30 Lam Research Method and system for locating and positioning circular workpieces
JPH01204424A (en) 1988-02-09 1989-08-17 Tokyo Electron Ltd Etching apparatus
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
EP0346055A2 (en) 1988-06-06 1989-12-13 Research Development Corporation Of Japan Method for causing plasma reaction under atmospheric pressure
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4931135A (en) 1987-12-25 1990-06-05 Tokyo Electron Limited Etching method and etching apparatus
JPH02194627A (en) 1989-01-24 1990-08-01 Tokyo Electron Ltd Etching device
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4963713A (en) 1988-01-20 1990-10-16 Tokyo Electron Limited Cooling of a plasma electrode system for an etching apparatus
US5006220A (en) 1987-10-26 1991-04-09 Tokyo Ohka Kogyo Co., Ltd. Electrode for use in the treatment of an object in a plasma
US5014604A (en) 1988-10-14 1991-05-14 Nissan Motor Company, Limited Piston for internal combustion engine
JPH06191033A (en) 1992-12-25 1994-07-12 Canon Inc Ink jet recording head and apparatus

Patent Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158589A (en) 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4443951A (en) 1980-07-17 1984-04-24 Mauser-Werke Oberndorf Gmbh Arrangement for the measuring and correcting of the steering geometry of motor vehicles
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4483654A (en) 1981-02-13 1984-11-20 Lam Research Corporation Workpiece transfer mechanism
US4367114A (en) 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
US4407708A (en) 1981-08-06 1983-10-04 Eaton Corporation Method for operating a magnetron sputtering apparatus
US4544091A (en) 1982-05-06 1985-10-01 Gte Products Corporation Target bonding process
US4385979A (en) 1982-07-09 1983-05-31 Varian Associates, Inc. Target assemblies of special materials for use in sputter coating apparatus
US4603466A (en) 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612432A (en) 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
JPS61104625A (en) 1984-10-29 1986-05-22 Hitachi Ltd Plasma processing apparatus
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61120758U (en) 1985-01-16 1986-07-30
EP0188208A2 (en) 1985-01-17 1986-07-23 International Business Machines Corporation Plasma reactor chamber
US4600563A (en) 1985-02-05 1986-07-15 Psi Star Incorporated Plasma reactor with voltage transformer
JPS61243170A (en) 1985-04-22 1986-10-29 Toshiba Corp Bonding method for sputtering target
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US4564435A (en) 1985-05-23 1986-01-14 Varian Associates, Inc. Target assembly for sputtering magnetic material
JPS61279672A (en) 1985-06-03 1986-12-10 Daido Steel Co Ltd Sputtering device
JPS61291967A (en) 1985-06-18 1986-12-22 Matsushita Electric Ind Co Ltd Target for sputtering
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62109317A (en) 1985-11-08 1987-05-20 Anelva Corp Plasma etching apparatus
US4595484A (en) 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
JPS6319731A (en) 1986-07-11 1988-01-27 Hitachi Ltd Impregnated cathode structure
US4728252A (en) 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4833790A (en) 1987-05-11 1989-05-30 Lam Research Method and system for locating and positioning circular workpieces
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPS63317667A (en) 1987-06-18 1988-12-26 Seiko Epson Corp Method for joining target for sputtering
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
WO1989002695A1 (en) 1987-09-15 1989-03-23 Plasma Science, Inc. Multiple electrode plasma reactor power distribution system
JPS6475673A (en) 1987-09-17 1989-03-22 Fujitsu Ltd Composite sputter target
US5006220A (en) 1987-10-26 1991-04-09 Tokyo Ohka Kogyo Co., Ltd. Electrode for use in the treatment of an object in a plasma
US4820371A (en) 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4931135A (en) 1987-12-25 1990-06-05 Tokyo Electron Limited Etching method and etching apparatus
US4963713A (en) 1988-01-20 1990-10-16 Tokyo Electron Limited Cooling of a plasma electrode system for an etching apparatus
JPH01204424A (en) 1988-02-09 1989-08-17 Tokyo Electron Ltd Etching apparatus
EP0346055A2 (en) 1988-06-06 1989-12-13 Research Development Corporation Of Japan Method for causing plasma reaction under atmospheric pressure
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5014604A (en) 1988-10-14 1991-05-14 Nissan Motor Company, Limited Piston for internal combustion engine
JPH02194627A (en) 1989-01-24 1990-08-01 Tokyo Electron Ltd Etching device
JPH06191033A (en) 1992-12-25 1994-07-12 Canon Inc Ink jet recording head and apparatus

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
McGraw-Hill Dictionary of Scientific and Technical Terms, p. 694, 2003.
McGuire, Semiconductor Material and Process Technology Handbook, for Very Large Scale Integration (VLSI) and Ultra Large Scale Integration (ULSI), Copyright 1988, Noyes Publications, Chapter 5, Particularly pp. 272-279, Fig. 51aiii.
The Penguin Dictionary of Electronics, p. 167, 1998.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110030898A1 (en) * 2003-04-25 2011-02-10 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US8083891B2 (en) * 2003-04-25 2011-12-27 Tokyo Electron Limited Plasma processing apparatus and the upper electrode unit
US20120248598A1 (en) * 2011-03-31 2012-10-04 International Business Machines Corporation Semiconductor bonding apparatus

Also Published As

Publication number Publication date
US5074456A (en) 1991-12-24
TW362338B (en) 1999-06-21

Similar Documents

Publication Publication Date Title
USRE41266E1 (en) Composite electrode for plasma processes
EP0826229B1 (en) Electrode clamping assembly and method for assembly and use thereof
US8845855B2 (en) Electrode for plasma processes and method for manufacture and use thereof
EP1105917B1 (en) Elastomer bonded parts for plasma processes and method for manufacture and use thereof
US6451157B1 (en) Gas distribution apparatus for semiconductor processing
US6838012B2 (en) Methods for etching dielectric materials
KR100733897B1 (en) A plasma reaction chamber component having improved temperature uniformity
US8796153B2 (en) Clamped monolithic showerhead electrode
US6197246B1 (en) Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
KR100687378B1 (en) A high temperature multi-layered alloy heater assembly and related methods
US6138745A (en) Two-stage sealing system for thermally conductive chuck
US20050064248A1 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
KR20040111691A (en) Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
EP1376660B1 (en) Wafer heating apparatus with electrostatic attraction function
US20060108069A1 (en) Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US6258204B1 (en) Electrically planar upper electrode cover