USRE44292E1 - Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas - Google Patents

Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas Download PDF

Info

Publication number
USRE44292E1
USRE44292E1 US10/830,498 US83049804A USRE44292E US RE44292 E1 USRE44292 E1 US RE44292E1 US 83049804 A US83049804 A US 83049804A US RE44292 E USRE44292 E US RE44292E
Authority
US
United States
Prior art keywords
layer
silicon nitride
etching
silicon
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/830,498
Inventor
Tadashi Oshima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to US10/830,498 priority Critical patent/USRE44292E1/en
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OSHIMA, TADASHI
Application granted granted Critical
Publication of USRE44292E1 publication Critical patent/USRE44292E1/en
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED CHANGE OF ADDRESS Assignors: FUJITSU SEMICONDUCTOR LIMITED
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Definitions

  • the present invention relates to a method of etching a silicon nitride layer and a method of manufacturing a semiconductor device including a step of patterning the silicon nitride layer.
  • LSI semiconductor integrated circuit
  • SAC Self Alignment Contact
  • BLC Border Less Contact
  • connecting positions of the wirings are regulated by an insulating layer formed on surfaces of two gate electrodes.
  • the wirings can be easily and firmly connected to the impurity diffusion layer between the gate electrodes which are narrowed together with the miniaturization.
  • grooves are formed in a device isolation region of a semiconductor substrate, then silicon oxide is filled in the grooves, then an impurity diffusion layer is formed on a semiconductor substrate, then wirings are formed in a region spreading from the impurity diffusion layer to the device isolation region.
  • a gate electrode 103 is formed on a silicon substrate 100 via a gate insulating layer 102 and then a cap layer 104 made of SiO 2 is formed on the gate electrode 103 .
  • Side walls 105 made of SiO 2 are formed on the side surfaces of the gate electrode 103 .
  • Impurity diffusion layers 101 on the silicon substrate 100 are formed by a first ion implantation with low concentration after the gate electrodes 103 have been formed and a second ion implantation with high concentration after the side walls 105 are formed to have an LDD (Lightly Doped Drain) structure.
  • LDD Lightly Doped Drain
  • a covering layer 107 made of Si 3 N 4 is formed and then an interlayer insulating layer 108 made of BPSG and an intermediate layer 109 made of SiO 2 are formed on the covering layer 107 .
  • a photoresist 110 having windows 111 over gaps between the side walls 105 is formed.
  • contact holes 112 are formed by dry-etching the interlayer insulating layer 108 via the windows 111 of the photoresist 110 in the vertical direction.
  • the covering layer 107 made of Si 3 N 4 having a small etching rate may be used as an etchant to etch the interlayer insulating layer 108 and the intermediate layer 109 .
  • the cap layer 104 and the side walls 105 still remain beneath the covering layer 107 because the covering layer 107 serves as an etching stopper.
  • the covering layer 107 and the protection film 106 formed beneath the contact holes 112 are removed by etching.
  • the impurity diffusion layers 101 are formed on the side surfaces of the side walls 105 are exposed.
  • etching the covering layer 107 made of Si 3 N 4 reactive ion etching using the fluorine gas can be employed.
  • etching of the protection film 106 made of SiO 2 is executed by use of dilution hydrogen fluoride.
  • wirings are formed on the interlayer insulating layer 108 , though not particularly depicted, and then the wirings are connected to the impurity diffusion layers 101 via clearances between the contact holes 112 and clearances between the side walls 105 .
  • a groove 112 is formed is formed in the device isolation region of the silicon substrate 121 , and then a buried insulating film 123 made of silicon oxide is filled into the groove 122 .
  • a method of filling the buried insulating film 123 into the groove 122 such a method can be employed, for example, that the buried insulating film 123 is formed by CVD (Chemical Vapor Deposition) in the groove 122 and on the silicon substrate 121 and then the buried insulating film 123 on a surface of the silicon substrate 121 is removed by polishing.
  • CVD Chemical Vapor Deposition
  • a gate insulating film 124 , a gate electrode 125 , and a gate covering insulating film 126 are then formed in an active region. Then, low-impurity concentration regions 127 a, 127 d are formed by ion-implanting the impurity into the silicon substrate 121 on both sides of the gate electrode 125 at a low dosage by use of the gate electrode 125 as a mask.
  • an insulating film 128 made of silicon nitride and silicon nitride oxide is formed on the silicon substrate 121 , the gate covering insulating film 126 , and the low-impurity concentration regions 127 a, 127 d.
  • the insulating film 128 is etched in the substantially vertical direction by RIE (Reactive Ion Etching) such that the insulating film 128 remains on side surfaces of the gate electrode 125 and the gate covering insulating film 126 .
  • RIE Reactive Ion Etching
  • the LDD structure impurity diffusion layers 129 a, 129 d can be formed on both sides of the gate electrode 125 by the high-impurity concentration regions 129 s, 129 d and the low-impurity concentration regions 127 a, 127 d.
  • silicide layers 131 s, 131 d are formed on surfaces of the low-impurity concentration regions 127 s, 127 d by the salicide (self-align silicide) technique.
  • a MOS transistor can be formed in the active region.
  • a silicon nitride film 132 is formed in the active region and the device isolation region, and then an interlayer insulating film 133 made of silicon oxide is formed on the silicon nitride film 132 .
  • a plurality of contact holes 134 a, 134 d are formed in the silicon nitride film 132 and the interlayer insulating film 133 by the photolithography technique. These contact holes 134 s, 134 d are formed on two silicide layers 131 s, 131 d in the active region. In this case, if miniaturization of the semiconductor device is considered, a diameter of the contact holes 134 s, 134 d cannot be formed to have a wide margin in size rather than the high-impurity concentration regions 129 s, 129 d and as a result the contact holes 134 s, 134 d can be formed to cross over the buried insulating film 123 .
  • the wirings (not shown) are provided to the LDD structure impurity diffusion layers 129 s, 129 d via the contact holes 124 s, 134 d.
  • an etching rate of Si 3 N 4 can be enhanced rather than that of Si if one of CH 2 F 2 and CH 3 F is employed as an etching gas to etch a covering layer made of silicon nitride, and that the etching rate of Si 3 N 4 can be enhanced up to about ten times those of SiO 2 and Si if the flow rate of the gas is changed and the pressure is set higher.
  • Si 3 N 4 is etched by use of a gas mixture which consists of a fluorine compound gas as a major gas such as CF 4 , SF 6 , or NF 3 , oxygen, etc., following etching performance has been derived.
  • etching selectivity of Si 3 N 4 to SiO 2 a value ⁇ / ⁇ obtained by dividing the etching rate ⁇ of Si 3 N 4 by the etching rate ⁇ of SiO 2 (referred to as an “etching selectivity of Si 3 N 4 to SiO 2 ” hereinafter) has been 2 to 3. Therefore, such gas mixture can be used for the SAC and the BLC, but such gas mixture enables only isotropic etching. Besides, damage of the silicon substrate is increased under such SiN x etching condition.
  • the etching selectivity of Si 3 N 4 to SiO 2 has been in the range of 0.5 to 1 and such another etching gas enables anisotropic etching.
  • Such another etching gas can be used for information of the contact hole and removal of the LOCOS nitride film.
  • the fluorine gas is used as the etching gas, the etching selectivity of Si 3 N 4 to SiO 2 or Si has been enhanced, but such fluorine gas has enabled only isotropic etching. Hence, a patterning accuracy of the silicon nitride layer has been degraded.
  • the covering layer 107 is side-etched and further extended from the contact hole 112 laterally.
  • recesses are formed between the cap layer 104 and the interlayer insulating layer 108 along the lateral direction. If such lateral recesses are considerably deep, i.e., an amount of the side etching becomes large, the covering layer 107 has been eliminated over the gate electrodes 103 so that the lateral holes are formed. Such lateral holes would cause short-circuit of two wirings passing through the neighboring contact holes 112 .
  • an etching time can be shortened in order to prevent the side etching of the covering layer 107 .
  • the covering layer 107 has remained on the side surfaces of the side walls 105 .
  • respective areas of the impurity diffusion layers 101 which are exposed on the side of the side walls 105 are made small. Under such condition, a contact resistance between the wiring passing through the contact hole 112 and the impurity diffusion layer 101 is likely to be increased.
  • the etching selectivity of Si 3 N 4 to SiO 2 can be made small to thus enhance anisotropy.
  • the etching selectivity of the silicon nitride to the silicon oxide cannot be enhanced when the silicon nitride film 132 is etched via the contact holes 134 s, 134 d formed in the interlayer insulating film 133 , a surface of the buried insulating film 123 made of silicon oxide is etched to thus expose a part of the silicon substrate 121 , as shown in FIG. 19 . Therefore, since a metal plug formed in the contact holes 134 s, 134 d is also connected to the silicon substrate 121 , the silicon substrate 121 and the metal plug are short-circuited so that a leakage current flows via such short-circuited portion.
  • the side wall 128 has been formed of silicon oxide in the above explanation. However, if the side wall 128 is formed of silicon nitride, the etching selectivity of the silicon nitride to the silicon substrate must be enhanced. More particularly, as shown on the left side in FIG. 20 , the event that the low-impurity concentration regions 127 s, 127 d are etched upon vertical etching of the silicon nitride signifies that the low-impurity concentration regions 127 s, 127 d beneath the silicide layer 134 s are made shallower and therefore resistances of the low-impurity concentration regions 127 s, 127 d are increased. In addition, as shown on the right side in FIG.
  • the method of etching a silicon nitride layer comprising the steps of forming a silicon nitride layer on a silicon layer or a silicon oxide layer; loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and selectively etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas consisting of any one of CH 2 F 2 , CH 3 F, and CHF 3 and an inert gas to the dry etching atmosphere.
  • the silicon nitride layer is dry-etched by using the fluorine compound gas composed of any one of CH 2 F 2 , CH 3 , and CHF 3 and the inert gas such as Ar, He, etc.
  • the fluorine compound gas composed of any one of CH 2 F 2 , CH 3 , and CHF 3 and the inert gas such as Ar, He, etc.
  • the etching rate of the silicon nitride layer can be enhanced ten times or more the etching rate of the silicon oxide layer or the silicon layer beneath the silicon nitride layer and in addition the anisotropic etching thereof can be achieved.
  • the etching selectivity of the silicon nitride layer to the silicon oxide layer and the silicon layer can be enhanced and simultaneously side etching upon patterning of the silicon nitride layer can be suppressed.
  • Such etching performance can remarkably appear when the flow rate of the inert gas is set more than three times the flow rate of the fluorine compound gas, in a ratio between the fluorine compound gas introduced into the chamber and the inert gas.
  • the silicon nitride layer can be excessively etched not to remain the silicon nitride on the side surfaces of the side walls of the gate electrodes.
  • the silicon oxide layer covering the gate electrodes is never removed by etching. As a result, the contact region formed between two side walls can be prevented from being narrowed and also short-circuit between the gate electrodes and conductive layers in the contact holes can be prevented.
  • the etching method if the etching method is applied under the above conditions, etching of the silicon oxide layer which is buried in the groove in the neighboring of the device forming region can be suppressed and the silicon substrate is difficult to be exposed from the side walls of the groove. Consequently, the electrodes formed to cross over the boarder between the groove and the silicon substrate can be avoided from being connected to the silicon substrate beneath the impurity diffusion layer.
  • the impurity diffusion layer becomes difficult to be etched by virtue of the RIE which is used to form the side wall made of silicon nitride or silicon nitride oxide on both sides of the gate electrode of the MOS transistor, so that the increase of the leakage current and the increase of electric resistance due to the thinned impurity diffusion layer can be avoided.
  • the etching selectivity of the silicon nitride layer to the silicon substrate or the silicon oxide layer formed thereunder can be improved even if the silicon nitride layer is etched under the above conditions.
  • crystal defects in the silicon substrate due to the etching of the silicon nitride layer can be prevented and also contamination of the silicon oxide layer can be prevented.
  • FIG. 1 is a schematic view showing a configuration of an example of an etching equipment used for etching of an insulating film in the present invention
  • FIG. 2 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an Ar flow rate for the silicon nitride layer and a silicon oxide layer if a CH 2 F 2 and an Ar gas is employed;
  • FIG. 3 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an Ar flow rate for the silicon nitride layer and the silicon oxide layer under the condition that a flow rate of the CH 2 F 2 is reduced to half of the experiment shown in FIG. 2 ;
  • FIG. 4 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an etching atmospheric pressure if the silicon nitride layer and the silicon oxide layer are separately etched;
  • FIG. 5 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an etching atmospheric pressure if openings are formed in the silicon nitride layer and the silicon oxide layer;
  • FIG. 6 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and a magnitude of an RF power for the silicon nitride layer and the silicon oxide layer;
  • FIG. 7 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching selectivity and a supplied power of an RF power supply in the silicon nitride layer and those in the silicon oxide layer;
  • FIGS. 8A and 8B are sectional views showing steps of forming contact holes in the experiment of the present invention respectively;
  • FIG. 9 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between a substrate heating temperature and an etching rate of the silicon nitride in a substrate central region and a substrate peripheral region formed by the etching of the silicon nitride layer;
  • FIG. 10 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate of the silicon nitride layer and the substrate heating temperature in the substrate central region and the substrate peripheral region;
  • FIG. 11 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and a magnitude of an RF power for the silicon nitride layer and the silicon oxide layer if a distance between electrodes and a power supply frequency of a reactive ion etching equipment are set lower than those in the experiment shown in FIG. 6 ;
  • FIG. 12 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching selectivity and a supplied power of an RF power supply in the silicon nitride layer and those in the silicon oxide layer if the distance between electrodes and the power supply frequency of the reactive ion etching equipment are set lower than those in the experiment shown in FIG. 7 ;
  • FIG. 13 is a graph showing a distribution of etching selectivity of the silicon nitride in compliance with difference in the supplied power from the RF power supply and the substrate heating temperature;
  • FIGS. 14A to 14C are sectional views showing steps of forming a selective oxidation film on a surface of a semiconductor substrate in the embodiment of the present invention respectively;
  • FIGS. 15A to 15K are sectional views showing steps of manufacturing a DRAM cell in the embodiment of the present invention.
  • FIGS. 16A to 16J are sectional views showing steps of manufacturing a semiconductor device with the use of BLC technique in the embodiment of the present invention.
  • FIGS. 17A to 17C are sectional views showing steps of forming contact holes in the silicon nitride film according to the SAC technique in the prior art
  • FIGS. 18A to 18E are sectional views showing steps of forming contact holes in the silicon nitride film according to the BLC technique in the prior art
  • FIG. 19 is a sectional view showing etching of an oxide film in a device isolation region when the contact holes are formed in the silicon nitride film according to the BLC technique in the prior art.
  • FIG. 20 is a sectional view showing impurity diffusion layers which are thinned by the etching to form side walls in the prior art.
  • FIG. 1 is a schematic view showing a configuration of a reactive ion etching (RIE) equipment used for etching a silicon nitride layer in the present invention.
  • RIE reactive ion etching
  • An electrode 3 on which a water (substrate) W is loaded and a gas shower 4 which opposes to the electrode 3 at a distance L are arranged in a quartz chamber 2 of the RIE equipment 1 .
  • the gas shower 4 has a plurality of gas injecting holes 4 a on the side opposing to the electrode 3 .
  • a gas pipe 4 b is connected to a part of the gas shower 4 .
  • the gas shower 4 is made of conductive material such as aluminum, and can function as the other electrode ( 4 ).
  • a radio frequency power supply RF of a frequency f is connected to the electrode 3 , while an earth wire is connected to the gas shower 4 .
  • a reference 5 denotes an exhausting pipe; 6 , a template; 7 , a heating means; and 8 , a temperature controlling means.
  • FIG. 2 showing the results of a relationship between an etching rate and an Ar gas flow rate examined under the condition that CH 2 F 2 gas is supplied to the chamber 2 at a flow rate of 48 sccm.
  • power of the power supply RF is 400 W
  • a pressure in the chamber 2 is 100 mTorr.
  • FIG. 3 showing the results of a relationship between an etching rate and an Ar gas flow rate examined under the condition that a flow rate of the CH 2 F 2 is set to 24 sccm.
  • power of the power supply RF is 400 W
  • a pressure in the chamber 2 is 100 mTorr. According to characteristic curves of this experiment, it would be understood that the etching selectivity becomes large rather than the case shown in FIG. 2 .
  • the etching selectivity of the Si 3 N 4 film can be enhanced by increasing the flow rate of Ar gas rather than the flow rate of CH 2 F 2 gas. Particularly, as shown in FIG. 3 , such tendency appears remarkably in the event that the flow rate of CH 2 F 2 gas is reduced.
  • the etching selectivity exceeds 10 if the flow rate of Ar gas is set three times the flow rate of CH 2 F 2 gas whereas the etching selectivity becomes about 30 if the flow rate of Ar gas is set eight times the flow rate of CH 2 F 2 gas.
  • the etching rate of the silicon is 3 nm/min, when the Art flow is set for 290 sccm.
  • the results shown in FIG. 4 has been derived. It has been found that the etching selectivity of the Si 3 N 4 film can be further enhanced as the pressure is made higher until the pressure comes up to 150 mTorr, but the etching selectivity of the Si 3 N 4 film is reduced when the pressure exceeds 150 mTorr. As the reason for reduction in the etching selectivity of the Si 3 N 4 film, it may be considered that a film growth component on the surface of the wafer W is increased when the pressure becomes higher.
  • the flow rate of CH 2 F 2 gas is set to 24 sccm
  • the flow rate of Ar gas is set to 290 sccm
  • the power of the power supply RF is set to 400 W.
  • FIG. 4 shows experimental results when the SiO 2 film, the Si film, and the Si 3 N 4 film are etched. Therefore, the results as shown in FIG. 5 can be derived when it has been examined how the etching selectivity of the Si 3 N 4 film to SiO 2 or Si is changed in the contact hole. As shown in FIG. 7 , the etching is carried out with respect to the Si 3 N 4 film, the SiO 2 film, and the Si substrate which are located beneath the contact hole opened to have an aspect ratio of about 2.
  • the etching rate of the Si 3 N 4 film beneath the contact hole is never decreased abruptly even when the etching atmospheric pressure is made higher than 200 mTorr.
  • An etching amount in excess of 200 nm/min has been obtained at 450 mTorr.
  • the etching rate of the SiO 2 film formed beneath the contact hole is also enhanced, but it can be reduced lower a the pressure becomes higher.
  • the etching rate can be reduced to 20 nm/min at the pressure of more than 250 mTorr, which is less than 1/10 the etching rate of the Si 3 N 4 film.
  • the etching selectivity of the Si 3 N 4 film to the SiO 2 film can be controlled arbitrarily by changing the etching atmospheric pressure.
  • the etching selectivity of the Si 3 N 4 film to the SiO 2 film or the Si layer can be enhanced and simultaneously the etching rate can be increased as the flow rate of the argon gas is increased.
  • the flow rate of the fluorine gas is set to 5 to 50 seem
  • the flow rate of the inert gas is set three times or more that of the fluorine gas
  • the etching atmospheric pressure is set to 10 to 500 mTorr
  • power applied to the silicon nitride layer is set to 1.1 to 5.5 W/cm 2 .
  • the etching rates and the etching selectivities have been examined.
  • CH 2 F 2 and Ar as the gases used in etching are set to 36 sccm and 290 sccm respectively
  • the pressure in the etching atmosphere is set to 350 mTorr
  • the supplied power of the radio frequency power supply is set to 500 W.
  • the silicon oxide film 16 and the silicon nitride film 17 are formed in order on the silicon substrate 11 and a resist 18 in which a window 18 a is opened is formed thereon.
  • etched states of the silicon nitride film 17 and the silicon oxide film 16 in the middle of forming the contact hole 19 by using the resist 18 as a mask have been examined.
  • FIG. 9 is an experimental result illustrative of a relationship between the wafer heating temperature and the etching rate of the silicon nitride.
  • the etching rate of the silicon nitride is slightly increased as the temperature is increased higher.
  • the result shown in FIG. 10 has been derived by examining the etching selectivity of the silicon nitride to the silicon oxide.
  • the etching selectivity of the silicon nitride is enhanced higher in compliance with an increase in the temperature.
  • the temperature exceeds more than 95° C. etching of the silicon nitride does not proceed and in addition the etching rate becomes zero and it is impossible to measure the etching selectivity. Followings may be guessed as reasons why such results have been derived.
  • Points 1 ⁇ 3 are possible reasons for the effect of temperature.
  • the sputter ability of ion depends on the Ar flow, the power and the pressure in the atmosphere.
  • the area on which the radicals stick depends on the temperature, and the sticking amount depends on the frequency of the radio frequency power supply.
  • the high selective ion assist etching is done by adjusting the radical sticking probability and the ion sputter etching at the bottom of the contact hole to suppress the fluorine radical (F*) production and to hasten the CF x * production.
  • the result shown in FIG. 11 has been derived by examining how the etching rate of the silicon nitride film depends on the magnitude of the power.
  • the results shown in FIG. 11 and FIG. 6 are compared with each other.
  • the results shown in FIG. 12 and FIG. 7 are compared with each other. According to such comparison, it has become evident that, if the distance L between the electrodes is smaller or the power supply frequency f is lower, the etching rate and the etching selectivity of the silicon nitride can be increased.
  • the etching conditions to detect the characteristics shown in FIGS. 11 and 12 are set substantially identical entirely.
  • the etching selectivity near the shoulder of the side walls 29 has appeared as distribution shown in FIG. 13 . It has been found that the etching selectivity can be enhanced as the substrate temperature becomes higher and the power of the radio frequency power supply is increased higher.
  • the etching selectivity in the direction 45 degree from the surface can be selected in the range of 10 to 15 by selecting the substrate heating temperature and the power of the radio frequency power supply in such a manner.
  • the etching rate of the silicon nitride film at that etching selectivity ranges from about 400 to 700 mm/min.
  • the substrate heating temperature is 20 to 95° C. and to set the power of the radio frequency power supply to more than 350 W.
  • the range of these conditions resides in the left side area rather than a dot-dash line in FIG. 13 .
  • the etching is difficult to advance in the right side area rather than the dot-dash line in FIG. 13 . The reason for this may be guessed that the ions are hard to enter into the contact hole 36 narrowed by the side walls 29 because of high aspect radio of the contact hole 36 .
  • the temperature labeled on the abscissas in FIGS. 9 , 10 , 13 correspond to the set temperature of the template 7 of the RIE equipment.
  • the substrate temperature is affected by the plasma in the chamber 2 as a matter of fact.
  • the temperatures put in parenthenes are values by actual measurement, as shown in FIGS. 9 , 10 and 13 .
  • a thin SiO 2 film 12 of 50 ⁇ thickness is formed on a silicon substrate 11 , and then an Si 3 N 4 film 13 is formed on the SiO 2 film 12 by CVD. Then, a resist film 14 in which device isolation regions are opened is formed.
  • the Si 3 N 4 film 13 in the range not covered with the resist film 14 is etched.
  • the pressure in the chamber 2 is set in the range of 50 to 150 mTorr and the etching selectivity is set to more than 10.
  • the power supplied to the electrode 3 of the RIE equipment 1 is set to 400 W and the flow rate of the CH 2 F 2 gas is set less than 1 ⁇ 3, preferably 1 ⁇ 5 that of the Ar gas.
  • field oxide films 15 made of SiO 2 are formed by selectively etching surfaces of the silicon substrate 11 in the range not covered with the Si 3 N 4 film 13 .
  • the fluorine gas and the argon gas are employed to pattern the Si 3 N 4 film for use in selective oxidation as above, the SiO 2 film 12 in the device isolation region has not been etched and has still remained though it is thin, so that the surface of the silicon substrate 11 has not been exposed. As a result, the surface of the silicon substrate 11 can be protected by the SiO 2 film 12 and therefore contamination and generation of crystal defect upon etching can be prevented.
  • FIGS. 15A to 15K are sectional views showing steps of manufacturing a DRAM cell to which the above etching technique is applied.
  • the field oxide film 22 is formed on the silicon substrate 21 by the selective oxidation method.
  • the field oxide film 22 is formed to surround a device forming region A. Formation of this field oxide film 22 may be carried out according to common conditions, otherwise it may be carried out along the steps being explained in the first example.
  • An about 60 ⁇ thick gate insulating layer 23 made of SiO 2 is formed in the device forming region A.
  • a polysilicon layer 24 , a silicide layer 25 , and a first cap layer 26 made of SiO 2 are formed in sequence on the overall silicon substrate 21 to have thicknesses of 500 ⁇ , 700 ⁇ , and 800 ⁇ respectively.
  • the silicide film 25 is made of tungsten silicide, titanium silicide, etc. and is formed by the salicide technique.
  • the polysilicon layer 24 , the silicide layer 25 , and the first cap layer 26 are patterned like stripes, as shown in FIG. 15A , by photolitho-graphy using a resist mask (not shown).
  • the polysilicon layer 24 and the silicide layer 25 all being formed like stripes, are used as a word line WL of the DRAM cell. An impurity is introduced into the polysilicon layer 24 upon film formation or after patterning.
  • word lines WL are formed to pass through on the gate insulating layer 23 or the field oxide film 22 . Portions of the word lines WL passing through on the gate insulating layer 23 may function as the gate electrode of the MOS transistor.
  • the word line WL may be formed to pass through not only on the field oxide film 22 but also boarders between the field oxide film 22 and the device forming region A. In addition, a plurality of word lines WL are arranged at a distance in the device forming region A.
  • an impurity of one conductivity type is introduced into a surface layer of the silicon substrate 21 using the word lines WL and the field oxide films 22 as a mask to thus form a shallow impurity diffusion layer 27 with low impurity concentration.
  • the impurity has an opposite conductivity type to that being included in the silicon substrate 21 .
  • a first insulating layer 28 made of SiO 2 and used for formation of the side walls is formed as a whole to have a thickness of 1000 ⁇ . Further, as shown in FIG. 15C , the first insulating layer 28 is remained as the side walls 29 on the side surfaces of the word lines WL by vertically etching the first insulating layer 28 in terms of the RIE.
  • the impurity is introduced into both sides of the gate electrode WL in the device forming regions A while using the side walls 29 , the first cap layer 26 , etc. as a mask and then activated so as to form a deep impurity diffusion layer 30 of high impurity concentration.
  • the source layer and the drain layer in the LDD structure of the MOS transistor are constructed by the deep impurity diffusion layer 30 and the above shallow impurity diffusion layer 27 .
  • a first protection film 31 made of SiO 2 and covering the gate oxide film 23 , the side walls 29 , the first cap layers 26 , and so on is formed by CVD to have a thickness of 200 ⁇ .
  • a first covering layer 32 made of Si 3 N 4 , a first interlayer insulating layer 33 made of BPSG, and a first intermediate layer 34 made of SiO 2 are grown in sequence on the first protection film 31 to have a thickness of 1000 ⁇ , 2000 ⁇ , and 200 ⁇ respectively, and then a photoresist 35 is coated on the first intermediate layer 34 .
  • a film thickness of the first covering layer 32 is set to sufficiently bury the word lines WL.
  • windows 35 a are formed by exposing and developing the photoresist 35 .
  • the windows 35 a in the photoresist 35 are formed to be positioned over high concentration impurity diffusion layers 30 to which the capacitor is electrically connected, as described later.
  • the first intermediate layer 34 and the first interlayer insulating layer 33 beneath the windows 35 a are etched by RIE sequentially to form upper portions of storage contact holes 36 .
  • the first covering layer 32 made of Si 3 N 4 and exposed at the bottom of the windows 35 a is etched under the above conditions to form lower portions of the storage contact holes 36 .
  • the pressure is set to more than 250 mTorr and the etching selectivity exceeds more than 10.
  • the power supplied to the electrode 3 of the RIE equipment 1 is set to 400 W and, for example, the flow rate of the CH 2 F 2 gas is set to less than 1 ⁇ 5 of the flow rate of the Ar gas.
  • the etching rate of the first blanket layer 32 is high and anisotropic etching in the vertical direction can be achieved, the side etching hardly occurs in the first blanket layer 32 but the first protection film 32 still remains. Accordingly, since there is no possibility that the lateral recesses occur in the first covering film 32 on the first cap layers 26 , the storage contact holes 36 are never connected. In addition, selectivity and anisotropy of the etching can be sufficiently assured, the first covering layer 32 on the side surfaces of the side walls 29 can be completely removed by excessively etching the first covering layer 32 and therefore the storage contact holes 36 can be prevented from being narrowed on the side surfaces of the side walls 39 . In this case, the word lines WL are never exposed from the side walls 29 and the first cap layers 26 .
  • the first protection film 32 made of SiO 2 and the gate insulating layer 23 are removed by the dilution hydrogen fluoride via the storage contact holes 36 . Consequently, the high concentration impurity diffusion layers 30 can be exposed from the storage contact holes 36 .
  • a polysilicon including impurity is then formed by CVD to be buried in the storage contact holes 36 after the photoresist 35 is removed, so that storage contact layers 37 made of polysilicon are formed. Since the polysilicon is also grown on the first intermediate layer 34 , such polysilicon formed on areas other than the storage contact layers 37 is removed by etching.
  • an underlying layer 38 of 200 ⁇ thickness is formed on the first intermediate layer 34 and the storage contact layers 37 .
  • the underlying layer 38 is patterned using a resist mask 39 to form openings 40 over the high concentration impurity diffusion layers 30 to which bit lines described later are electrically connected.
  • the first intermediate layer 34 and the interlayer insulating layer 33 positioned below the openings 40 are etched by RIE using the resist mask 39 to upper portions of bit line contact holes 41 .
  • the first covering layer 32 , the first protection film 31 , and the gate insulating layer 23 positioned below the openings 40 are etched by RIE to form lower portions of the bit line contact holes 41 .
  • the etching conditions are set to the same as in the case where the lower portions of the storage contact holes 36 are formed. As a consequence, such a condition can be achieved that side etching of the first covering layer 32 on the side surfaces of the bit line contact holes 41 scarcely occurs, and the first covering layer 32 do not remain on the side surfaces of the side walls 29 , and also the word lines WL are not exposed from the side walls 29 and the first cap layers 26 .
  • bit lines BL are formed. Steps of forming the bit lines BL are given as follow.
  • a polysilicon layer 42 , a silicide layer 43 , and a second cap layer 44 are formed sequentially by CVD in the bit line contact holes 41 and on the underlying layer 38 . These layers 42 , 43 , and 44 are formed under the same conditions as layer growth conditions used to form the word lines WL. In this case, since no first covering layer 32 remains on the side surfaces of the side walls 29 in the bit line contact holes 41 because of vertical anisotropic etching of the first covering layer 32 , a contacting area between the polysilicon layer 42 and the high concentration impurity diffusion layer 30 can be assured as designed. Further, since the word lines WL are never exposed from the side walls 29 and the first cap layers 26 , the polysilicon 42 constituting the bit line contact portion is in no way brought into contact with the word line WL.
  • the polysilicon layer 42 , the silicide layer 43 , and the second cap layer 44 are patterned to form the bit lines BL.
  • a part of the bit line BL is connected to the high concentration impurity diffusion layer 30 via the bit line contact holes 41 .
  • insulating side walls 45 are formed on the side surface of the bit line BL in the same manner as the side walls 29 on the side surfaces of the word lines WL are formed.
  • a second protection film 46 made of SiO 2 , a second covering layer 47 made of Si 3 N 4 , and a second interlayer insulating layer 48 made of BPSG are formed in sequence by CVD.
  • the second protection film 46 , the second covering layer 47 , and the second interlayer insulating layer 48 are patterned to form capacitor forming openings 49 on the storage contact layer 37 .
  • Capacitors each being made up of storage electrode, a dielectric film, and an opposing electrode are formed in the capacitor forming openings 49 respectively.
  • Si 3 N 4 in place of SiO 2 may be employed together with the above process. However, is such case, it is preferable that the gate insulating layer 26 therebetween should be formed of Si 3 N 4 . It has been confirmed according to the experiment that a low frequency below 13.56 MHZ may be similarly used as a frequency of the power supply applied to the substrate if RIE is used, but there can be brought about an effect of improving selective etching of the silicon nitride film at 400 kHz.
  • the RIE equipment has been employed in the above explanation, but the almost similar effect can be obtained by ECR plasma etching.
  • gas to be added to the fluorine gas other inert gas such as helium or other gas may be employed in addition to argon.
  • Etching of the silicon nitride film in LOCOS and SAL has been explained in the above example.
  • the above etching process may be applied to the case where the side walls made of Si 3 N 4 are formed or the silicon nitride mask which is used to form recesses in the silicon substrate is formed.
  • a groove 52 is formed in the device isolation region of the silicon substrate 51 , and then a buried insulating film 53 made of silicon oxide is filled into the groove 52 .
  • a method of filling the buried insulating film 53 into the groove 52 such a method can be employed, for example, that the buried insulating film 53 is formed by CVD in the groove 52 and on the silicon substrate 51 and then the buried insulating film 53 on a surface of the silicon substrate 51 is removed by polishing.
  • a gate insulating film 54 , a gate electrode 55 , and a gate covering insulating film 56 are then formed in an active region. Then, low-impurity concentration regions 57 s, 57 d are formed by ion-implanting the impurity into the silicon substrate 51 on both sides of the gate electrode 55 at a low dosage by use of the gate electrode 55 as a mask.
  • the impurity is phosphorous (P), for example, and has an opposite conductivity to the impurity included in the silicon substrate 51 .
  • an insulating film 58 made of silicon nitride or silicon nitride oxide is formed on the silicon substrate 51 , the gate covering insulating film 56 , and the low-impurity concentration regions 57 s, 57 d to have a thickness of 50 nm.
  • the silicon substrate 51 is loaded in the chamber 2 of the RIE equipment 1 shown in FIG. 1 .
  • the insulating film 58 is etched in the substantially vertical direction by RIE such that the insulating film 58 remains on side surfaces of the gate electrode 55 and the gate covering insulating film 56 .
  • Such insulating film 128 remaining on the side surfaces of the gate electrodes 125 are used as the side walls.
  • the fluorine compound gas consisting of any one of CH 2 F 2 , CH 3 F, and CHF 3 and the inert gas such as Ar, He, etc. are introduced into the chamber 2 .
  • the flow rate of the fluorine compound gas is set to 5 to 50 sccm, and the flow rate of the inert gas is set more than three times the flow rate of the fluorine compound gas.
  • the pressure in the chamber 2 is set to 10 to 500 mTorr, the substrate heating temperature is set in the range of 20 to 95° C., and the supply power of the radio frequency power supply RF is set in the range of 350 to 700 W.
  • the etching selectivity of the silicon nitride to the silicon oxide can be enhanced. Therefore, since the buried insulating film 53 made of silicon oxide is difficult to be etched in the etching step to form the side wall 58 s, the silicon substrate 51 is hard to exposed from the side surfaces of the groove 52 . In addition, since the surface of the silicon substrate 51 is difficult to be etched, the low-impurity concentration regions 57 s, 57 d can be suppressed from being thinned.
  • impurity such as arsenic (As) is ion-implanted at a high dosage into the active region which is not covered with the gate electrode 55 and the side walls 58 . Therefore, high-impurity concentration regions 59 s, 59 d are formed in the active region.
  • the LDD structure impurity diffusion layers 60 s, 60 d can be formed on both sides of the gate electrode 55 by the high-impurity concentration regions 59 s, 59 d and the low-impurity concentration regions 57 s, 57 d.
  • a metal film 61 made of cobalt (Co) is formed by sputtering to cover the side wall 58 s and the silicon substrate 51 .
  • the metal film 61 and the low-impurity concentration regions 57 s, 57 d are heated at a temperature of 550° C.
  • silicon and metal as constituent material can be alloyed.
  • the silicide layers 62 s, 62 d are formed on surfaces of the low-impurity concentration regions 57 s, 57 d by the salicide technique.
  • the metal film 61 which does not react yet and remains on the silicon substrate 51 is removed.
  • the silicon nitride film 63 is formed in the active region and the device isolation region, and then an interlayer insulating film 64 such as PSG, BSG, or SiO 2 including the silicon oxide is formed on the silicon nitride film 63 .
  • the interlayer insulating film 64 is planarized by chemical-mechanical polishing, then a photoresist 65 is coated on the interlayer insulating film 64 , and then windows 65 a are formed over the high-impurity concentration regions 59 s, 59 d in the photoresist 65 by selectivity exposing and developing the photoresist 65 .
  • the silicon substrate 51 is loaded in the chamber 2 of the RIE equipment 1 shown in FIG. 1 .
  • the interlayer insulating film 64 is etched using the photoresist 65 as a mask.
  • contact holes 66 are formed by etching the silicon nitride film 63 .
  • the etching of the interlayer insulating film 64 can be etched by the gas including C 4 F 8 , CO, Ar, for example. According to such etching gas, the etching selectivity of the interlayer insulating film 64 to the silicon nitride film 63 can be made high so that the silicon nitride film 63 can remain.
  • the fluorine compound gas consisting of any one of CH 2 F 2 , CH 3 F, and CHF 3 and the inert gas such as Ar, He, etc. are introduced into the chamber 2 .
  • the flow rate of the fluorine compound gas is set to 5 to 50 sccm, and the flow rate of the inert gas is set more than three times the flow rate of the fluorine compound gas.
  • the pressure in the chamber 2 is set to 10 to 500 mTorr, the substrate heating temperature is set in the range of 20 to 95° C., and the supply power of the radio frequency power supply RF is set in the range of 350 to 700 W.
  • the etching rate of the silicon nitride film 63 can be enhanced and also etching of the silicon oxide film 53 and the silicon substrate 51 can be suppressed. As a consequence, the silicon substrate 51 can be prevented from being exposed from the groove 52 .
  • the photoresist 65 is removed by the solvent, then as shown in FIG. 16J , conductive films 67 s, 67 d are filled in the contact hole 66 , and then wirings 68 s, 68 d are formed on the interlayer insulating film 64 .
  • the wirings 68 s, 68 d are electrically connected to the LDD structure impurity diffusion layers 60 s, 60 d.
  • the conductive films 67 s, 67 d in the contact hole 66 are not connected substantially to the silicon substrate 51 beneath the impurity diffusion layers 60 s, 604 but connected only to the silicide layers 62 s, 62 d. As a result, leakage current flowing from the wirings 68 s, 68 d to the silicon substrate 51 can be suppressed.
  • the etching rate of the silicon nitride layer can be enhanced 10 times or more the etching rate of the silicon oxide layer or the silicon layer beneath the silicon nitride layer and in addition the anisotropic etching thereof can be achieved.
  • the silicon nitride layer can be excessively etched not to remain the silicon nitride on the side surfaces of the side walls of the gate electrodes and furthermore the silicon oxide layer covering the gate electrodes is never removed by etching.
  • the contact region formed between two side walls can be prevented from being narrowed and also short-circuit between the gate electrodes and conductive layers in the contact holes can be prevented.

Abstract

There are included steps of forming a silicon nitride layer on a silicon layer or a silicon oxide layer, loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere, and selectively etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine gas consisting of any one of CH2F2, CH3F, or CHF3 and an inert gas to the dry etching atmosphere. Hence, in the etching process of the silicon nitride layer, the etching selectivity of the silicon nitride layer to Si or SiO2 can be enhanced and also etching anisotropy can be enhanced.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a method of etching a silicon nitride layer and a method of manufacturing a semiconductor device including a step of patterning the silicon nitride layer.
2. Description of the Prior Art
With the development of miniaturization of the semiconductor integrated circuit (LSI), a SAC (Self Alignment Contact) technique and a BLC (Border Less Contact) technique have been employed to arrange the wirings from an impurity diffusion layer to a field insulating film.
According to the SAC technique, in the event that wirings are connected to two MOS transistors which employ one impurity diffusion layer as a common constituent element and the wirings are to be connected to a common impurity diffusion layer between two gate electrodes, connecting positions of the wirings are regulated by an insulating layer formed on surfaces of two gate electrodes.
Like the above, with the use of the SAC technique, the wirings can be easily and firmly connected to the impurity diffusion layer between the gate electrodes which are narrowed together with the miniaturization.
According to the BLC technique, grooves are formed in a device isolation region of a semiconductor substrate, then silicon oxide is filled in the grooves, then an impurity diffusion layer is formed on a semiconductor substrate, then wirings are formed in a region spreading from the impurity diffusion layer to the device isolation region.
If such BLC technique is employed, an alignment margin in the contact area between the wiring and the impurity diffusion layer can be increased.
Next, examples of the semiconductor device employing the SAC technique and the BLC technique in the prior art will be explained hereunder.
SAC technique
As shown in FIG. 17A, a gate electrode 103 is formed on a silicon substrate 100 via a gate insulating layer 102 and then a cap layer 104 made of SiO2 is formed on the gate electrode 103. Side walls 105 made of SiO2 are formed on the side surfaces of the gate electrode 103. Impurity diffusion layers 101 on the silicon substrate 100 are formed by a first ion implantation with low concentration after the gate electrodes 103 have been formed and a second ion implantation with high concentration after the side walls 105 are formed to have an LDD (Lightly Doped Drain) structure.
In such condition, after a thin protection film 106 made of SiO2 is formed on an overall surface, a covering layer 107 made of Si3N4 is formed and then an interlayer insulating layer 108 made of BPSG and an intermediate layer 109 made of SiO2 are formed on the covering layer 107.
Subsequently, in order to form contact holes in the interlayer insulating layer 108 and the intermediate layer 109, a photoresist 110 having windows 111 over gaps between the side walls 105 is formed.
In turn, as shown in FIG. 17B, contact holes 112 are formed by dry-etching the interlayer insulating layer 108 via the windows 111 of the photoresist 110 in the vertical direction. In this case, the covering layer 107 made of Si3N4 having a small etching rate may be used as an etchant to etch the interlayer insulating layer 108 and the intermediate layer 109. Hence, the cap layer 104 and the side walls 105 still remain beneath the covering layer 107 because the covering layer 107 serves as an etching stopper.
Next, as shown in FIG. 17C, the covering layer 107 and the protection film 106 formed beneath the contact holes 112 are removed by etching. Thus, the impurity diffusion layers 101 are formed on the side surfaces of the side walls 105 are exposed. As the method of etching the covering layer 107 made of Si3N4, reactive ion etching using the fluorine gas can be employed. In addition, etching of the protection film 106 made of SiO2 is executed by use of dilution hydrogen fluoride.
After the photoresist 110 has been removed, wirings are formed on the interlayer insulating layer 108, though not particularly depicted, and then the wirings are connected to the impurity diffusion layers 101 via clearances between the contact holes 112 and clearances between the side walls 105.
BLC technique
First, steps of forming up to a structure shown in FIG. 18A will be explained.
A groove 112 is formed is formed in the device isolation region of the silicon substrate 121, and then a buried insulating film 123 made of silicon oxide is filled into the groove 122. As a method of filling the buried insulating film 123 into the groove 122, such a method can be employed, for example, that the buried insulating film 123 is formed by CVD (Chemical Vapor Deposition) in the groove 122 and on the silicon substrate 121 and then the buried insulating film 123 on a surface of the silicon substrate 121 is removed by polishing.
A gate insulating film 124, a gate electrode 125, and a gate covering insulating film 126 are then formed in an active region. Then, low-impurity concentration regions 127a, 127d are formed by ion-implanting the impurity into the silicon substrate 121 on both sides of the gate electrode 125 at a low dosage by use of the gate electrode 125 as a mask.
Then, an insulating film 128 made of silicon nitride and silicon nitride oxide is formed on the silicon substrate 121, the gate covering insulating film 126, and the low-impurity concentration regions 127a, 127d.
Thereafter, as shown in FIG. 18B, the insulating film 128 is etched in the substantially vertical direction by RIE (Reactive Ion Etching) such that the insulating film 128 remains on side surfaces of the gate electrode 125 and the gate covering insulating film 126. Such insulating films 128 remaining on the side surfaces of the gate electrode 125 are called side walls.
Then, as shown in FIG. 18C, using the side walls 128 and the gate covering insulating film 126 as a mask, impurity is ion-implanted at a high dosage into the active region which is not covered with the gate electrode 125 and the side walls 128. Therefore, high-impurity concentration regions 129a, 129d are formed in the active region.
The LDD structure impurity diffusion layers 129a, 129d can be formed on both sides of the gate electrode 125 by the high- impurity concentration regions 129s, 129d and the low-impurity concentration regions 127a, 127d.
As shown in FIG. 18D, silicide layers 131s, 131d are formed on surfaces of the low- impurity concentration regions 127s, 127d by the salicide (self-align silicide) technique.
Thus, a MOS transistor can be formed in the active region.
After this, as shown in FIG. 18E, a silicon nitride film 132 is formed in the active region and the device isolation region, and then an interlayer insulating film 133 made of silicon oxide is formed on the silicon nitride film 132.
Then, a plurality of contact holes 134a, 134d are formed in the silicon nitride film 132 and the interlayer insulating film 133 by the photolithography technique. These contact holes 134s, 134d are formed on two silicide layers 131s, 131d in the active region. In this case, if miniaturization of the semiconductor device is considered, a diameter of the contact holes 134s, 134d cannot be formed to have a wide margin in size rather than the high- impurity concentration regions 129s, 129d and as a result the contact holes 134s, 134d can be formed to cross over the buried insulating film 123.
Then, the wirings (not shown) are provided to the LDD structure impurity diffusion layers 129s, 129d via the contact holes 124s, 134d.
With the above, the common SAC technique and the common BCL technique have been described.
Meanwhile, for example, as set forth in Patent Application Publication (KOKAI) 6-12765, it has been deduced that an etching rate of Si3N4 can be enhanced rather than that of Si if one of CH2F2 and CH3F is employed as an etching gas to etch a covering layer made of silicon nitride, and that the etching rate of Si3N4 can be enhanced up to about ten times those of SiO2 and Si if the flow rate of the gas is changed and the pressure is set higher.
In general, if Si3N4 is etched by use of a gas mixture which consists of a fluorine compound gas as a major gas such as CF4, SF6, or NF3, oxygen, etc., following etching performance has been derived.
Si etching rate>Si3N4 etching rate>SiO2 etching rate
In this case, a value α/β obtained by dividing the etching rate α of Si3N4 by the etching rate β of SiO2 (referred to as an “etching selectivity of Si3N4 to SiO2” hereinafter) has been 2 to 3. Therefore, such gas mixture can be used for the SAC and the BLC, but such gas mixture enables only isotropic etching. Besides, damage of the silicon substrate is increased under such SiNx etching condition.
According to the inventors' experiment using another etching gas which includes CF4 and CHF3 mainly, following etching performance has been derived.
SiO2 etching rate>Si3N4 etching rate>Si etching rate
In this case, the etching selectivity of Si3N4 to SiO2 has been in the range of 0.5 to 1 and such another etching gas enables anisotropic etching. Such another etching gas can be used for information of the contact hole and removal of the LOCOS nitride film.
Meanwhile, followings have arisen as problems in the SAC technique.
If the fluorine gas is used as the etching gas, the etching selectivity of Si3N4 to SiO2 or Si has been enhanced, but such fluorine gas has enabled only isotropic etching. Hence, a patterning accuracy of the silicon nitride layer has been degraded.
For instance, as shown in FIG. 17A, if etching isotropy is enhanced on the etching step of the covering layer 107, the covering layer 107 is side-etched and further extended from the contact hole 112 laterally. As a result, recesses are formed between the cap layer 104 and the interlayer insulating layer 108 along the lateral direction. If such lateral recesses are considerably deep, i.e., an amount of the side etching becomes large, the covering layer 107 has been eliminated over the gate electrodes 103 so that the lateral holes are formed. Such lateral holes would cause short-circuit of two wirings passing through the neighboring contact holes 112.
On the contrary, it may be considered that an etching time can be shortened in order to prevent the side etching of the covering layer 107. However, in this case, because of insufficient etching, the covering layer 107 has remained on the side surfaces of the side walls 105. Thus, respective areas of the impurity diffusion layers 101 which are exposed on the side of the side walls 105 are made small. Under such condition, a contact resistance between the wiring passing through the contact hole 112 and the impurity diffusion layer 101 is likely to be increased.
In addition, in order to completely remove the covering layer 107 on the side surfaces of the side walls 105, it may also be considered that the etching selectivity of Si3N4 to SiO2 can be made small to thus enhance anisotropy. In this case, there has been caused such a disadvantage that side surfaces of the SiO2 cap layer 104 covering the gate electrode 103 is etched and thus short-circuit between the wiring formed in the contact hole 112 and the gate electrode 103 would be caused.
Further, if overetching is carried out to remove completely the covering layer 107 between two gate electrodes 103, upper edges of the side walls 105 are also removed so that a part of the gate electrode 103 is exposed. As a result, the gate electrode 103 is short-circuited to a plug passing through the contact hole 112.
While, followings have arisen as problems in the BLC technique.
For example, if the etching selectivity of the silicon nitride to the silicon oxide cannot be enhanced when the silicon nitride film 132 is etched via the contact holes 134s, 134d formed in the interlayer insulating film 133, a surface of the buried insulating film 123 made of silicon oxide is etched to thus expose a part of the silicon substrate 121, as shown in FIG. 19. Therefore, since a metal plug formed in the contact holes 134s, 134d is also connected to the silicon substrate 121, the silicon substrate 121 and the metal plug are short-circuited so that a leakage current flows via such short-circuited portion.
The side wall 128 has been formed of silicon oxide in the above explanation. However, if the side wall 128 is formed of silicon nitride, the etching selectivity of the silicon nitride to the silicon substrate must be enhanced. More particularly, as shown on the left side in FIG. 20, the event that the low- impurity concentration regions 127s, 127d are etched upon vertical etching of the silicon nitride signifies that the low- impurity concentration regions 127s, 127d beneath the silicide layer 134s are made shallower and therefore resistances of the low- impurity concentration regions 127s, 127d are increased. In addition, as shown on the right side in FIG. 20, if an etching depth on the surface of the silicon substrate 121 is increased upon etching of the silicon nitride constituting the side wall 128a, a depletion layer extended from the impurity diffusion layer 130d cannot be stabilized, but also the silicide layer 134s protrudes from the low-impurity concentration regions 127s. As a result, a leakage current becomes easy to flow into the silicon substrate 121.
As described above, in the above prior art, there has been such a problem that the etching selectivity of the silicon nitride to the silicon oxide and the anisotropy have trade-off relations with each other.
SUMMARY OF THE INVENTION
It is an object of the present invention to provide a method of etching a silicon nitride layer which is capable of enhancing an etching selectivity of silicon nitride to silicon and silicon oxide and enhancing etching anisotropy of the silicon nitride, and a method of manufacturing a semiconductor device including such etching step.
The method of etching a silicon nitride layer comprising the steps of forming a silicon nitride layer on a silicon layer or a silicon oxide layer; loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and selectively etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and an inert gas to the dry etching atmosphere.
According to the present invention, the silicon nitride layer is dry-etched by using the fluorine compound gas composed of any one of CH2F2, CH3, and CHF3 and the inert gas such as Ar, He, etc. With the use of these gases, the etching rate of the silicon nitride layer can be enhanced ten times or more the etching rate of the silicon oxide layer or the silicon layer beneath the silicon nitride layer and in addition the anisotropic etching thereof can be achieved.
That is to say, the etching selectivity of the silicon nitride layer to the silicon oxide layer and the silicon layer can be enhanced and simultaneously side etching upon patterning of the silicon nitride layer can be suppressed.
Such etching performance can remarkably appear when the flow rate of the inert gas is set more than three times the flow rate of the fluorine compound gas, in a ratio between the fluorine compound gas introduced into the chamber and the inert gas.
Hence, in the step of forming the semiconductor device employing the SAC technique, because such etching process is employed, the silicon nitride layer can be excessively etched not to remain the silicon nitride on the side surfaces of the side walls of the gate electrodes. In addition, the silicon oxide layer covering the gate electrodes is never removed by etching. As a result, the contact region formed between two side walls can be prevented from being narrowed and also short-circuit between the gate electrodes and conductive layers in the contact holes can be prevented.
Also, in the step of forming the semiconductor device employing the BLC technique, if the etching method is applied under the above conditions, etching of the silicon oxide layer which is buried in the groove in the neighboring of the device forming region can be suppressed and the silicon substrate is difficult to be exposed from the side walls of the groove. Consequently, the electrodes formed to cross over the boarder between the groove and the silicon substrate can be avoided from being connected to the silicon substrate beneath the impurity diffusion layer.
Furthermore, the impurity diffusion layer becomes difficult to be etched by virtue of the RIE which is used to form the side wall made of silicon nitride or silicon nitride oxide on both sides of the gate electrode of the MOS transistor, so that the increase of the leakage current and the increase of electric resistance due to the thinned impurity diffusion layer can be avoided.
In order to carry out the patterning of the silicon nitride layer as an oxidation preventing mask upon selective oxidation of the silicon substrate, the etching selectivity of the silicon nitride layer to the silicon substrate or the silicon oxide layer formed thereunder can be improved even if the silicon nitride layer is etched under the above conditions. As a result, crystal defects in the silicon substrate due to the etching of the silicon nitride layer can be prevented and also contamination of the silicon oxide layer can be prevented.
Other and further objects and features of the present invention will become obvious in an understanding of the embodiments about to be described in connection with the accompanying drawings or will be indicated in the appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic view showing a configuration of an example of an etching equipment used for etching of an insulating film in the present invention;
FIG. 2 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an Ar flow rate for the silicon nitride layer and a silicon oxide layer if a CH2F2 and an Ar gas is employed;
FIG. 3 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an Ar flow rate for the silicon nitride layer and the silicon oxide layer under the condition that a flow rate of the CH2F2 is reduced to half of the experiment shown in FIG. 2;
FIG. 4 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an etching atmospheric pressure if the silicon nitride layer and the silicon oxide layer are separately etched;
FIG. 5 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and an etching atmospheric pressure if openings are formed in the silicon nitride layer and the silicon oxide layer;
FIG. 6 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and a magnitude of an RF power for the silicon nitride layer and the silicon oxide layer;
FIG. 7 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching selectivity and a supplied power of an RF power supply in the silicon nitride layer and those in the silicon oxide layer;
FIGS. 8A and 8B are sectional views showing steps of forming contact holes in the experiment of the present invention respectively;
FIG. 9 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between a substrate heating temperature and an etching rate of the silicon nitride in a substrate central region and a substrate peripheral region formed by the etching of the silicon nitride layer;
FIG. 10 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate of the silicon nitride layer and the substrate heating temperature in the substrate central region and the substrate peripheral region;
FIG. 11 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching rate and a magnitude of an RF power for the silicon nitride layer and the silicon oxide layer if a distance between electrodes and a power supply frequency of a reactive ion etching equipment are set lower than those in the experiment shown in FIG. 6;
FIG. 12 is a graph showing an experimental result illustrative of etching conditions of the present invention, i.e., a relationship between an etching selectivity and a supplied power of an RF power supply in the silicon nitride layer and those in the silicon oxide layer if the distance between electrodes and the power supply frequency of the reactive ion etching equipment are set lower than those in the experiment shown in FIG. 7;
FIG. 13 is a graph showing a distribution of etching selectivity of the silicon nitride in compliance with difference in the supplied power from the RF power supply and the substrate heating temperature;
FIGS. 14A to 14C are sectional views showing steps of forming a selective oxidation film on a surface of a semiconductor substrate in the embodiment of the present invention respectively;
FIGS. 15A to 15K are sectional views showing steps of manufacturing a DRAM cell in the embodiment of the present invention;
FIGS. 16A to 16J are sectional views showing steps of manufacturing a semiconductor device with the use of BLC technique in the embodiment of the present invention;
FIGS. 17A to 17C are sectional views showing steps of forming contact holes in the silicon nitride film according to the SAC technique in the prior art;
FIGS. 18A to 18E are sectional views showing steps of forming contact holes in the silicon nitride film according to the BLC technique in the prior art;
FIG. 19 is a sectional view showing etching of an oxide film in a device isolation region when the contact holes are formed in the silicon nitride film according to the BLC technique in the prior art; and
FIG. 20 is a sectional view showing impurity diffusion layers which are thinned by the etching to form side walls in the prior art.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Embodiments of the present invention will be explained in detail with reference to the accompanying drawings hereinafter. It should be noted that the same or similar reference numerals are applied to the same or similar parts and elements throughout the drawings and the explanation of the same or similar parts or elements will be omitted or simplified.
FIG. 1 is a schematic view showing a configuration of a reactive ion etching (RIE) equipment used for etching a silicon nitride layer in the present invention.
An electrode 3 on which a water (substrate) W is loaded and a gas shower 4 which opposes to the electrode 3 at a distance L are arranged in a quartz chamber 2 of the RIE equipment 1. The gas shower 4 has a plurality of gas injecting holes 4a on the side opposing to the electrode 3. A gas pipe 4b is connected to a part of the gas shower 4. The gas shower 4 is made of conductive material such as aluminum, and can function as the other electrode (4).
A radio frequency power supply RF of a frequency f is connected to the electrode 3, while an earth wire is connected to the gas shower 4.
In FIG. 1, a reference 5 denotes an exhausting pipe; 6, a template; 7, a heating means; and 8, a temperature controlling means.
It has been found by the inventors of the present invention that, if an interior of the chamber 2 of the RIE equipment 1 is reduced in pressure, then a fluorine compound gas such as CH2F2, CH3F, or CHF3 and an inert gas such as Ar, He, etc. are introduced into the chamber 2, and then a silicon nitride (Si3N4) film, a silicon oxide (SiO2) film, and a silicon (Si) layer on the wafer W are etched with the use of products obtained by plasmanizing these gases, vertical anisotropic etching of Si3N4 is made possible under the situation that the etching selectivity of Si3N4 to SiO2 or Si is enhanced.
Therefore, results of etching of Si3N4, SiO2, and Si by introducing CH2F2 as the fluorine compound gas and the argon (Ar) gas as the inert gas into the chamber 2 will be explained hereunder. A six-inch silicon wafer has been used as the wafer W herein.
For examination of etching, experiments have been carried out by changing conditions of the distance L between the electrodes and the power supply frequency f of the RIE equipment. The case where the distance L between the electrodes and the power supply frequency f are selected as 70 mm and 13.56 MHZ respectively is set as the first equipment constituting condition, and the case where the distance L between the electrodes and the power supply frequency f are selected as 10 mm and 400 kHz respectively is set as the second equipment constituting condition.
(First Equipment Constituting Condition)
FIG. 2 showing the results of a relationship between an etching rate and an Ar gas flow rate examined under the condition that CH2F2 gas is supplied to the chamber 2 at a flow rate of 48 sccm. In this experiment, power of the power supply RF is 400 W, and a pressure in the chamber 2 is 100 mTorr. According to this experimental results, as the flow rate of Ar gas is increased, an etching rate of the Si3N4 film has been enhanced, but an etching rate of the SiO2 film has been hardly changed.
Hence, in order to enhance the etching selectivity of the Si3N4 film to the SiO2 film, it has been evident that it is effective that the argon gas must be introduced and its flow rate must be controlled.
FIG. 3 showing the results of a relationship between an etching rate and an Ar gas flow rate examined under the condition that a flow rate of the CH2F2 is set to 24 sccm. In this experiment, power of the power supply RF is 400 W, and a pressure in the chamber 2 is 100 mTorr. According to characteristic curves of this experiment, it would be understood that the etching selectivity becomes large rather than the case shown in FIG. 2.
What is derived from the characteristic curves in FIGS. 2 and 3 is that the etching selectivity of the Si3N4 film can be enhanced by increasing the flow rate of Ar gas rather than the flow rate of CH2F2 gas. Particularly, as shown in FIG. 3, such tendency appears remarkably in the event that the flow rate of CH2F2 gas is reduced. The etching selectivity exceeds 10 if the flow rate of Ar gas is set three times the flow rate of CH2F2 gas whereas the etching selectivity becomes about 30 if the flow rate of Ar gas is set eight times the flow rate of CH2F2 gas. The etching rate of the silicon is 3 nm/min, when the Art flow is set for 290 sccm.
When a relationship between an etching rate and a pressure in the chamber 2 has been examined, the results shown in FIG. 4 has been derived. It has been found that the etching selectivity of the Si3N4 film can be further enhanced as the pressure is made higher until the pressure comes up to 150 mTorr, but the etching selectivity of the Si3N4 film is reduced when the pressure exceeds 150 mTorr. As the reason for reduction in the etching selectivity of the Si3N4 film, it may be considered that a film growth component on the surface of the wafer W is increased when the pressure becomes higher.
In the experiment shown in FIG. 4, the flow rate of CH2F2 gas is set to 24 sccm, the flow rate of Ar gas is set to 290 sccm, and the power of the power supply RF is set to 400 W.
FIG. 4 shows experimental results when the SiO2 film, the Si film, and the Si3N4 film are etched. Therefore, the results as shown in FIG. 5 can be derived when it has been examined how the etching selectivity of the Si3N4 film to SiO2 or Si is changed in the contact hole. As shown in FIG. 7, the etching is carried out with respect to the Si3N4 film, the SiO2 film, and the Si substrate which are located beneath the contact hole opened to have an aspect ratio of about 2.
According to FIG. 5, the etching rate of the Si3N4 film beneath the contact hole is never decreased abruptly even when the etching atmospheric pressure is made higher than 200 mTorr. An etching amount in excess of 200 nm/min has been obtained at 450 mTorr. While the etching rate of the SiO2 film formed beneath the contact hole is also enhanced, but it can be reduced lower a the pressure becomes higher. The etching rate can be reduced to 20 nm/min at the pressure of more than 250 mTorr, which is less than 1/10 the etching rate of the Si3N4 film.
With the above explanation, it has been found that the etching selectivity of the Si3N4 film to the SiO2 film can be controlled arbitrarily by changing the etching atmospheric pressure.
In addition, it has also been found that, when the Si3N4 film is etched with the use of the CH2F2 gas and the Ar gas, the etching selectivity of the Si3N4 film to the SiO2 film or the Si layer can be enhanced and simultaneously the etching rate can be increased as the flow rate of the argon gas is increased.
In this case, even if the etching rate of the Si3N4 film is increased, i.e., even if the etching selectivity of the Si3N4 film to the SiO2 film is set to more than 10, the Si3N4 film beneath the contact hole is seldom side-etched and therefore good patterns have been obtained.
Next, the results shown in FIG. 6 has been obtained when the magnitude of the power supply RF applied to the electrode 3 of the RIE equipment 1 is varied. Particularly, as the power is increased, the etching rate of the Si3N4 film can be enhanced but the etching rate of the SiO2 film is hardly changed. Hence, it has become obvious that, as the power is increased, the etching selectivity of the Si3N4 film to the SiO2 film can be enhanced. The etching selectivity corresponding to change in power has been obtained as shown in FIG. 7.
According to further experiment by the inventors of the present invention, it has been found that preferably the flow rate of the fluorine gas is set to 5 to 50 seem, the flow rate of the inert gas is set three times or more that of the fluorine gas, the etching atmospheric pressure is set to 10 to 500 mTorr, and power applied to the silicon nitride layer is set to 1.1 to 5.5 W/cm2.
Even if another fluorine gas and another inert gas are employed, the same results as the above-mentioned results can be obtained.
(Second Equipment Constituting Condition)
Next, when the distance L between the electrodes and the power supply frequency f are set to 10 mm and 400 kHz respectively, the etching rates and the etching selectivities have been examined. In this case, CH2F2 and Ar as the gases used in etching are set to 36 sccm and 290 sccm respectively, the pressure in the etching atmosphere is set to 350 mTorr, and the supplied power of the radio frequency power supply is set to 500 W.
Then, as shown in FIGS. 8A and 8B, the silicon oxide film 16 and the silicon nitride film 17 are formed in order on the silicon substrate 11 and a resist 18 in which a window 18a is opened is formed thereon. In addition, etched states of the silicon nitride film 17 and the silicon oxide film 16 in the middle of forming the contact hole 19 by using the resist 18 as a mask have been examined.
FIG. 9 is an experimental result illustrative of a relationship between the wafer heating temperature and the etching rate of the silicon nitride. According to FIG. 9, the etching rate of the silicon nitride is slightly increased as the temperature is increased higher. Further, the result shown in FIG. 10 has been derived by examining the etching selectivity of the silicon nitride to the silicon oxide. The etching selectivity of the silicon nitride is enhanced higher in compliance with an increase in the temperature. However, if the temperature exceeds more than 95° C., etching of the silicon nitride does not proceed and in addition the etching rate becomes zero and it is impossible to measure the etching selectivity. Followings may be guessed as reasons why such results have been derived.
Points 1˜3 are possible reasons for the effect of temperature. The sputter ability of ion depends on the Ar flow, the power and the pressure in the atmosphere.
  • 1. The selectivity does not attain the sufficient value when the substrate temperature is set too low, with the effect at the bottom of contact hole 19 being:
    • the radical amount of high sticking probability <<the ion sputter etching amount
  • 2. The selectivity attains a sufficient value, when the substrate temperature is set higher than 1), with the effect at the bottom of contact hole 19 being:
    • the radical amount of high sticking probability ≧the ion sputter etching amount
  • 3. The Si3N4 layer is difficult to etch when the substrate temperature is set too high, with the effect at the bottom of contact hole 19 being:
    • the radical amount of high sticking probability >>the ion sputter etching amount
The area on which the radicals stick depends on the temperature, and the sticking amount depends on the frequency of the radio frequency power supply. The high selective ion assist etching is done by adjusting the radical sticking probability and the ion sputter etching at the bottom of the contact hole to suppress the fluorine radical (F*) production and to hasten the CFx* production.
During the experiments to obtain characteristic curves shown in FIGS. 9 and 10, the power of the radio frequency power supply applied between the electrodes 3, 4 of the RIE equipment 1 was kept constant at 500 W. Therefore, a relationship between the power and the etching selectivity will be explained respectively.
The result shown in FIG. 11 has been derived by examining how the etching rate of the silicon nitride film depends on the magnitude of the power.
Next, in order to examine change of the etching rate due to differences in the distance L between the electrodes and the power supply frequency f, the results shown in FIG. 11 and FIG. 6 are compared with each other. Further, in order to examine the etching selectivity due to differences in the distance L between the electrodes and the power supply frequency f, the results shown in FIG. 12 and FIG. 7 are compared with each other. According to such comparison, it has become evident that, if the distance L between the electrodes is smaller or the power supply frequency f is lower, the etching rate and the etching selectivity of the silicon nitride can be increased.
This is because ion in the plasma can be effectively catched by the silicon substrate side by making the distance L between the electrodes smaller or making the power supply frequency f lower, so that the higher etching rate of the silicon nitride can be obtained.
Other than the distance L between the electrodes and the power supply frequency f, the etching conditions to detect the characteristics shown in FIGS. 11 and 12 are set substantially identical entirely.
Next, in the SAC technique shown in FIGS. 16B and 16C to be described later, it has been checked by the experiment how the etching of shoulder portions of the side walls 29 made of the silicon oxide advances during formation of the contact holes by etching the silicon nitride film 32, according to differences in the substrate heating temperature and the power of the radio frequency power supply.
The etching selectivity near the shoulder of the side walls 29 has appeared as distribution shown in FIG. 13. It has been found that the etching selectivity can be enhanced as the substrate temperature becomes higher and the power of the radio frequency power supply is increased higher. The etching selectivity in the direction 45 degree from the surface can be selected in the range of 10 to 15 by selecting the substrate heating temperature and the power of the radio frequency power supply in such a manner. The etching rate of the silicon nitride film at that etching selectivity ranges from about 400 to 700 mm/min.
In order to enhance the etching selectivity of the silicon nitride film to the silicon oxide film, it is preferable to set the substrate heating temperature to 20 to 95° C. and to set the power of the radio frequency power supply to more than 350 W. The range of these conditions resides in the left side area rather than a dot-dash line in FIG. 13. The etching is difficult to advance in the right side area rather than the dot-dash line in FIG. 13. The reason for this may be guessed that the ions are hard to enter into the contact hole 36 narrowed by the side walls 29 because of high aspect radio of the contact hole 36.
Where the temperature labeled on the abscissas in FIGS. 9, 10, 13 correspond to the set temperature of the template 7 of the RIE equipment. The substrate temperature is affected by the plasma in the chamber 2 as a matter of fact. The temperatures put in parenthenes are values by actual measurement, as shown in FIGS. 9,10 and 13.
In turn, an example of the case where the above technique is applied to manufacture of a semiconductor device will be explained hereunder.
FIRST EXAMPLE
In this first example, there will be explained a step of forming a field oxide film on a silicon substrate by the selective oxidation method using the RIE equipment 1 shown in FIG. 1.
First, as shown in FIG. 14A, a thin SiO2 film 12 of 50 Å thickness is formed on a silicon substrate 11, and then an Si3N4 film 13 is formed on the SiO2 film 12 by CVD. Then, a resist film 14 in which device isolation regions are opened is formed.
Next, as shown in FIG. 14B, the Si3N4 film 13 in the range not covered with the resist film 14 is etched. As the etching conditions, according to the experimental results shown in FIG. 4, the pressure in the chamber 2 is set in the range of 50 to 150 mTorr and the etching selectivity is set to more than 10. In addition, the power supplied to the electrode 3 of the RIE equipment 1 is set to 400 W and the flow rate of the CH2F2 gas is set less than ⅓, preferably ⅕ that of the Ar gas.
After this, as shown in FIG. 14C, field oxide films 15 made of SiO2 are formed by selectively etching surfaces of the silicon substrate 11 in the range not covered with the Si3N4 film 13.
If the fluorine gas and the argon gas are employed to pattern the Si3N4 film for use in selective oxidation as above, the SiO2 film 12 in the device isolation region has not been etched and has still remained though it is thin, so that the surface of the silicon substrate 11 has not been exposed. As a result, the surface of the silicon substrate 11 can be protected by the SiO2 film 12 and therefore contamination and generation of crystal defect upon etching can be prevented.
SECOND EXAMPLE
FIGS. 15A to 15K are sectional views showing steps of manufacturing a DRAM cell to which the above etching technique is applied.
First of all, steps of forming a configuration shown in FIG. 15A will be explained.
The field oxide film 22 is formed on the silicon substrate 21 by the selective oxidation method. The field oxide film 22 is formed to surround a device forming region A. Formation of this field oxide film 22 may be carried out according to common conditions, otherwise it may be carried out along the steps being explained in the first example. An about 60 Å thick gate insulating layer 23 made of SiO2 is formed in the device forming region A.
Then, a polysilicon layer 24, a silicide layer 25, and a first cap layer 26 made of SiO2 are formed in sequence on the overall silicon substrate 21 to have thicknesses of 500 Å, 700 Å, and 800 Å respectively. The silicide film 25 is made of tungsten silicide, titanium silicide, etc. and is formed by the salicide technique. The polysilicon layer 24, the silicide layer 25, and the first cap layer 26 are patterned like stripes, as shown in FIG. 15A, by photolitho-graphy using a resist mask (not shown). The polysilicon layer 24 and the silicide layer 25, all being formed like stripes, are used as a word line WL of the DRAM cell. An impurity is introduced into the polysilicon layer 24 upon film formation or after patterning.
These word lines WL are formed to pass through on the gate insulating layer 23 or the field oxide film 22. Portions of the word lines WL passing through on the gate insulating layer 23 may function as the gate electrode of the MOS transistor. The word line WL may be formed to pass through not only on the field oxide film 22 but also boarders between the field oxide film 22 and the device forming region A. In addition, a plurality of word lines WL are arranged at a distance in the device forming region A.
Next, an impurity of one conductivity type is introduced into a surface layer of the silicon substrate 21 using the word lines WL and the field oxide films 22 as a mask to thus form a shallow impurity diffusion layer 27 with low impurity concentration. The impurity has an opposite conductivity type to that being included in the silicon substrate 21.
Subsequently, as shown in FIG. 15B, a first insulating layer 28 made of SiO2 and used for formation of the side walls is formed as a whole to have a thickness of 1000 Å. Further, as shown in FIG. 15C, the first insulating layer 28 is remained as the side walls 29 on the side surfaces of the word lines WL by vertically etching the first insulating layer 28 in terms of the RIE.
After this, the impurity is introduced into both sides of the gate electrode WL in the device forming regions A while using the side walls 29, the first cap layer 26, etc. as a mask and then activated so as to form a deep impurity diffusion layer 30 of high impurity concentration. The source layer and the drain layer in the LDD structure of the MOS transistor are constructed by the deep impurity diffusion layer 30 and the above shallow impurity diffusion layer 27.
Next, as shown in FIG. 15D, a first protection film 31 made of SiO2 and covering the gate oxide film 23, the side walls 29, the first cap layers 26, and so on is formed by CVD to have a thickness of 200 Å. Then, a first covering layer 32 made of Si3N4, a first interlayer insulating layer 33 made of BPSG, and a first intermediate layer 34 made of SiO2 are grown in sequence on the first protection film 31 to have a thickness of 1000 Å, 2000 Å, and 200 Å respectively, and then a photoresist 35 is coated on the first intermediate layer 34. A film thickness of the first covering layer 32 is set to sufficiently bury the word lines WL.
Thereafter, windows 35a are formed by exposing and developing the photoresist 35. The windows 35a in the photoresist 35 are formed to be positioned over high concentration impurity diffusion layers 30 to which the capacitor is electrically connected, as described later.
Then, as shown in FIG. 15E, the first intermediate layer 34 and the first interlayer insulating layer 33 beneath the windows 35a are etched by RIE sequentially to form upper portions of storage contact holes 36.
Thereafter, as shown in FIG. 15F, the first covering layer 32 made of Si3N4 and exposed at the bottom of the windows 35a is etched under the above conditions to form lower portions of the storage contact holes 36. In the etching conditions, as shown in FIG. 5, the pressure is set to more than 250 mTorr and the etching selectivity exceeds more than 10. The power supplied to the electrode 3 of the RIE equipment 1 is set to 400 W and, for example, the flow rate of the CH2F2 gas is set to less than ⅕ of the flow rate of the Ar gas.
According to such conditions, since the etching rate of the first blanket layer 32 is high and anisotropic etching in the vertical direction can be achieved, the side etching hardly occurs in the first blanket layer 32 but the first protection film 32 still remains. Accordingly, since there is no possibility that the lateral recesses occur in the first covering film 32 on the first cap layers 26, the storage contact holes 36 are never connected. In addition, selectivity and anisotropy of the etching can be sufficiently assured, the first covering layer 32 on the side surfaces of the side walls 29 can be completely removed by excessively etching the first covering layer 32 and therefore the storage contact holes 36 can be prevented from being narrowed on the side surfaces of the side walls 39. In this case, the word lines WL are never exposed from the side walls 29 and the first cap layers 26.
In turn, under the condition shown in FIG. 16C, the first protection film 32 made of SiO2 and the gate insulating layer 23 are removed by the dilution hydrogen fluoride via the storage contact holes 36. Consequently, the high concentration impurity diffusion layers 30 can be exposed from the storage contact holes 36.
As shown in FIG. 15G, a polysilicon including impurity is then formed by CVD to be buried in the storage contact holes 36 after the photoresist 35 is removed, so that storage contact layers 37 made of polysilicon are formed. Since the polysilicon is also grown on the first intermediate layer 34, such polysilicon formed on areas other than the storage contact layers 37 is removed by etching.
After this, an underlying layer 38 of 200 Å thickness is formed on the first intermediate layer 34 and the storage contact layers 37. In addition, as shown in FIG. 15H, the underlying layer 38 is patterned using a resist mask 39 to form openings 40 over the high concentration impurity diffusion layers 30 to which bit lines described later are electrically connected.
Then, as shown in FIG. 15I, the first intermediate layer 34 and the interlayer insulating layer 33 positioned below the openings 40 are etched by RIE using the resist mask 39 to upper portions of bit line contact holes 41.
Then, the first covering layer 32, the first protection film 31, and the gate insulating layer 23 positioned below the openings 40 are etched by RIE to form lower portions of the bit line contact holes 41. The etching conditions are set to the same as in the case where the lower portions of the storage contact holes 36 are formed. As a consequence, such a condition can be achieved that side etching of the first covering layer 32 on the side surfaces of the bit line contact holes 41 scarcely occurs, and the first covering layer 32 do not remain on the side surfaces of the side walls 29, and also the word lines WL are not exposed from the side walls 29 and the first cap layers 26.
After this, as shown in FIG. 18A, bit lines BL are formed. Steps of forming the bit lines BL are given as follow.
A polysilicon layer 42, a silicide layer 43, and a second cap layer 44 are formed sequentially by CVD in the bit line contact holes 41 and on the underlying layer 38. These layers 42, 43, and 44 are formed under the same conditions as layer growth conditions used to form the word lines WL. In this case, since no first covering layer 32 remains on the side surfaces of the side walls 29 in the bit line contact holes 41 because of vertical anisotropic etching of the first covering layer 32, a contacting area between the polysilicon layer 42 and the high concentration impurity diffusion layer 30 can be assured as designed. Further, since the word lines WL are never exposed from the side walls 29 and the first cap layers 26, the polysilicon 42 constituting the bit line contact portion is in no way brought into contact with the word line WL.
The polysilicon layer 42, the silicide layer 43, and the second cap layer 44 are patterned to form the bit lines BL. A part of the bit line BL is connected to the high concentration impurity diffusion layer 30 via the bit line contact holes 41. Then, insulating side walls 45 are formed on the side surface of the bit line BL in the same manner as the side walls 29 on the side surfaces of the word lines WL are formed.
Then, as shown in FIG. 15K, a second protection film 46 made of SiO2, a second covering layer 47 made of Si3N4, and a second interlayer insulating layer 48 made of BPSG are formed in sequence by CVD.
Next, the second protection film 46, the second covering layer 47, and the second interlayer insulating layer 48 are patterned to form capacitor forming openings 49 on the storage contact layer 37. Capacitors each being made up of storage electrode, a dielectric film, and an opposing electrode are formed in the capacitor forming openings 49 respectively.
As constituent material for the side walls 29, 45, Si3N4 in place of SiO2 may be employed together with the above process. However, is such case, it is preferable that the gate insulating layer 26 therebetween should be formed of Si3N4. It has been confirmed according to the experiment that a low frequency below 13.56 MHZ may be similarly used as a frequency of the power supply applied to the substrate if RIE is used, but there can be brought about an effect of improving selective etching of the silicon nitride film at 400 kHz.
The RIE equipment has been employed in the above explanation, but the almost similar effect can be obtained by ECR plasma etching.
Moreover, as the gas to be added to the fluorine gas, other inert gas such as helium or other gas may be employed in addition to argon.
Etching of the silicon nitride film in LOCOS and SAL has been explained in the above example. In addition to this, the above etching process may be applied to the case where the side walls made of Si3N4 are formed or the silicon nitride mask which is used to form recesses in the silicon substrate is formed.
THIRD EXAMPLE
Next, a step of selectively etching the silicon nitride in forming the contact holes by the BLC technique will be explained.
First, steps of forming up to a structure shown in FIG. 16A will be explained.
A groove 52 is formed in the device isolation region of the silicon substrate 51, and then a buried insulating film 53 made of silicon oxide is filled into the groove 52. As a method of filling the buried insulating film 53 into the groove 52, such a method can be employed, for example, that the buried insulating film 53 is formed by CVD in the groove 52 and on the silicon substrate 51 and then the buried insulating film 53 on a surface of the silicon substrate 51 is removed by polishing.
A gate insulating film 54, a gate electrode 55, and a gate covering insulating film 56 are then formed in an active region. Then, low- impurity concentration regions 57s, 57d are formed by ion-implanting the impurity into the silicon substrate 51 on both sides of the gate electrode 55 at a low dosage by use of the gate electrode 55 as a mask. The impurity is phosphorous (P), for example, and has an opposite conductivity to the impurity included in the silicon substrate 51.
Then, an insulating film 58 made of silicon nitride or silicon nitride oxide is formed on the silicon substrate 51, the gate covering insulating film 56, and the low- impurity concentration regions 57s, 57d to have a thickness of 50 nm.
Thereafter, the silicon substrate 51 is loaded in the chamber 2 of the RIE equipment 1 shown in FIG. 1. Then, as shown in FIG. 16B, the insulating film 58 is etched in the substantially vertical direction by RIE such that the insulating film 58 remains on side surfaces of the gate electrode 55 and the gate covering insulating film 56. Such insulating film 128 remaining on the side surfaces of the gate electrodes 125 are used as the side walls.
During reactive ion etching, as mentioned above, the fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and the inert gas such as Ar, He, etc. are introduced into the chamber 2. The flow rate of the fluorine compound gas is set to 5 to 50 sccm, and the flow rate of the inert gas is set more than three times the flow rate of the fluorine compound gas. The pressure in the chamber 2 is set to 10 to 500 mTorr, the substrate heating temperature is set in the range of 20 to 95° C., and the supply power of the radio frequency power supply RF is set in the range of 350 to 700 W.
Under these conditions, the etching selectivity of the silicon nitride to the silicon oxide can be enhanced. Therefore, since the buried insulating film 53 made of silicon oxide is difficult to be etched in the etching step to form the side wall 58s, the silicon substrate 51 is hard to exposed from the side surfaces of the groove 52. In addition, since the surface of the silicon substrate 51 is difficult to be etched, the low- impurity concentration regions 57s, 57d can be suppressed from being thinned.
Then, as shown in FIG. 16C, using the side walls 58 and the gate covering insulating film 56 as a mask, impurity such as arsenic (As) is ion-implanted at a high dosage into the active region which is not covered with the gate electrode 55 and the side walls 58. Therefore, high- impurity concentration regions 59s, 59d are formed in the active region.
The LDD structure impurity diffusion layers 60s, 60d can be formed on both sides of the gate electrode 55 by the high- impurity concentration regions 59s, 59d and the low- impurity concentration regions 57s, 57d.
Then, as shown in FIG. 16D, a metal film 61 made of cobalt (Co) is formed by sputtering to cover the side wall 58s and the silicon substrate 51.
Then, by heating the metal film 61 and the low- impurity concentration regions 57s, 57d at a temperature of 550° C., silicon and metal as constituent material can be alloyed. As a result, the silicide layers 62s, 62d are formed on surfaces of the low- impurity concentration regions 57s, 57d by the salicide technique. Then, as shown in FIG. 16E, the metal film 61 which does not react yet and remains on the silicon substrate 51 is removed.
After this, as shown in FIG. 16F, the silicon nitride film 63 is formed in the active region and the device isolation region, and then an interlayer insulating film 64 such as PSG, BSG, or SiO2 including the silicon oxide is formed on the silicon nitride film 63. Then, the interlayer insulating film 64 is planarized by chemical-mechanical polishing, then a photoresist 65 is coated on the interlayer insulating film 64, and then windows 65a are formed over the high- impurity concentration regions 59s, 59d in the photoresist 65 by selectivity exposing and developing the photoresist 65.
Then, the silicon substrate 51 is loaded in the chamber 2 of the RIE equipment 1 shown in FIG. 1. Then, as shown in FIG. 16G, the interlayer insulating film 64 is etched using the photoresist 65 as a mask. Then, as shown in FIG. 16H, contact holes 66 are formed by etching the silicon nitride film 63.
The etching of the interlayer insulating film 64 can be etched by the gas including C4F8, CO, Ar, for example. According to such etching gas, the etching selectivity of the interlayer insulating film 64 to the silicon nitride film 63 can be made high so that the silicon nitride film 63 can remain.
As described above, during the etching of the silicon nitride film 63, the fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and the inert gas such as Ar, He, etc. are introduced into the chamber 2. The flow rate of the fluorine compound gas is set to 5 to 50 sccm, and the flow rate of the inert gas is set more than three times the flow rate of the fluorine compound gas. The pressure in the chamber 2 is set to 10 to 500 mTorr, the substrate heating temperature is set in the range of 20 to 95° C., and the supply power of the radio frequency power supply RF is set in the range of 350 to 700 W.
Under these conditions, the etching rate of the silicon nitride film 63 can be enhanced and also etching of the silicon oxide film 53 and the silicon substrate 51 can be suppressed. As a consequence, the silicon substrate 51 can be prevented from being exposed from the groove 52.
Thereafter, as shown in FIG. 16I, the photoresist 65 is removed by the solvent, then as shown in FIG. 16J, conductive films 67s, 67d are filled in the contact hole 66, and then wirings 68s, 68d are formed on the interlayer insulating film 64. In this case, the wirings 68s, 68d are electrically connected to the LDD structure impurity diffusion layers 60s, 60d. The conductive films 67s, 67d in the contact hole 66 are not connected substantially to the silicon substrate 51 beneath the impurity diffusion layers 60s, 604 but connected only to the silicide layers 62s, 62d. As a result, leakage current flowing from the wirings 68s, 68d to the silicon substrate 51 can be suppressed.
According to the present invention described above, since the silicon nitride layer is dry-etched while using the fluorine gas composed of any one of CH2F2, CH3, and CHF3 and the inert gas, the etching rate of the silicon nitride layer can be enhanced 10 times or more the etching rate of the silicon oxide layer or the silicon layer beneath the silicon nitride layer and in addition the anisotropic etching thereof can be achieved.
Accordingly, because such etching process is employed in the SAC step for the semiconductor device, the silicon nitride layer can be excessively etched not to remain the silicon nitride on the side surfaces of the side walls of the gate electrodes and furthermore the silicon oxide layer covering the gate electrodes is never removed by etching. As a result, the contact region formed between two side walls can be prevented from being narrowed and also short-circuit between the gate electrodes and conductive layers in the contact holes can be prevented.
Various advantages not referred to herein will occur to one skilled in the art in employing of the invention in practice. Many variations of such embodiments exist and such variations are intended to be within the scope of the present invention and the appended claims.

Claims (16)

What is claimed is:
1. A method of etching a silicon nitride layer comprising the steps of:
forming a silicon nitride layer on a silicon layer or a silicon oxide layer above a substrate;
loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and
selectivity etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and an inert gas to the dry etching atmosphere,
wherein a flow rate of the fluorine compound gas is set to 5 to 50 sccm, a flow rate of the inert gas is set more than three times a flow rate of the fluorine compound gas, a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and a RF power applied to the silicon nitride layer is set to 1.1 to 5.5 W/cm2, and a temperature of said substrate is set heated in a range of 20° C. to 95° C. so as to enhance an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer.
2. A method of etching a silicon nitride layer according to claim 1, wherein the etching selectivity of silicon nitride with respect to the silicon layer or silicon oxide layer is set to be greater than 10.
3. A method of etching a silicon nitride layer according to claim 1, wherein the inert gas consists of an argon gas and a helium gas.
4. A method of etching a silicon nitride layer according to claim 1, wherein the dry etching is reactive ion etching.
5. A method of etching a silicon nitride layer according to claim 1, wherein an etching rate of the silicon nitride layer is set more than 200 nm/min.
6. A method of etching a silicon nitride layer according to claim 1, wherein two electrodes are arranged in the dry etching atmosphere, and an RF power of 13.56 Hz MHz or less is applied between the two electrodes, and
a distance between the two electrodes is set to 5 to 70 mm.
7. A method of manufacturing a semiconductor device comprising the steps of:
forming a plurality of gate electrodes at a distance on a semiconductor substrate separately;
forming insulating side walls on side surfaces of the gate electrodes;
forming a silicon nitride layer to cover the insulating side walls, the gate electrodes, and the semiconductor substrate;
forming an interlayer insulating layer on the silicon nitride layer;
forming contact holes vertically between the gate electrodes by patterning the interlayer insulating layer; and
etching the silicon nitride layer via the contact holes in an atmosphere into which a fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and an inert gas are introduced,
wherein a pressure of the atmosphere is set to 250 mTorr or more, and a flow rate of the fluorine compound gas is set to ⅕ or less of a flow rate of the inert gas, so as to enhance both an anisotropic etching in a vertical direction and an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer.
8. A method of manufacturing a semiconductor device comprising the steps of:
forming gate electrodes in a device forming region of a silicon substrate via an insulating layer;
forming an insulating layer made of a silicon nitride or silicon nitride oxide to cover the gate electrodes and the device forming region; and
etching the insulating layer in a vertical direction in an atmosphere into which a fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and an inert gas are introduced, so as to remain the insulating layer on side surfaces of the electrodes as side walls,
wherein a flow rate of the fluorine compound gas is set to 5 to 50 sccm, a flow rate of the inert gas is set more than three times a flow rate of the fluorine compound gas, a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and a RF power applied to the silicon nitride layer is set to 350 to 700 W, and a temperature of said substrate is set heated in a range of 20° C. to 95° C. so as to enhance an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer.
9. A method of manufacturing a semiconductor device comprising the steps of:
forming grooves in a semiconductor substrate to be adjacent to a device forming region of the semiconductor substrate;
filling buried insulating material made of silicon oxide in the grooves;
forming a gate electrode on the device forming region of the semiconductor substrate via a gate insulating layer;
forming impurity diffusion layers on side surfaces of the gate electrode on the semiconductor substrate;
forming a silicon nitride layer to cover the impurity diffusion layer, the buried insulating material, and the gate electrode; and
etching partially the silicon nitride layer in an atmosphere into which a fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and an inert gas are introduced, so as to form a contact hole in a region spreading from the groove to the impurity diffusion layer,
wherein a flow rate of the fluorine compound gas is set to 5 to 50 sccm, a flow rate of the inert gas is set more than three times a flow rate of the fluorine compound gas, a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and a RF power applied to the silicon nitride layer is set to 350 to 700 W, and a temperature of said substrate is set heated in a range of 20° C. to 95° C. so as to enhance an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer.
10. A method of etching a silicon nitride layer comprising the steps of:
forming a silicon nitride layer on a silicon layer or a silicon oxide layer above a substrate;
loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and
selectivity etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas consisting of any one of CH2F2, CH3F, and CHF3 and an inert gas to the dry etching atmosphere,
wherein an anisotropy of the etching, an etching rate of the silicon nitride layer, and an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer are simultaneously enhanced by controlling a flow rate of the fluorine compound gas.
11. A method of etching a silicon nitride layer comprising the steps of;
forming a silicon nitride layer on a silicon layer or a silicon oxide layer above a substrate;
loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and
selectivity etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas comprising of any one of CH2F2, CH3F, and an inert gas to the dry etching atmosphere,
wherein an anisotropy of the etching, an etching rate of the silicon nitride layer, and an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer are simultaneously enhanced by controlling a flow rate of the fluorine compound gas.
12. A method of etching a silicon nitride layer comprising the steps of:
forming a silicon nitride layer on a silicon layer or a silicon oxide layer above a substrate;
loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and
selectively etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas comprising of any one of CH2F2, CH3F, and an inert gas to the dry etching atmosphere,
wherein a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and said substrate is heated in a range of 20° C. to 95° C., and
wherein an anisotropy of the etching, an etching rate of the silicon nitride layer, and an etching selectivity of the silicon nitride layer with respect to the silicon layer or the silicon oxide layer are simultaneously enhanced by controlling a flow rate of the fluorine compound gas.
13. A method of etching a silicon nitride layer comprising the steps of:
forming a silicon nitride layer on a silicon layer or a silicon oxide layer above a substrate;
loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere; and
selectivity etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine compound gas comprising of any one of CH2F2, CH3F, and an inert gas to the dry etching atmosphere,
wherein a flow rate of the fluorine compound gas is set to 5 to 50 sccm, a flow rate of the inert gas is set more than three times a flow rate of the fluorine compound gas, a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and a RF power applied to the silicon nitride layer is set to 1.1 to 5.5 W/cm2, and said substrate is heated in a range of 20° C. to 95° C.
14. A method of manufacturing a semiconductor device comprising the steps of:
forming gate electrodes in a device forming region of a silicon substrate via an insulating layer;
forming an insulating layer made of a silicon nitride or silicon nitride oxide to cover the gate electrodes and the device forming region; and
etching the insulating layer in a vertical direction in an atmosphere into which a fluorine compound gas comprising of any one of CH2F2, CH3F, and an inert gas are introduced, so as to remain the insulating layer on side surfaces of the electrodes as side walls,
wherein a flow rate of the fluorine compound gas is set to 5 to 50 sccm, a flow rate of the inert gas is set more than three times a flow rate of the fluorine compound gas, a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and a RF power applied to the silicon nitride layer is set to 350 to 700 W, and said substrate is heated in a range of 20° C. to 95° C.
15. A method of manufacturing a semiconductor device comprising the steps of:
forming a plurality of gate electrodes at a distance on a semiconductor substrate separately;
forming insulating side walls on side surfaces of the gate electrodes;
forming a silicon nitride layer to cover the insulating side walls, the gate electrodes, and the semiconductor substrate;
forming an interlayer insulating layer on the silicon nitride layer;
forming contact holes vertically between the gate electrodes by patterning the interlayer insulating layer; and
etching the silicon nitride layer via the contact holes in an atmosphere into which a fluorine compound gas comprising of any one of CH2F2, CH3F, and an inert gas are introduced,
wherein a pressure of the atmosphere is set to 250 mTorr or more, and a flow rate of the fluorine compound gas is set to ⅕ or less of a flow rate of the inert gas.
16. A method of manufacturing a semiconductor device comprising the steps of:
forming grooves in a semiconductor substrate to be adjacent to a device forming region of the semiconductor substrate;
filling buried insulating material made of silicon oxide in the grooves;
forming a gate electrode on the device forming region of the semiconductor substrate via a gate insulating layer;
forming impurity diffusion layers on side surfaces of the gate electrode on the semiconductor substrate;
forming a silicon nitride layer to cover the impurity diffusion layer, the buried insulating material, and the gate electrode; and
etching partially the silicon nitride layer in an atmosphere into which a fluorine compound gas comprising of any one of CH2F2, CH3F, and an inert gas are introduced, so as to form a contact hole in a region spreading from the groove to the impurity diffusion layer,
wherein a flow rate of the fluorine compound gas is set to 5 to 50 sccm, a flow rate of the inert gas is set more than three times a flow rate of the fluorine compound gas, a pressure of the dry etching atmosphere is set to 10 to 500 mTorr, and a RF power applied to the silicon nitride layer is set to 350 to 700 W, and said substrate is heated in a range of 20° C. to 95° C.
US10/830,498 1997-02-25 2004-04-23 Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas Expired - Lifetime USRE44292E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/830,498 USRE44292E1 (en) 1997-02-25 2004-04-23 Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP9-040963 1997-02-25
JP4096397 1997-02-25
US09/030,018 US6376386B1 (en) 1997-02-25 1998-02-25 Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US10/830,498 USRE44292E1 (en) 1997-02-25 2004-04-23 Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/030,018 Reissue US6376386B1 (en) 1997-02-25 1998-02-25 Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas

Publications (1)

Publication Number Publication Date
USRE44292E1 true USRE44292E1 (en) 2013-06-11

Family

ID=12595149

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/030,018 Ceased US6376386B1 (en) 1997-02-25 1998-02-25 Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US10/830,498 Expired - Lifetime USRE44292E1 (en) 1997-02-25 2004-04-23 Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/030,018 Ceased US6376386B1 (en) 1997-02-25 1998-02-25 Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas

Country Status (2)

Country Link
US (2) US6376386B1 (en)
KR (1) KR100295518B1 (en)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010028673A (en) * 1999-09-22 2001-04-06 윤종용 Method for forming contact hole in semiconductor device using reactive ion etching
JP4381526B2 (en) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 Plasma etching method
US6610608B1 (en) * 2001-03-16 2003-08-26 Advanced Micro Devices, Inc. Plasma etching using combination of CHF3 and CH3F
US20030121888A1 (en) * 2001-11-30 2003-07-03 Kenji Adachi Etching method
DE10301243B4 (en) 2003-01-15 2009-04-16 Infineon Technologies Ag Method for producing an integrated circuit arrangement, in particular with capacitor arrangement
JP4450597B2 (en) * 2003-09-24 2010-04-14 東京エレクトロン株式会社 Method for forming a microlens
KR100657083B1 (en) * 2004-04-07 2006-12-13 주식회사 하이닉스반도체 Method for fabricating semiconductor devices
JP4262267B2 (en) * 2005-09-06 2009-05-13 キヤノン株式会社 MOLD, IMPRINT APPARATUS AND DEVICE MANUFACTURING METHOD
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6059165B2 (en) * 2014-02-19 2017-01-11 東京エレクトロン株式会社 Etching method and plasma processing apparatus
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6230954B2 (en) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 Etching method
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021017602A (en) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 Manufacturing method of microstructure, and manufacturing apparatus of microstructure
KR102594634B1 (en) * 2020-12-09 2023-10-25 에스케이스페셜티 주식회사 Etching Method of Silicon-containing Film and Manufacturing Method of Semiconductor Device including the Same

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59222933A (en) 1983-06-01 1984-12-14 Hitachi Ltd Etching method
JPS61142744A (en) 1984-12-17 1986-06-30 Sony Corp Etching gas and etching method using said gas
JPS62102530A (en) 1985-10-29 1987-05-13 Sony Corp Etching gas and etching method using the same
JPS62163328A (en) 1986-01-14 1987-07-20 Matsushita Electric Ind Co Ltd Dry etching method
US4844773A (en) 1987-07-16 1989-07-04 Texas Instruments Incorporated Process for etching silicon nitride film
JPH02240927A (en) 1989-03-14 1990-09-25 Matsushita Electron Corp Manufacture of insulating film for isolation of element in semiconductor device
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
JPH0677175A (en) 1991-07-29 1994-03-18 Sony Corp Etching method of silicon nitride on silicon oxide
DE4232475A1 (en) 1992-09-28 1994-03-31 Siemens Ag Plasma chemical dry etching of silicon nitride layers - includes using cpds. contg. fluorine, chlorine bromine and iodine as etching gases
JPH0774145A (en) 1993-06-30 1995-03-17 Toshiba Corp Method and apparatus for surface treatment
JPH07335612A (en) 1994-06-13 1995-12-22 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JPH08111405A (en) 1994-08-17 1996-04-30 Sumitomo Metal Ind Ltd Manufacturing for semiconductor device
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5843846A (en) * 1996-12-31 1998-12-01 Intel Corporation Etch process to produce rounded top corners for sub-micron silicon trench applications
US6731008B1 (en) * 1996-04-22 2004-05-04 Renesas Technology Corp. Semiconductor device with conductive contact layer structure

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59222933A (en) 1983-06-01 1984-12-14 Hitachi Ltd Etching method
JPS61142744A (en) 1984-12-17 1986-06-30 Sony Corp Etching gas and etching method using said gas
JPS62102530A (en) 1985-10-29 1987-05-13 Sony Corp Etching gas and etching method using the same
JPS62163328A (en) 1986-01-14 1987-07-20 Matsushita Electric Ind Co Ltd Dry etching method
US4844773A (en) 1987-07-16 1989-07-04 Texas Instruments Incorporated Process for etching silicon nitride film
JPH02240927A (en) 1989-03-14 1990-09-25 Matsushita Electron Corp Manufacture of insulating film for isolation of element in semiconductor device
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
JPH0677175A (en) 1991-07-29 1994-03-18 Sony Corp Etching method of silicon nitride on silicon oxide
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
DE4232475A1 (en) 1992-09-28 1994-03-31 Siemens Ag Plasma chemical dry etching of silicon nitride layers - includes using cpds. contg. fluorine, chlorine bromine and iodine as etching gases
US5503901A (en) 1993-06-30 1996-04-02 Kabushiki Kaisha Toshiba Surface treatment method and surface treatment apparatus
JPH0774145A (en) 1993-06-30 1995-03-17 Toshiba Corp Method and apparatus for surface treatment
JPH07335612A (en) 1994-06-13 1995-12-22 Hitachi Ltd Manufacture of semiconductor integrated circuit device
US5874013A (en) 1994-06-13 1999-02-23 Hitachi, Ltd. Semiconductor integrated circuit arrangement fabrication method
JPH08111405A (en) 1994-08-17 1996-04-30 Sumitomo Metal Ind Ltd Manufacturing for semiconductor device
US6731008B1 (en) * 1996-04-22 2004-05-04 Renesas Technology Corp. Semiconductor device with conductive contact layer structure
US5843846A (en) * 1996-12-31 1998-12-01 Intel Corporation Etch process to produce rounded top corners for sub-micron silicon trench applications

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
I. Nakayama et al., 62-163328, Japan, Dry Etching Method Kokai Jul. 20, 1987 English Abstract Only.
Kadomura, 62-69622, Japan, Etching of Nitride Film Kokai Mar. 30, 1987 English Abstract Only.
Office Action from Korean Patent Office, Patent Appln. No. 10-1988-0005931, dated Jul. 24, 2000 (English only).
S. Kadomura, 61-142744, Japan, Etching Gas and Etching Method Using Said Gas Kokai Jun. 30, 1986 English Abstract Only.
S. Kadomura, 6-77175, Japan, Etching Method of Silicon Nitride on Silicon Oxide Kokai Mar. 18, 1994 English Abstract Only.
W. Kamisaka, 2-240927, Japan, Manufacture of Insulating Film for Isolation of Element in Semiconductor Device Kokai Sep. 25, 1990 English Abstract Only.
Y. Kawamoto et al., 59-222933, Japan, Etching Method Kokai Dec. 14, 1984 English Abstract Only.

Also Published As

Publication number Publication date
KR19980071698A (en) 1998-10-26
KR100295518B1 (en) 2001-11-30
US6376386B1 (en) 2002-04-23

Similar Documents

Publication Publication Date Title
USRE44292E1 (en) Method of etching silicon nitride by a mixture of CH2F2, CH3F or CHF3 and an inert gas
US5670404A (en) Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US5323037A (en) Layered capacitor structure for a dynamic random access memory device
US6159839A (en) Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US5874359A (en) Small contacts for ultra large scale integration semiconductor devices without separation ground rule
US5607879A (en) Method for forming buried plug contacts on semiconductor integrated circuits
US6255160B1 (en) Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells
US8129770B2 (en) Semiconductor device and manufacturing method thereof
US5340774A (en) Semiconductor fabrication technique using local planarization with self-aligned transistors
US20060264032A1 (en) Formation of self-aligned contact plugs
US5480837A (en) Process of making an integrated circuit having a planar conductive layer
US5164331A (en) Method of forming and etching titanium-tungsten interconnects
US6037211A (en) Method of fabricating contact holes in high density integrated circuits using polysilicon landing plug and self-aligned etching processes
US6274471B1 (en) Method for making high-aspect-ratio contacts on integrated circuits using a borderless pre-opened hard-mask technique
KR100753083B1 (en) Method for forming recess channel in semiconductor device
US6331478B1 (en) Methods for manufacturing semiconductor devices having chamfered metal silicide layers
US5994227A (en) Method of manufacturing semiconductor device
US6808975B2 (en) Method for forming a self-aligned contact hole in a semiconductor device
US6214662B1 (en) Forming self-align source line for memory array
US5849625A (en) Planar field oxide isolation process for semiconductor integrated circuit devices using liquid phase deposition
US6239011B1 (en) Method of self-aligned contact hole etching by fluorine-containing discharges
JP3681533B2 (en) Silicon nitride layer etching method and semiconductor device manufacturing method
US5854135A (en) Optimized dry etching procedure, using an oxygen containing ambient, for small diameter contact holes
JP2000307001A (en) Manufacture of semiconductor device
JP2850833B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OSHIMA, TADASHI;REEL/FRAME:025069/0158

Effective date: 20100930

FPAY Fee payment

Year of fee payment: 12

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: CHANGE OF ADDRESS;ASSIGNOR:FUJITSU SEMICONDUCTOR LIMITED;REEL/FRAME:041188/0401

Effective date: 20160909