WO1989005517A1 - Self-aligned, planarized contacts for semiconductor devices - Google Patents

Self-aligned, planarized contacts for semiconductor devices Download PDF

Info

Publication number
WO1989005517A1
WO1989005517A1 PCT/US1988/004292 US8804292W WO8905517A1 WO 1989005517 A1 WO1989005517 A1 WO 1989005517A1 US 8804292 W US8804292 W US 8804292W WO 8905517 A1 WO8905517 A1 WO 8905517A1
Authority
WO
WIPO (PCT)
Prior art keywords
plugs
contacts
polysilicon
layer
conducting
Prior art date
Application number
PCT/US1988/004292
Other languages
French (fr)
Inventor
Jacob D. Haskell
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Publication of WO1989005517A1 publication Critical patent/WO1989005517A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to semiconductor devices, such as transistors, specifically, field effect transistors (FETs), and, more particularly, to a new process for preparing contacts for such devices, resulting in a novel, planarized, completely self-aligned structure.
  • semiconductor devices such as transistors, specifically, field effect transistors (FETs)
  • FETs field effect transistors
  • CMOS complementary MOS
  • the depth of focus of the lithography stepper becomes smaller.
  • the different heights of different features generate a depth of field problem.
  • the spacing of contacts to the polysilicon gate and to the field oxide becomes critical at smaller dimensions. It will be appreciated that due to the use of separate alignment steps, the margin of error in aligning the contact can, if not adequate, result in either (a) etching through the field oxide, with consequent shorting of the silicon substrate to the diffusion source or drain region by the contact, or (b) contacting the polysilicon gate, with consequent shorting of the gate to the source or drain contact.
  • Such misalignments are accommodated by allowing a substantial space between source, drain and gate and between source, drain and field edge. As a result, high packing density is sacrificed.
  • Another requirement of the present processing scheme is that the gate contact is made to an interconnect which extends at right angle to the source-gate-drain line. Such a contact requires considerably more area than a contact directly down to the gate.
  • the use of separate alignments dictate the present processing scheme, in order to avoid potential misalignment problems.
  • a new contact structure and process for fabricating the same are provided.
  • the process of the invention employs planarization processes and total self-alignment to avoid most, if not all, the disadvantages associated with the prior art process. As a result, high packing densities may be achieved.
  • Planarization avoids the depth of field problem. Self-alignment easily permits various components of the devices to be interconnected in any manner selected by the engineer, without the misalignment area penalties discussed earlier.
  • the process of the invention permits the use of plugs of polysilicon, the tops of which can be contacted to reduce gate contact area requirements. Feature distances of 0.5 ⁇ m and less may be achieved by the process of the invention.
  • Polysilicon plug contacts through slots in the multi-structure layer permit vertical contact to be made to the various elements. Silicidation of the polysilicon plugs reduces series resistance in the vertical direction, and permits using both N and P poly silicon electrodes for device scaling of n- and p-MOSFETs.
  • self-aligned, planarized contacts are provided in a semiconductor device comprising elements formed in a major surface of a semiconductor substrate.
  • the contacts comprise ver- tically-disposed plugs of a conducting material, such as polysilicon, one end of which plugs contact the surface and the other of at least some of which plugs terminate in a planar region, for contacting by a planar interconnect.
  • a plurality of the plugs have a con- stant dimension in at least one direction parallel to said substrate and are aligned with each other.
  • the process of the invention comprises a process for fabricating self-aligned, planarized contacts for planarized interconnects in semiconductor devices, such as CMOS transistors.
  • Plugs comprising a conducting material, such as polysilicon are formed to regions of a semiconductor device formed in a major surface of a semiconductor, suitably isolated from adjacent devices. The plugs are separated by a dielectric material and terminate in the plane defined by the surface of the dielectric material.
  • the process comprises
  • FIGS. 1-14 depict the sequence of events in the process of the invention, with the "a" designation depicting cross-sectional views and the "b" designation depicting top plan views.
  • FIGS. 15-19 depict alternate embodiments in various process sequences.
  • the process of the invention in its preferred form, is totally non-LOCOS. However, it will be appreciated by those skilled in the art that portions of the process may be incorporated in the present LOCOS process to realize the benefits disclosed herein. Further, while the process of the invention is directed specifically to employing silicon as the semiconductor, it will be clear to those skilled in the art that the teachings of the invention may be extended to other semiconductors, with suitable modifications in etch-stop layers and the like.
  • the process of the invention begins with the formation of an isolation trench 10 surrounding the area in which a device, (here, an MOS transistor 14, seen in FIG. 11a), is to be fabricated in a P-well or N-well region 12 in a semiconductor substrate 16.
  • a device here, an MOS transistor 14, seen in FIG. 11a
  • transistors 14 comprise source 18 and drain 20 elements, with a gate area 22 therebetween to regulate the extent of a channel 24 therebetween (seen in FIG. 10a) .
  • the formation of P-wells and N-wells is known, and hence does not form a part of this invention.
  • a plurality of trenches 10 surrounding well regions 12 in a semiconductor substrate 16 are etched through a resist mask 23 formed on top of a nitride mask 25 formed on the surface 16' of the substrate.
  • the resist 23 and the nitride 25 are then stripped and the trenches 10 are filled with an oxide 26, as shown in FIG. 2a.
  • the etching of the trench is done employing well-known processes, such as an RIE (reactive ion etch) process followed by suitable wet silicon damage removal etches.
  • the oxide 26 used to fill the trenches 10 may be a low temperature oxide or a preferred 750oC TE0S (tetra-ethyl ortho silicate).
  • the oxide is etched back to be planar with the surface 16' of the silicon substrate 16.
  • the planarizing is done by a combination of chemical and reactive ion etching processes commonly used in the art for oxide planarization.
  • the isolation trench 10 is created by etching a four-walled trench to outline an active region of the appropriate length (X) and width (Y). In the process of the invention, the trench 10 has a rectangular configuration, when viewed in top plan view (FIG. 3b), defining an active area (X ⁇ Y) of about 5 ⁇ m by 2 ⁇ m (for 1 ⁇ m design rules).
  • the isolation trench is typically about 0.5 to 1 ⁇ m wide and about 0.4 to 0.6 ⁇ m deep.
  • the size is governed by lithography alignment constraints and filling of the trenches by subsequently deposited oxide.
  • FIG. 3a While one complete trench is shown in the FIG. 3a, it will be appreciated that a plurality of such trenches is employed on a single semiconductor substrate 16, as shown in FIG. 2a, each delineating an active area of a device. Of course, as the technology of feature definition continues to improve with new resists and resist development processes, these dimensions will also decrease.
  • a specific sequence of layers 28 is formed on the surface of the substrate 16, as shown in FIG. 3a.
  • the particular sequence of layers 28 is the crux of the invention.
  • This multi-layer structure includes a buried stop-etch layer, essential in the practice of the invention.
  • a field oxide 28a having a thickness of about 2,500 A ⁇ 5%, is formed on the surface of the substrate 16.
  • the thickness of the field oxide 28a can be targeted consistent with the field threshold voltage required and subsequent Implant energy available for forming N- and P- connectors, described below.
  • the formation of the field oxide 28a in itself is not novel, and is formed by a conventional deposition process to the required thicknesses.
  • the thickness selected depends upon the implant energy needed to implant therethrough versus the polysilicon left to block the implant, as discussed below in connection with FIG. 10a.
  • the field oxide 28a should be as thin as possible consistent with the required field inversion voltages.
  • the thickness of this layer 28a should be substantially uniform across the surface of the wafer, in order to best realize the benefits of the invention.
  • the field oxide comprises silicon dioxide.
  • a thin layer 28b of an etch-stop material is formed on the field oxide 28a.
  • the etch-stop material 28b comprises a material having a significantly different etch rate from silicon dioxide and is important in the practice of the invention, as will be seen below.
  • a suitable etch-stop material comprises silicon nitride, having a thickness of about 800 A ⁇ 5%.
  • the nitride can be deposited by LPCVD (low pressure chemical vapor deposition) or PECVD (plasma-enhanced CVD). The minimum and maximum thickness depend on the etch uniformity of the process and apparatus.
  • nitride layer 28b Over the nitride layer 28b is formed another layer 28c of oxide, ranging in thickness from about 5,500 to 6,500 A.
  • This oxide layer is conveniently formed in the same manner as the field oxide.
  • This oxide layer 28c governs the depth of polysilicon plugs to be formed as discussed below and governs what remains of the polysilicon layer (interconnect polysilicon) following etch-back at the anti-contact mask, also discussed below, in connection with FIG. 8b.
  • the thickness of the oxide layer 28c must be greater than the sum of about 4,000 A of polysilicon removed and the residual interconnect thickness needed (about 2,000 A) at that stage.
  • a layer 28d of polysilicon is formed on the oxide layer 28c to a thickness ranging from about 2,000 to 2,500 A.
  • This layer 28d has a dual purpose: it serves both as an etch mask and as a lithography "enhancement" layer, as explained in connection with FIG. 5b. While materials other than polysilicon may be used that have a good etch ratio to silicon dioxide, such as silicon nitride, polysilicon has several advantages. First, it has uniform reflectivity so that lithography is easier to control. Second, it has a better than 20:1 etch ratio to silicon dioxide so that it doubles as an etch mask even if overlying resist gets eroded away during the subsequent etching, thereby preventing dimension control losses.
  • the foregoing layers 28a-d may be sequentially deposited in one pump-down to reduce defect density.
  • a layer of resist 30 is next formed on the top polysilicon layer 28d and is exposed to a "slot" mask (dashed lines 32 in FIG. 4b), which simultaneously provides for mutual self-alignment between source 18, gate 22 and drain 20 regions.
  • the slot mask 32 may also Include provision for contact to other devices via extension 33 and for contact to the gate 22 via extension 35 and any other combinations thereof.
  • It is a total level of interconnect, compared to conventional polysilicon, which is a half level. (The conventional half-level requires another level of interconnect to complete the connections.) In this manner, packing density of devices may be increased by nearly 50% over that of the prior art LOCOS process without critical alignment requirements. Further increases in density may be achieved as the technology of finer resist definition develops.
  • the slot mask defines the polysilicon interconnect areas in the field and the source/gate/drain areas of all the devices.
  • the slot masks can be at minimum feature and minimum feature spaces that may be defined lithographically.
  • the source/gate/- drain areas are self-aligned with each other so far.
  • the resist 30 can be exposed by electromagnetic radiation (visible, UV, X-ray, as appropriate), as is conventional, and the undesired portions of the underlying four layers 28 are removed, such as by etching, to expose portions of the semiconductor substrate 16 corresponding to the source 18, gate 22 and drain 20 regions.
  • the four layers 28 are etched as follows: First, the exposed portions of the polysilicon layer 28d are etched using an RIE etcher, followed by etching the exposed portions of the oxide layer 28c, again, using an RIE etcher. This latter etch stops at the nitride layer 28b, since the etch rate of the oxide can be adjusted with etch parameters to be about five times that of the nitride. This method of etching allows controlled manufacturability of the etch, since the nitride layer 28b acts as a built-in "buried" etch stop.
  • the two etchings may be performed in one pump-down.
  • the nitride layer 28b may be etched to the field oxide also, if it is desired to remove nitride from underneath the polysilicon layer 28d eventually.
  • the RIE etch process employs a mixture of oxygen and fluorinated gas, such as CHF 3 , CF 4 , NF 3 , and the like. Controlled etch ratios between oxide and nitride are achieved by varying the ratio of the gases and, in some instances, the power of the etcher.
  • the RIE etch process is well-known and thus does not per se form a part of this invention.
  • the resist 30 is stripped and the wafer is remasked, using an "active" mask (denoted by solid lines 34 in FIG. 5b, the trench 10 being omitted for clarity) in conjunction with a new resist layer 36. The purpose of this mask is two-fold.
  • the etch is completed to silicon or to the exposed oxide in the slots. Some of this exposed oxide in the trenches 10 will be etched ( ⁇ 500 A), corresponding to the required over-etch needed to clear the field oxide areas in the source 18, gate 22, and drain 20 openings down to the silicon substrate 16.
  • the mask covers this with resist and prevents etching.
  • the oxide 28a in the field region is ultimately retained under the polysilicon extensions 33, 35 in the field region, which is outside the area enclosed by the isolation trench 10 (including the trench oxide 26). This forms the polysilicon interconnections.
  • the exposed portions of the nitride layer 28b are then etched, stopping on the field oxide layer 28a, again using RIE.
  • the etch ratio is adjusted to greater than about 3:1 nitride to oxide.
  • the exposed portions of the field oxide layer 28a are then etched, to expose portions of the underlying silicon 16. Again, as indicated above, the etching of the nitride layer 28b and oxide layer 28a can be done sequentially in one pump-down in the same etcher, merely changing chemistry to accommodate the nature of the respective layers.
  • the use of the "buried etch stop" nitride layer 28b is seen to provide a unique solution to yet another etch sequence that enhances the process of the invention.
  • a second etch then removes the nitride layer 28b, stopping on the field oxide 28a.
  • the length of the channel (geometric), denoted L, has already been defined in the first etch, using the slot mask 32.
  • the source 18, gate 22 and drain 20 regions have been defined geometrically, together with the device length L of the channel under the gate and the width W under the gate.
  • interconnect slots such as shown in conjunction with the drain 20 region (denoted 33 in FIG. 2b), are also defined.
  • the resist layer 36 is removed in a conventional manner and a thin oxide film 38 (the gate oxide) is grown in the exposed portions of the semiconductor substrate 16 (FIG. 6a).
  • the gate oxide 38 as is conventional, is formed to a thickness of about 150 ⁇ 10 A, or less, depending on the scaling of the devices.
  • a source/drain etch-out mask (denoted by solid lines 44 in FIG. 7b) is used to cover and protect the n-MOS and p-MOS gate areas, as shown at 42 in FIG. 7a.
  • those portions of the gate oxide layer in the source 18, drain 20 areas are removed such as by a wet etch dip or by RIE etching or a combination thereof.
  • the resist is then stripped off the wafer.
  • a polysilicon layer is blanket-deposited to a thickness of about 7,000 A ⁇ 5% (for 1 ⁇ m feature widths) and then etched or polished (chemical/mechanical) back to stop on the upper oxide layer 28c.
  • the polysilicon fills all the source 18, gate 22 and drain 20 slots, as well as all the interconnect slots 32. (The polysilicon filling the gate 22 slot is denoted 40g in FIG. 7a).
  • the polysilicon layer is polished back (chemical/-mechanical), using the same apparatus as in silicon wafer polish, to planarize the polysilicon.
  • the polysilicon gates 40g are not doped until the end of the process.
  • an oxide layer 48 is formed everywhere, for example, thermally grown at about 900oC, typically to a thickness of about 125 A ⁇ 10%. This doubles as an ion implantation screen and a nitride etch stop, and accordingly, the thickness is governed by these considerations. Bu ions are implanted to give P doping into the polysilicon plugs 46s, 46d over P-channel sources and drains of the devices, respectively, as well as the N + areas that are open.
  • a nitride layer 42 about 600 to 800 A thick (sufficient to mask POCI 3 ) is deposited, masked using the N + mask, and etched away together with the 125 A oxide layer 48 underneath to stop on polysilicon to expose N regions, which are then counter-doped with POCI 3 (these regions were previously doped with, boron).
  • the N + and P + 44 masks are configured as follows, employing resist as the P mask (P-MOS devices) and nitride as the N + mask (N-MOS devices). As seen in FIG. 7a, the masks 42 protect the gate areas 22 against doping.
  • the masks 44 are identical, except that the P mask covers the NMOS areas completely and also covers the P + gate areas.
  • the N + mask covers the PMOS areas completely and also covers the N + gate areas. This is different compared to conventional N + /P + masks, wherein the source, gate and drain are all of the same doping; that is, the NMOS/PMOS areas are completely opened and the gates are not protected.
  • the N and P wells 12 are already in place prior to trench formation.
  • the source and drain polysilicon plugs of each isolated region or device 14 are doped to a conductivity opposite that of the well 12 of that region, except where contacts to these are needed, they are then the same conductivity.
  • the assembly is heated to about 900°C for about 60 min., to drive the dopants to form N + and P + junctions/regions for both sources 18 and drains 20 in the semiconductor.
  • doped regions 18', 20' are formed by the drive.
  • the temperature and time may be varied, depending on the plug depth and junction depth needed. Alternatively, a rapid thermal anneal may be used to drive the junctions.
  • the gate is still undoped, since doping the gate polysilicon 40g would result in the dopant species penetrating the thin gate oxide 38 to the underlying semiconductor 16, and causing potential reliability problems.
  • the masking nitride cap 42 is next removed over the gate areas 22.
  • the three layers are planarized, with planarized polysilicon plugs 46s, 40g, 46d to sources 18, gates 22, and drains 20, respectively, on the semiconductor substrate 16. All sources, gates, drains and interconnects are mutually self- aligned.
  • a novel contact scheme as described in detail below, can be implemented.
  • the devices now must be connected to the gate edges, as will be described in detail below. The following discussion involves the formation of contacts directly over the gate electrode 40g without degrading the gate oxide 38. This configuration permits scaling of the feature sizes.
  • the thickness of the polysilicon over the gate areas has to be scaled down to present reasonably planar or small step heights, as the aspect ratios get worse. A contact cannot be made directly on this gate over the gate oxide without degrading the gate breakdown.
  • an "anti-contact" resist mask (denoted by the crossed areas 50 in FIG. 8b) is formed as a layer 52 on the polysilicon layers 40g, 46s, 46d and patterned to cover the gate polysilicon 40g and other desired regions of connectors, such as a portion of the drain polysilicon 46d.
  • resist is left where the contacts are required, as distinct from a conventional contact mask where these contact areas are normally opened.
  • the exposed portions of the polysilicon layer 46 are etched with a timed etch to remove a specific amount of polysilicon, using an etchant that has good selectivity to the underlying oxide is non-preferential with respect to N + , P + , or undoped polysilicon.
  • an etchant is chlorine-based plasma chemistry.
  • about 4,000 A ⁇ 5% of polysilicon is removed. This amount will be the interoxide thickness between the polysilicon and metal and is governed by capacitance considerations.
  • the contacts can overlap the oxide because the RIE polysilicon/oxide ratio evidences >20:1 selectivity.
  • the contacts that are being defined are features in the resist and not openings in the resist as in conventional contact schemes, making lithography easier.
  • the resulting structure is shown in FIG. 8a. It will be seen that the polysilicon interconnect 46c in the field is recessed by the etching as well as all those areas not to be contacted, such as source polysilicon 46s. All the etched back areas at this stage have a similar width in one direction.
  • the polysilicon in the field is the width of the slot in which it resides (parallel to the plane of FIG. 8a). It is thus easy to fill these areas with spin-on glass to obtain a very flat topology as shown in FIG. 11a and as discussed in further detail below.
  • the patterned resist 52 is removed, leaving “buttons” of polysilicon 46b, as well as contact to gate “button” 40g.
  • a connector mask (denoted in FIG. 9b by solid lines 54) is employed to define portions 55 of the oxide layer 28c by use of a resist layer 57. These portions 55 are removed by etching, using the underlying nitride layer 28b as an etch stop. The exposed portions of the nitride layer 28b are then removed by etching, stopping on the field oxide layer 28a.
  • this etch is identical to that discussed above in forming the interconnects and has all the advantages referred to therein.
  • Implantations of appropriate ions are then done through the exposed portions of the field oxide 28a to connect and form the transistors (N- and P-type). It will be noted that the oxide to be Implanted through is the field oxide 28a, which has been deposited to a well-controlled thickness, as described above.
  • the wafer is now implanted, using the P-mask, with either B 11 for the p-channels ( ⁇ 100 keV at 5 ⁇ 10 14 cm -2 ) or phosphorus, using the N-mask, for the n-channels ( ⁇ 250 keV at 5 ⁇ 10 14 cm -2 ).
  • the operation is done using the sequence of P-mask and etch, P-implant, resist strip, N-mask and etch, N-implant, and resist strip.
  • the source 18'/drain 20' junctions are now connected to the gate region 22' (seen in FIG. 10a), completing the
  • MOS FET device 14 The channels 24 are denoted "+”.
  • the gate polysilicon plugs 40g are also lightly doped with the same implant.
  • the doping is driven slightly, such as at about 800° to 1,000oC for 15 to 30 seconds, by a rapid thermal anneal. This process activates the implants in the channels 24 but does not cause excess diffusion of the implants, thereby avoiding penetration of the gate oxide 38. (The faster diffusion rates in polysilicon, however, allow substantially uniform doping of the gate polysilicon with this short cycle.) In this manner, both P and N polysilicon plugs over the gates are formed.
  • the formation of P polysilicon over thin gates has not heretofore been reliably accomplished in the prior art.
  • the exposed portions of polysilicon are selectively suicided, such as with TiSi or CoSi 2 .
  • the silicidation 56 covers the tops and exposed side portions of the polysilicon buttons or plugs 40g, 46s, 46d, 46b, as seen in FIG. 10a.
  • the silicidation process conveniently comprises blanket-sputtering titanium to a thickness of about 500 to 800 A and heating to about 650°C by rapid thermal annealing. Desirably, a sheet resistance of about 2 to 4 ⁇ /square is obtained.
  • the silicidation is a selective process.
  • the reaction occurs with silicon or polysilicon only.
  • the non-reacted deposited titanium is stripped in a wet chemical solution.
  • the suicide is then rapid thermal annealed again to about 800oC to provide the required resistance.
  • the strapping of polysilicon plugs may be done to any of undoped and doped (N + , P + ) plugs.
  • a plasma-enhanced chemical vapor deposition of oxide, about 1,000 A, is used to form layer 60 on the suicided regions.
  • the device as formed by the teachings herein has low overlap capacitance.
  • the gate 22/drain 20 Miller capacitance which is formed by the parallel placement of the source/gate/drain plugs can be reduced and accurately controlled/modeled. (A reduction in capacitance is obtained as a consequence of etching the polysilicon back in those areas where no contacts are needed.) While an additional Miller capacitance will occur where contacts are made to adjacent electrodes on the same device compared to plugs that are etched back, this is a small penalty for the much increased density. Finally and perhaps most importantly, the contacts in this scheme are made where the poly is the thickest, for example, in FIG.
  • the gate is connected over LOCOS areas in a LOCOS technology because of items already discussed and thus use up more area.
  • the scheme of the invention affords more reliable contacts to shallow junctions because metal contacts are made to plugs of poly. These can be selectively suicided prior to metal deposition and etch, as described above.
  • a metal layer 62 is deposited over the entire wafer.
  • the metal 62 contacts the raised polysilicon plugs, or buttons, here, 40g and 46b, via the silicide 56.
  • the metal is patterned and etched as is conventional, the flat topology requiring less of an over-etch, overcoming bridging and yielding fewer problems than conventional schemes.
  • the etched portions of the metal layer 62 are lined with an oxide 61, such as PECVD oxide, and filled with a planarizing material 65, such as spin-on glass. In this manner, metal portions 62 are physically and electrically isolated from each other.
  • the spin-on glass (layer 65) (or any other planarizing layer) may be employed to planarize the first metal layer 62 and etched or polished back to the surface of layer 62, as above.
  • a thin nitride layer 66 is deposited on the planarized glass and metal layers 62, 65, followed by formation of a contact oxide layer 67 thereover.
  • Etching of the contact 68 is then done through the oxide 67 to the nitride 66, again using the nitride layer as an etch stop, followed by etching of the nitride to expose portions of the underlying metal 62. Again, the two etches are performed in one step. If there is any misalignment, the underlying spin-on glass layer 66 will not be over-etched (see FIG. 14a). Also, the contacts can be drawn to overlap metal portions 62 to enhance lithography without over-etch problems.
  • Any conducting material may be employed for the first metal layer 62 and the second metal layer 64.
  • planarization method such as selective growth of tungsten to the first metal 62
  • this technology is easier to fill to planar form.
  • the LOCOS technology would be a compromise between the shallow depth and deep depth. If the deep contact is filled, the shallow one will have a pillar of tungsten sticking up that would have to be etched back.
  • the gate electrode is thick. Thus, no penetration of the gate electrode by etchants is possible, due to the presence of grain boundaries, which permit penetration. This is in direct contrast to prior art thin gate polysilicon electrodes, which are far more susceptible to wet etches, reactive ion etching and the like.
  • the interconnects are all self-aligned. One mask defines all contacts to silicon.
  • the source and drain regions, the channel connector regions and the channel are all self-aligned in each transistor, as a consequence of the use of one mask.
  • interconnects and contacts are planarized.
  • planarity is not essential for interconnects, e.g., 46c, from one device to the next.
  • contacts such as 40g, 46d need not be fully planarized, they must be at least partially planarized, in order for contact to be made thereto by the overlying metal layers, such as metal layer 62.
  • a number of modifications of the main process of the invention may be made.
  • tungsten may be used in place of polysilicon, such as for the source/drain contacts and for the interconnects. If tungsten is employed, then the process should be modified to Implant the substrate first, then deposit tungsten. In this modification, any polysilicon used, such as in the gates, would also be deposited after implant of the substrate, and any subsequent implant would be to dope the polysilicon, not to form any junctions. The intersection of the polysilicon gates and tungsten interconnect will be ohmic, due to the formation of tungsten polysilicide at this intersection. Or, N + and P + polysilicon plugs could be deposited in situ, such as by depositing N + polysilicon everywhere, etching out the doped polysilicon from those areas where it is not desired, then depositing P polysilicon everywhere and polishing back.
  • the gate polysilicon 40g could be doped the same time as the source and drain doping.
  • such a modification requires one to be careful in subsequent processing, due to temperature and the presence of hydrogen atmospheres, which affect stability and reliability of P-channel devices, as discussed earlier.
  • the process of the invention preferably begins with formation of the isolation trench 10, which is filled with oxide 26, to delineate devices.
  • the process of the invention is most preferably employed to completely replace the well-known LOCOS process, various elements of that process may be integrated into the process of the invention.
  • recessed oxide which is a variation of the LOCOS process, may be utilized in place of the trench, or "picture frame” scheme of the invention.
  • the alternate process compared with the process of the invention, is as follows:
  • the P/N wells 12 are delineated, as in the process of the invention.
  • an isolation mask 80 identical to that currently used in conventional LOCOS processing is employed.
  • the result is depicted in FIG. 15a, and can be viewed as a "variable width" picture frame technology.
  • the etched areas 10' are then filled with oxide 26' and etched back, as in the definition/etch back step of the process of the invention and the nitride 80 stripped. The result is shown in FIG. 16a.
  • a TE0S oxide 28a' is optionally deposited. If done, the thickness of this oxide can be much thinner, as it is no longer the field oxide of the LOCOS process. The thickness may range from about 400 to 1,000 A; at the lower thickness values, the oxide may be grown rather than deposited. The oxide is needed to prevent shorting of the silicide (on the plug sidewalls) to the junctions under the plugs, as in FIG. 10a.
  • the formation of the multi-layer structure, including the buried etch-stop layer, is identical with that of the invention, providing the nitride 28b, oxide 28c, and polysilicon 28d layers on the underlying oxide 28a' layer.
  • the process is continued to the utilization of the connector mask, etch, and ion implantation, giving rise to the structure depicted in FIG. 17a, which is analogous to that depicted in FIG. 9a.
  • the ability to use a thin oxide layer 28a' on the order of about 400 A provides an advantage with respect to ion implantation energies for the connectors 24.
  • the alternate process described with respect to the interconnect 46c gives a much reduced polysilicon Interconnect capacitance to the substrate silicon.
  • the reduced height of the plugs (due to reduction of the TEOS field oxide 28a' from about 3,000 to 400 A) can be used on one of two ways:
  • the etch-back at the anti-contact mask 50 can now be increased from about 4,000 A to 4,000 + (3,000 - 400) A, or about 7,000 A. This is the ultimate (final) thickness between the plug interconnect and the metal to be deposited. It will be appreciated that the plug "height" is constant, but since there is no field oxide (or thin oxide) under the field polysilicon, this permits more etch-back at the anti-contact, so that the oxide between the polysilicon and the metal can be thicker, giving reduced capacitance, while still retaining more than 2,000 A of polysilicon interconnect.
  • FIG. 18a A portion of the structure achieved by the main process of the invention is depicted in FIG. 18a, while the same portion achieved by this alternate process is depicted in FIG. 19a.
  • FIG. 19a shows a recessed LOCOS scheme with a recessed oxide layer 70 and omitting the optional TEOS layer.
  • the capacitance between the polysilicon 46c and the overlying metal layer 62 is much reduced with the alternate process, giving a performance advantage.
  • the alignment of the connector masks is much less critical for a recessed LOCOS process or similar process. In the main process, the connector masks have to be aligned inside the picture frame oxide 26. In the alternate process, there is much more latitude with respect to the alignment, since the field oxide 28a' is now continuous between devices.

Abstract

A novel process is provided for fabricating contacts (46s, 40g, 46d) in a novel, completely self-aligned, planarized configuration for transistors (14), with self-aligned interconnections (46c). The process of the invention permits higher packing densities, and allows feature distances to approach 0.5 mum and lower. A unique combination of masks in conjunction with a multi-layer structure (28) formed on the surface of a semiconductor wafer (16), the multi-layer structure including a buried etch-stop layer therein (28b), defines the source (18), gate (22), and drain (20) elements and their geometry relative to each other and to interconnects. Polysilicon plug (40, 46) contacts through slots in the multi-structure layer permit vertical contact to be made to the various elements. Silicidation (56) of the polysilicon plugs reduces series resistance in the vertical direction and permits strapping of N+ and P+ polysilicon plugs.

Description

DESCRIPTION
SELF-ALIGNED, PLANARIZED CONTACTS FOR SEMICONDUCTOR DEVICES
BACKGROUND OF THE INVENTION
1. Field of the Invention.
The present invention relates to semiconductor devices, such as transistors, specifically, field effect transistors (FETs), and, more particularly, to a new process for preparing contacts for such devices, resulting in a novel, planarized, completely self-aligned structure.
2. Background of the Invention.
The LOCOS (local oxidation of silicon) process for fabricating MOS (metal-oxide semiconductor) devices, especially CMOS (complementary MOS) is well-known and widely practiced throughout the semiconductor industry. It is a suitable process for design geometries greater than about 1 μm feature size. However, as feature sizes are reduced to sub-micrometer dimensions to achieve higher packing densities of devices, several problems emerge.
First, the depth of focus of the lithography stepper becomes smaller. Thus, the different heights of different features generate a depth of field problem. Second, the spacing of contacts to the polysilicon gate and to the field oxide becomes critical at smaller dimensions. It will be appreciated that due to the use of separate alignment steps, the margin of error in aligning the contact can, if not adequate, result in either (a) etching through the field oxide, with consequent shorting of the silicon substrate to the diffusion source or drain region by the contact, or (b) contacting the polysilicon gate, with consequent shorting of the gate to the source or drain contact.
Such misalignments are accommodated by allowing a substantial space between source, drain and gate and between source, drain and field edge. As a result, high packing density is sacrificed. Another requirement of the present processing scheme is that the gate contact is made to an interconnect which extends at right angle to the source-gate-drain line. Such a contact requires considerably more area than a contact directly down to the gate. However, the use of separate alignments dictate the present processing scheme, in order to avoid potential misalignment problems.
Finally, a problem well-known in the art with the LOCOS process is the so-called "bird's beak" problem, which occurs where the field oxide tapers to the substrate in the source and drain regions. Such a taper results in an electrical width smaller than the mask dimensions.
It is evident that the profusion of different heights during processing and the several alignment steps prevent efficient use of advanced lithography processes and other processes to generate high packing densities of devices on a substrate, since the depth of field reduces with the smaller dimensions that are needed for scaling.
SUMMARY OF THE INVENTION
In accordance with the invention, a new contact structure and process for fabricating the same are provided. The process of the invention employs planarization processes and total self-alignment to avoid most, if not all, the disadvantages associated with the prior art process. As a result, high packing densities may be achieved.
Planarization avoids the depth of field problem. Self-alignment easily permits various components of the devices to be interconnected in any manner selected by the engineer, without the misalignment area penalties discussed earlier. The process of the invention permits the use of plugs of polysilicon, the tops of which can be contacted to reduce gate contact area requirements. Feature distances of 0.5 μm and less may be achieved by the process of the invention.
A unique combination of masks in conjunction with a multi-layer structure formed on the surface of a semiconductor wafer, the multi-layer structure including a buried etch-stop layer therein, defines the source, gate and drain elements and their geometry relative to each other and to interconnects. Polysilicon plug contacts through slots in the multi-structure layer permit vertical contact to be made to the various elements. Silicidation of the polysilicon plugs reduces series resistance in the vertical direction, and permits using both N and P poly silicon electrodes for device scaling of n- and p-MOSFETs. In accordance with the invention, self-aligned, planarized contacts are provided in a semiconductor device comprising elements formed in a major surface of a semiconductor substrate. The contacts comprise ver- tically-disposed plugs of a conducting material, such as polysilicon, one end of which plugs contact the surface and the other of at least some of which plugs terminate in a planar region, for contacting by a planar interconnect. A plurality of the plugs have a con- stant dimension in at least one direction parallel to said substrate and are aligned with each other.
The process of the invention comprises a process for fabricating self-aligned, planarized contacts for planarized interconnects in semiconductor devices, such as CMOS transistors. Plugs comprising a conducting material, such as polysilicon, are formed to regions of a semiconductor device formed in a major surface of a semiconductor, suitably isolated from adjacent devices. The plugs are separated by a dielectric material and terminate in the plane defined by the surface of the dielectric material. The process comprises
(a) forming a layer of resist on the surface of the conducting plugs and the dielectric material;
(b) applying an anti-contact mask to leave resist where contacts to the conducting plugs are required;
(c) etching back exposed portions of the conducting plugs where no contacts thereto are required; (d) stripping the layer of resist; and
(e) filling in the etch-back areas with a planarizing material to planarize the structure.
Other objects, features and advantages of the present invention will become apparent upon considera tion of the following detailed description and the accompanying drawings, in which like reference designations represent like features throughout the FIGURES.
BRIEF DESCRIPTION OF THE DRAWINGS
The drawings referred to in this description should be understood as not being drawn to scale except if specifically noted. Moreover, the drawings are intended to illustrate only one portion of an integrated circuit fabricated in accordance with the present invention.
FIGS. 1-14 depict the sequence of events in the process of the invention, with the "a" designation depicting cross-sectional views and the "b" designation depicting top plan views.
FIGS. 15-19 depict alternate embodiments in various process sequences.
DETAILED DESCRIPTION OF THE INVENTION
Reference is now made in detail to a specific embodiment of the present invention, which illustrates the best mode presently contemplated by the inventor for practicing the invention. Alternative embodiments are also briefly described as applicable.
The process of the invention, in its preferred form, is totally non-LOCOS. However, it will be appreciated by those skilled in the art that portions of the process may be incorporated in the present LOCOS process to realize the benefits disclosed herein. Further, while the process of the invention is directed specifically to employing silicon as the semiconductor, it will be clear to those skilled in the art that the teachings of the invention may be extended to other semiconductors, with suitable modifications in etch-stop layers and the like.
The process of the invention begins with the formation of an isolation trench 10 surrounding the area in which a device, (here, an MOS transistor 14, seen in FIG. 11a), is to be fabricated in a P-well or N-well region 12 in a semiconductor substrate 16. There are a plurality of such isolation trenches 10, one associated with each device 14.
As is well-known, such transistors 14 comprise source 18 and drain 20 elements, with a gate area 22 therebetween to regulate the extent of a channel 24 therebetween (seen in FIG. 10a) . The formation of P-wells and N-wells is known, and hence does not form a part of this invention.
As shown in FIG. la, a plurality of trenches 10 surrounding well regions 12 in a semiconductor substrate 16 are etched through a resist mask 23 formed on top of a nitride mask 25 formed on the surface 16' of the substrate. The resist 23 and the nitride 25 are then stripped and the trenches 10 are filled with an oxide 26, as shown in FIG. 2a.
The etching of the trench is done employing well-known processes, such as an RIE (reactive ion etch) process followed by suitable wet silicon damage removal etches. The oxide 26 used to fill the trenches 10 may be a low temperature oxide or a preferred 750ºC TE0S (tetra-ethyl ortho silicate). The oxide is etched back to be planar with the surface 16' of the silicon substrate 16. The planarizing is done by a combination of chemical and reactive ion etching processes commonly used in the art for oxide planarization. The isolation trench 10 is created by etching a four-walled trench to outline an active region of the appropriate length (X) and width (Y). In the process of the invention, the trench 10 has a rectangular configuration, when viewed in top plan view (FIG. 3b), defining an active area (X × Y) of about 5 μm by 2 μm (for 1 μm design rules).
The isolation trench is typically about 0.5 to 1 μm wide and about 0.4 to 0.6 μm deep. The size is governed by lithography alignment constraints and filling of the trenches by subsequently deposited oxide.
While one complete trench is shown in the FIG. 3a, it will be appreciated that a plurality of such trenches is employed on a single semiconductor substrate 16, as shown in FIG. 2a, each delineating an active area of a device. Of course, as the technology of feature definition continues to improve with new resists and resist development processes, these dimensions will also decrease. Next, a specific sequence of layers 28 is formed on the surface of the substrate 16, as shown in FIG. 3a. The particular sequence of layers 28 is the crux of the invention. This multi-layer structure includes a buried stop-etch layer, essential in the practice of the invention.
First, a field oxide 28a, having a thickness of about 2,500 A ±5%, is formed on the surface of the substrate 16. The thickness of the field oxide 28a can be targeted consistent with the field threshold voltage required and subsequent Implant energy available for forming N- and P- connectors, described below.
The formation of the field oxide 28a in itself is not novel, and is formed by a conventional deposition process to the required thicknesses. The thickness selected depends upon the implant energy needed to implant therethrough versus the polysilicon left to block the implant, as discussed below in connection with FIG. 10a. Ideally, the field oxide 28a should be as thin as possible consistent with the required field inversion voltages. Also importantly, the thickness of this layer 28a should be substantially uniform across the surface of the wafer, in order to best realize the benefits of the invention. For silicon-based devices, the field oxide comprises silicon dioxide.
Next, a thin layer 28b of an etch-stop material is formed on the field oxide 28a. The etch-stop material 28b comprises a material having a significantly different etch rate from silicon dioxide and is important in the practice of the invention, as will be seen below. For silicon-based devices, a suitable etch-stop material comprises silicon nitride, having a thickness of about 800 A ±5%. The nitride can be deposited by LPCVD (low pressure chemical vapor deposition) or PECVD (plasma-enhanced CVD). The minimum and maximum thickness depend on the etch uniformity of the process and apparatus.
Over the nitride layer 28b is formed another layer 28c of oxide, ranging in thickness from about 5,500 to 6,500 A. This oxide layer is conveniently formed in the same manner as the field oxide. This oxide layer 28c governs the depth of polysilicon plugs to be formed as discussed below and governs what remains of the polysilicon layer (interconnect polysilicon) following etch-back at the anti-contact mask, also discussed below, in connection with FIG. 8b. Thus, the thickness of the oxide layer 28c must be greater than the sum of about 4,000 A of polysilicon removed and the residual interconnect thickness needed (about 2,000 A) at that stage.
Finally, a layer 28d of polysilicon is formed on the oxide layer 28c to a thickness ranging from about 2,000 to 2,500 A. This layer 28d has a dual purpose: it serves both as an etch mask and as a lithography "enhancement" layer, as explained in connection with FIG. 5b. While materials other than polysilicon may be used that have a good etch ratio to silicon dioxide, such as silicon nitride, polysilicon has several advantages. First, it has uniform reflectivity so that lithography is easier to control. Second, it has a better than 20:1 etch ratio to silicon dioxide so that it doubles as an etch mask even if overlying resist gets eroded away during the subsequent etching, thereby preventing dimension control losses.
The foregoing layers 28a-d may be sequentially deposited in one pump-down to reduce defect density.
A layer of resist 30 is next formed on the top polysilicon layer 28d and is exposed to a "slot" mask (dashed lines 32 in FIG. 4b), which simultaneously provides for mutual self-alignment between source 18, gate 22 and drain 20 regions. The slot mask 32 may also Include provision for contact to other devices via extension 33 and for contact to the gate 22 via extension 35 and any other combinations thereof. It is a total level of interconnect, compared to conventional polysilicon, which is a half level. (The conventional half-level requires another level of interconnect to complete the connections.) In this manner, packing density of devices may be increased by nearly 50% over that of the prior art LOCOS process without critical alignment requirements. Further increases in density may be achieved as the technology of finer resist definition develops.
The slot mask defines the polysilicon interconnect areas in the field and the source/gate/drain areas of all the devices. The slot masks can be at minimum feature and minimum feature spaces that may be defined lithographically. As can be seen, the source/gate/- drain areas are self-aligned with each other so far.
The resist 30 can be exposed by electromagnetic radiation (visible, UV, X-ray, as appropriate), as is conventional, and the undesired portions of the underlying four layers 28 are removed, such as by etching, to expose portions of the semiconductor substrate 16 corresponding to the source 18, gate 22 and drain 20 regions.
The four layers 28 are etched as follows: First, the exposed portions of the polysilicon layer 28d are etched using an RIE etcher, followed by etching the exposed portions of the oxide layer 28c, again, using an RIE etcher. This latter etch stops at the nitride layer 28b, since the etch rate of the oxide can be adjusted with etch parameters to be about five times that of the nitride. This method of etching allows controlled manufacturability of the etch, since the nitride layer 28b acts as a built-in "buried" etch stop.
The two etchings (of layers 28d, 28c) may be performed in one pump-down. At this point, the nitride layer 28b may be etched to the field oxide also, if it is desired to remove nitride from underneath the polysilicon layer 28d eventually.
The RIE etch process employs a mixture of oxygen and fluorinated gas, such as CHF3, CF4, NF3, and the like. Controlled etch ratios between oxide and nitride are achieved by varying the ratio of the gases and, in some instances, the power of the etcher. The RIE etch process is well-known and thus does not per se form a part of this invention. The resist 30 is stripped and the wafer is remasked, using an "active" mask (denoted by solid lines 34 in FIG. 5b, the trench 10 being omitted for clarity) in conjunction with a new resist layer 36. The purpose of this mask is two-fold. First, in the source 18/gate 22/drain 20 areas, the etch is completed to silicon or to the exposed oxide in the slots. Some of this exposed oxide in the trenches 10 will be etched (≈500 A), corresponding to the required over-etch needed to clear the field oxide areas in the source 18, gate 22, and drain 20 openings down to the silicon substrate 16.
In the field areas or interconnect areas over the field, the mask covers this with resist and prevents etching. In this manner, the oxide 28a in the field region is ultimately retained under the polysilicon extensions 33, 35 in the field region, which is outside the area enclosed by the isolation trench 10 (including the trench oxide 26). This forms the polysilicon interconnections.
The exposed portions of the nitride layer 28b are then etched, stopping on the field oxide layer 28a, again using RIE. The etch ratio is adjusted to greater than about 3:1 nitride to oxide. The exposed portions of the field oxide layer 28a are then etched, to expose portions of the underlying silicon 16. Again, as indicated above, the etching of the nitride layer 28b and oxide layer 28a can be done sequentially in one pump-down in the same etcher, merely changing chemistry to accommodate the nature of the respective layers. With an oxide-to-nitride etch ratio of ≥ 3:1 and a non-uniformity of etch of ±10% and of the oxide layer 28c of ±10% and with the thickness of the oxide layer ranging from about 6,000 to 7,000 A, it is possible to over-etch layer 28c and still stop on or in the nitride layer 28b. This gives in Itself a large manufacturing advantage. In the prior art methods of etch-back, a "timed etch" would not overcome non-uniformity problems. A laser end-point method samples one wafer in a batch machine and is subject to the same uncertainties. Thus, the use of the "buried etch stop" nitride layer 28b is seen to provide a unique solution to yet another etch sequence that enhances the process of the invention. A second etch then removes the nitride layer 28b, stopping on the field oxide 28a.
With the completion of the etching down to silicon, the width W of the device has been defined by the trench mask 10 in FIGS. 3b and 4b as Y = W. The length of the channel (geometric), denoted L, has already been defined in the first etch, using the slot mask 32. Thus, the source 18, gate 22 and drain 20 regions have been defined geometrically, together with the device length L of the channel under the gate and the width W under the gate. Further, interconnect slots, such as shown in conjunction with the drain 20 region (denoted 33 in FIG. 2b), are also defined.
After etching through the field oxide layer 28a, the resist layer 36 is removed in a conventional manner and a thin oxide film 38 (the gate oxide) is grown in the exposed portions of the semiconductor substrate 16 (FIG. 6a). The gate oxide 38, as is conventional, is formed to a thickness of about 150 ±10 A, or less, depending on the scaling of the devices. A source/drain etch-out mask (denoted by solid lines 44 in FIG. 7b) is used to cover and protect the n-MOS and p-MOS gate areas, as shown at 42 in FIG. 7a. Next, those portions of the gate oxide layer in the source 18, drain 20 areas are removed such as by a wet etch dip or by RIE etching or a combination thereof. The resist is then stripped off the wafer.
A polysilicon layer is blanket-deposited to a thickness of about 7,000 A ±5% (for 1 μm feature widths) and then etched or polished (chemical/mechanical) back to stop on the upper oxide layer 28c. The polysilicon fills all the source 18, gate 22 and drain 20 slots, as well as all the interconnect slots 32. (The polysilicon filling the gate 22 slot is denoted 40g in FIG. 7a).
The polysilicon layer is polished back (chemical/-mechanical), using the same apparatus as in silicon wafer polish, to planarize the polysilicon.
Next, a doping cycle is performed. In this doping cycle, the polysilicon gates 40g are not doped until the end of the process.
To this end, an oxide layer 48 is formed everywhere, for example, thermally grown at about 900ºC, typically to a thickness of about 125 A ±10%. This doubles as an ion implantation screen and a nitride etch stop, and accordingly, the thickness is governed by these considerations. Bu ions are implanted to give P doping into the polysilicon plugs 46s, 46d over P-channel sources and drains of the devices, respectively, as well as the N+ areas that are open. Where it is desired to form N+ doping, a nitride layer 42 about 600 to 800 A thick (sufficient to mask POCI3) is deposited, masked using the N+ mask, and etched away together with the 125 A oxide layer 48 underneath to stop on polysilicon to expose N regions, which are then counter-doped with POCI3 (these regions were previously doped with, boron).
The N+ and P+ 44 masks (shown in FIG. 7b) are configured as follows, employing resist as the P mask (P-MOS devices) and nitride as the N+ mask (N-MOS devices). As seen in FIG. 7a, the masks 42 protect the gate areas 22 against doping.
The masks 44 are identical, except that the P mask covers the NMOS areas completely and also covers the P+ gate areas. The N+ mask covers the PMOS areas completely and also covers the N+ gate areas. This is different compared to conventional N+/P+ masks, wherein the source, gate and drain are all of the same doping; that is, the NMOS/PMOS areas are completely opened and the gates are not protected.
It will be recalled that the N and P wells 12 are already in place prior to trench formation. Of course, the source and drain polysilicon plugs of each isolated region or device 14 are doped to a conductivity opposite that of the well 12 of that region, except where contacts to these are needed, they are then the same conductivity.
The assembly is heated to about 900°C for about 60 min., to drive the dopants to form N+ and P+ junctions/regions for both sources 18 and drains 20 in the semiconductor. For example, as seen in FIG. 8a, doped regions 18', 20' are formed by the drive. The temperature and time may be varied, depending on the plug depth and junction depth needed. Alternatively, a rapid thermal anneal may be used to drive the junctions.
The gate is still undoped, since doping the gate polysilicon 40g would result in the dopant species penetrating the thin gate oxide 38 to the underlying semiconductor 16, and causing potential reliability problems.
The masking nitride cap 42 is next removed over the gate areas 22.
At this stage, it is seen that the three layers (field oxide 28a, nitride 28b, and oxide 28c), are planarized, with planarized polysilicon plugs 46s, 40g, 46d to sources 18, gates 22, and drains 20, respectively, on the semiconductor substrate 16. All sources, gates, drains and interconnects are mutually self- aligned. After the definition and drive of the plugs, a novel contact scheme, as described in detail below, can be implemented. The devices now must be connected to the gate edges, as will be described in detail below. The following discussion involves the formation of contacts directly over the gate electrode 40g without degrading the gate oxide 38. This configuration permits scaling of the feature sizes.
In conventional LOCOS technologies, the thickness of the polysilicon over the gate areas has to be scaled down to present reasonably planar or small step heights, as the aspect ratios get worse. A contact cannot be made directly on this gate over the gate oxide without degrading the gate breakdown.
Thus, another aspect of the novel approach of this invention is that it allows a planar surface to be present at the contact-making step and at the metal deposition step immediately following it, which affords a manufacturing advantage at small geometries. The inventive approach also makes refill technologies and methods less demanding than conventional methods. An "anti-contact" resist mask (denoted by the crossed areas 50 in FIG. 8b) is formed as a layer 52 on the polysilicon layers 40g, 46s, 46d and patterned to cover the gate polysilicon 40g and other desired regions of connectors, such as a portion of the drain polysilicon 46d. In the anti-contact mask 50, resist is left where the contacts are required, as distinct from a conventional contact mask where these contact areas are normally opened. Next, the exposed portions of the polysilicon layer 46 are etched with a timed etch to remove a specific amount of polysilicon, using an etchant that has good selectivity to the underlying oxide is non-preferential with respect to N+, P+, or undoped polysilicon. An example of such an etchant is chlorine-based plasma chemistry. In particular, about 4,000 A ±5% of polysilicon is removed. This amount will be the interoxide thickness between the polysilicon and metal and is governed by capacitance considerations. Also, the contacts can overlap the oxide because the RIE polysilicon/oxide ratio evidences >20:1 selectivity. The contacts that are being defined are features in the resist and not openings in the resist as in conventional contact schemes, making lithography easier. The resulting structure is shown in FIG. 8a. It will be seen that the polysilicon interconnect 46c in the field is recessed by the etching as well as all those areas not to be contacted, such as source polysilicon 46s. All the etched back areas at this stage have a similar width in one direction. The polysilicon in the field is the width of the slot in which it resides (parallel to the plane of FIG. 8a). It is thus easy to fill these areas with spin-on glass to obtain a very flat topology as shown in FIG. 11a and as discussed in further detail below.
The patterned resist 52 is removed, leaving "buttons" of polysilicon 46b, as well as contact to gate "button" 40g.
A connector mask (denoted in FIG. 9b by solid lines 54) is employed to define portions 55 of the oxide layer 28c by use of a resist layer 57. These portions 55 are removed by etching, using the underlying nitride layer 28b as an etch stop. The exposed portions of the nitride layer 28b are then removed by etching, stopping on the field oxide layer 28a.
It should be noted that this etch is identical to that discussed above in forming the interconnects and has all the advantages referred to therein.
Implantations of appropriate ions (for N and P channels 24 connecting source 18' and drain 20' to the gate 22' edges) are then done through the exposed portions of the field oxide 28a to connect and form the transistors (N- and P-type). It will be noted that the oxide to be Implanted through is the field oxide 28a, which has been deposited to a well-controlled thickness, as described above.
The wafer is now implanted, using the P-mask, with either B11 for the p-channels (≈100 keV at 5×10 14 cm -2) or phosphorus, using the N-mask, for the n-channels (≈250 keV at 5×10 14 cm -2). The operation is done using the sequence of P-mask and etch, P-implant, resist strip, N-mask and etch, N-implant, and resist strip. The source 18'/drain 20' junctions are now connected to the gate region 22' (seen in FIG. 10a), completing the
MOS FET device 14. The channels 24 are denoted "+".
Due to scattering at right angles to the implant direction, there is advantageously an implant "tail" underneath the gate edges that results in a graded junction. This is beneficial for reducing hot electron effects for short channel devices, as is well-known.
During the channel implantation, the gate polysilicon plugs 40g are also lightly doped with the same implant. The doping is driven slightly, such as at about 800° to 1,000ºC for 15 to 30 seconds, by a rapid thermal anneal. This process activates the implants in the channels 24 but does not cause excess diffusion of the implants, thereby avoiding penetration of the gate oxide 38. (The faster diffusion rates in polysilicon, however, allow substantially uniform doping of the gate polysilicon with this short cycle.) In this manner, both P and N polysilicon plugs over the gates are formed. As is well-known, the formation of P polysilicon over thin gates (100 to 150 A) has not heretofore been reliably accomplished in the prior art.
The exposed portions of polysilicon are selectively suicided, such as with TiSi or CoSi2. The silicidation 56 covers the tops and exposed side portions of the polysilicon buttons or plugs 40g, 46s, 46d, 46b, as seen in FIG. 10a. The silicidation process conveniently comprises blanket-sputtering titanium to a thickness of about 500 to 800 A and heating to about 650°C by rapid thermal annealing. Desirably, a sheet resistance of about 2 to 4 Ω/square is obtained.
The silicidation is a selective process. The reaction occurs with silicon or polysilicon only. The non-reacted deposited titanium is stripped in a wet chemical solution. The suicide is then rapid thermal annealed again to about 800ºC to provide the required resistance.
While not essential to reduction of the plug resistance, it is essential to strap the intersection of N+/P+ polysilicon plugs to give a non-diode ohmic contact. The strapping also shunts the series resistance of each polysilicon plug and polysilicon interconnect. Thus, the resistance of each plug is a function only of the thickness of the field oxide 28a, which is substantially constant over the entire wafer, as seen in FIG. 10a.
The strapping of polysilicon plugs may be done to any of undoped and doped (N+, P+) plugs. Next, a plasma-enhanced chemical vapor deposition of oxide, about 1,000 A, is used to form layer 60 on the suicided regions.
Spin-on glass 58 is then applied everywhere to the surface to fill the etched-out areas. Since all slots are of similar dimensions, then all slots are evenly filled and etched back (RIE) or polished back to the polysilicon plug surfaces as needed. Due to the different etch rates between the two layers 58, 60, planarization is enhanced. The result is a planar topolo- gy, with the surfaces of the "buttons", e.g., 40g, 46b, exposed.
It will be appreciated that the use of spin-on glass (SOG) with slots of similar width successfully overcomes the problems of trying to fill features of largely varying sizes with SOG. If large areas are attempted, the SOG fills in a "catenary" and is not flat. Since the SOG is also the inter-oxide thickness between the polysilicon and a metal layer (to be deposited next), this thickness control directly affects capacitance and performance, both of which are dealt with by this method.
The device as formed by the teachings herein has low overlap capacitance. By employing the planarized contact scheme discussed above, the gate 22/drain 20 Miller capacitance which is formed by the parallel placement of the source/gate/drain plugs can be reduced and accurately controlled/modeled. (A reduction in capacitance is obtained as a consequence of etching the polysilicon back in those areas where no contacts are needed.) While an additional Miller capacitance will occur where contacts are made to adjacent electrodes on the same device compared to plugs that are etched back, this is a small penalty for the much increased density. Finally and perhaps most importantly, the contacts in this scheme are made where the poly is the thickest, for example, in FIG. 11a over the gate electrode 40g, which is a unique feature of this approach, giving a powerful density advantage. In the prior art, the gate is connected over LOCOS areas in a LOCOS technology because of items already discussed and thus use up more area. In addition, the scheme of the invention affords more reliable contacts to shallow junctions because metal contacts are made to plugs of poly. These can be selectively suicided prior to metal deposition and etch, as described above.
Next, as shown in FIG. 12a, a metal layer 62 is deposited over the entire wafer. The metal 62 contacts the raised polysilicon plugs, or buttons, here, 40g and 46b, via the silicide 56. The metal is patterned and etched as is conventional, the flat topology requiring less of an over-etch, overcoming bridging and yielding fewer problems than conventional schemes.
The etched portions of the metal layer 62 are lined with an oxide 61, such as PECVD oxide, and filled with a planarizing material 65, such as spin-on glass. In this manner, metal portions 62 are physically and electrically isolated from each other. To form a second layer 64 of metal, shown in FIG. 13a, the spin-on glass (layer 65) (or any other planarizing layer) may be employed to planarize the first metal layer 62 and etched or polished back to the surface of layer 62, as above. A thin nitride layer 66 is deposited on the planarized glass and metal layers 62, 65, followed by formation of a contact oxide layer 67 thereover.
Etching of the contact 68 is then done through the oxide 67 to the nitride 66, again using the nitride layer as an etch stop, followed by etching of the nitride to expose portions of the underlying metal 62. Again, the two etches are performed in one step. If there is any misalignment, the underlying spin-on glass layer 66 will not be over-etched (see FIG. 14a). Also, the contacts can be drawn to overlap metal portions 62 to enhance lithography without over-etch problems.
It will be appreciated that the resulting metal area to form the contact is less than the so-called prior art "dog-bone", or framed, contact.
Any conducting material may be employed for the first metal layer 62 and the second metal layer 64.
While aluminum is preferably employed, other conducting materials, such as tungsten, molybdenum and others well-known in the art may alternatively be used.
By employing a planarization method such as selective growth of tungsten to the first metal 62, it is possible to "plate up" the contacts 68 to form a planar surface prior to the deposition of the second metal 64. As distinct from LOCOS processes that have different contact heights to the first metal 62 (over field and to devices), this technology is easier to fill to planar form. The LOCOS technology would be a compromise between the shallow depth and deep depth. If the deep contact is filled, the shallow one will have a pillar of tungsten sticking up that would have to be etched back.
To make this method of selective tungsten plating work without additional etch-back requires a flat surface with nearly equal contact depths. Also, the process can be repeated for subsequent levels of metal, provided a reasonable job of planarization is done, which again is easier with the process of the invention than with other processes.
There are several aspects of the foregoing novel process that should be noted.
First, the gate electrode is thick. Thus, no penetration of the gate electrode by etchants is possible, due to the presence of grain boundaries, which permit penetration. This is in direct contrast to prior art thin gate polysilicon electrodes, which are far more susceptible to wet etches, reactive ion etching and the like. Second, the interconnects are all self-aligned. One mask defines all contacts to silicon.
Third, the source and drain regions, the channel connector regions and the channel are all self-aligned in each transistor, as a consequence of the use of one mask.
Fourth, the process of the invention, using the mutual self-aligned scheme, permits scalability to ever smaller dimensions, limited only by the capability of lithography. Finally, a consequence of utilizing the preferred process of the invention is that interconnects and contacts are planarized. However, planarity is not essential for interconnects, e.g., 46c, from one device to the next. On the other hand, while contacts such as 40g, 46d need not be fully planarized, they must be at least partially planarized, in order for contact to be made thereto by the overlying metal layers, such as metal layer 62. A number of modifications of the main process of the invention may be made.
In one modification, tungsten may be used in place of polysilicon, such as for the source/drain contacts and for the interconnects. If tungsten is employed, then the process should be modified to Implant the substrate first, then deposit tungsten. In this modification, any polysilicon used, such as in the gates, would also be deposited after implant of the substrate, and any subsequent implant would be to dope the polysilicon, not to form any junctions. The intersection of the polysilicon gates and tungsten interconnect will be ohmic, due to the formation of tungsten polysilicide at this intersection. Or, N + and P+ polysilicon plugs could be deposited in situ, such as by depositing N + polysilicon everywhere, etching out the doped polysilicon from those areas where it is not desired, then depositing P polysilicon everywhere and polishing back.
In another modification, the gate polysilicon 40g could be doped the same time as the source and drain doping. However, such a modification requires one to be careful in subsequent processing, due to temperature and the presence of hydrogen atmospheres, which affect stability and reliability of P-channel devices, as discussed earlier.
As described above, the process of the invention preferably begins with formation of the isolation trench 10, which is filled with oxide 26, to delineate devices. However, it will be appreciated by those skilled in the art that while the process of the invention is most preferably employed to completely replace the well-known LOCOS process, various elements of that process may be integrated into the process of the invention.
For example, a "recessed oxide" scheme, which is a variation of the LOCOS process, may be utilized in place of the trench, or "picture frame" scheme of the invention. The alternate process, compared with the process of the invention, is as follows:
First, the P/N wells 12 are delineated, as in the process of the invention. Next, however, instead of using the isolation mask, which defines the "picture frames" and field (interconnect) areas, as shown in FIG. la, an isolation mask 80 identical to that currently used in conventional LOCOS processing is employed. The result is depicted in FIG. 15a, and can be viewed as a "variable width" picture frame technology. The etched areas 10' are then filled with oxide 26' and etched back, as in the definition/etch back step of the process of the invention and the nitride 80 stripped. The result is shown in FIG. 16a.
In the next process step, a TE0S oxide 28a' is optionally deposited. If done, the thickness of this oxide can be much thinner, as it is no longer the field oxide of the LOCOS process. The thickness may range from about 400 to 1,000 A; at the lower thickness values, the oxide may be grown rather than deposited. The oxide is needed to prevent shorting of the silicide (on the plug sidewalls) to the junctions under the plugs, as in FIG. 10a.
The formation of the multi-layer structure, including the buried etch-stop layer, is identical with that of the invention, providing the nitride 28b, oxide 28c, and polysilicon 28d layers on the underlying oxide 28a' layer. The process is continued to the utilization of the connector mask, etch, and ion implantation, giving rise to the structure depicted in FIG. 17a, which is analogous to that depicted in FIG. 9a. It should be noted that the ability to use a thin oxide layer 28a' on the order of about 400 A provides an advantage with respect to ion implantation energies for the connectors 24. It will also be appreciated that the alternate process described with respect to the interconnect 46c gives a much reduced polysilicon Interconnect capacitance to the substrate silicon. The reduced height of the plugs (due to reduction of the TEOS field oxide 28a' from about 3,000 to 400 A) can be used on one of two ways:
1. Reduce the plug height (automatically) from about 1.2 μm to about 0.9 μm; or
2. Increase the thickness of the oxide 28a' by the difference of about 3,000 - 400 A such that the plug height after polish is about the same as in the main process of the invention. The etch-back at the anti-contact mask 50 can now be increased from about 4,000 A to 4,000 + (3,000 - 400) A, or about 7,000 A. This is the ultimate (final) thickness between the plug interconnect and the metal to be deposited. It will be appreciated that the plug "height" is constant, but since there is no field oxide (or thin oxide) under the field polysilicon, this permits more etch-back at the anti-contact, so that the oxide between the polysilicon and the metal can be thicker, giving reduced capacitance, while still retaining more than 2,000 A of polysilicon interconnect. A portion of the structure achieved by the main process of the invention is depicted in FIG. 18a, while the same portion achieved by this alternate process is depicted in FIG. 19a. FIG. 19a shows a recessed LOCOS scheme with a recessed oxide layer 70 and omitting the optional TEOS layer. It will be appreciated that the capacitance between the polysilicon 46c and the overlying metal layer 62 is much reduced with the alternate process, giving a performance advantage. Finally, the alignment of the connector masks is much less critical for a recessed LOCOS process or similar process. In the main process, the connector masks have to be aligned inside the picture frame oxide 26. In the alternate process, there is much more latitude with respect to the alignment, since the field oxide 28a' is now continuous between devices.
It will be clear to those of skill in the art that other modifications of the LOCOS process may be made to incorporate some or all of the teachings of the present invention. Further, while the disclosure has been directed to field effect transistors, the teachings of the invention are also applicable to bipolar transistors and to combinations of FETs and bipolar devices.
However, it should be remembered that the LOCOS process is well-known for its "bird's beak" problems and that the planarity which may be achieved with the main process of the invention, will not be as good. Planarity may be improved if the LOCOS process is utilized by employing the modification described above known as "recessed LOCOS". In any event, it should be noted that the process of the invention will provide higher packing density of devices than LOCOS processes or modified LOCOS processes. The foregoing description of the preferred embodiment of the present invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the Invention to the precise form disclosed. Obviously, many modifications and variations will be apparent to practitioners skilled in this art. It is possible that the invention may be practiced in other fabrication technologies in MOS or bipolar or other processes. Similarly, any process steps described might be interchangeable with other steps in order to achieve the same result. The embodiment was chosen and described in order to best explain the principles of the invention and its practical application, thereby enabling others skilled in the art to understand the invention for various embodiments and with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents.

Claims

CLAIMSWhat Is Claimed Is:
1. Planarized contacts in a semiconductor device formed in a major surface of a semiconductor substrate, said contacts comprising (a) vertically-disposed plugs of a conducting material, one end of at least some of which plugs contact said surface and the other end of at least some of which plugs terminate in a planar region above said surface, for contacting by a subsequent interconnect layer, (b) said plugs having a similar dimension in at least one direction parallel to said substrate, and (c) said plugs being aligned with each other.
2. The contacts of Claim 1 wherein one end of other of which plugs contact an oxide layer on said surface.
3. The contacts of Claim 1 wherein said conducting material comprises polysilicon or tungsten.
4. The contacts of Claim 1 wherein said interconnects are planar.
5. The contacts of Claim 1 wherein said device is suitably isolated from adjacent devices.
6. The contacts of Claim 5 wherein said device is isolated by an oxide trench formed in said surface of said semiconductor.
7. Planarized contacts in a field effect transistor comprising source, gate and drain regions formed in a major surface of a semiconductor substrate, said contacts comprising (a) vertically-disposed plugs of a conducting material, one end of at least some of which plugs contact said surface and the other of at least some of which plugs terminate in a planar region, for contacting by a planar interconnect, (b) said plugs having a similar dimension in at least one direction parallel to said substrate, and (c) said plugs being aligned with each other.
8. The contacts of Claim 7 wherein one end of other of which plugs contact an oxide layer on said surface.
9. The contacts of Claim 7 wherein said conducting material comprises polysilicon or tungsten.
10. The contacts of Claim 7 wherein said interconnects are planar.
11. The contacts of Claim 7 wherein said transistor is suitably Isolated from adjacent transistors.
12. The contacts of Claim 11 wherein said transistor is isolated by an oxide trench formed in said surface of said semiconductor.
13. Planarized contacts in a CMOS device comprising source, gate and drain regions formed in a major surface of a semiconductor substrate, suitably isolated from adjacent devices, said contacts comprising (a) vertically-disposed plugs of polysilicon, one end of at least some of which plugs contact said surface and the other of at least some of which plugs terminate in a planar region above said surface, for contacting by a planar interconnect, (b) said plugs having a similar dimension in at least one direction parallel to said substrate, and (c) said plugs being aligned with each other.
14. The contacts of Claim 13 wherein one end of other of which plugs contact an oxide layer on said surface.
15. The contacts of Claim 14 wherein said interconnects are planar.
16. The contacts of Claim 13 wherein said said device is isolated by an oxide trench formed in said surface of said semiconductor.
17. A process for forming self-aligned planarized contacts, with conducting plugs formed to regions of a semiconductor device formed in a major surface of a semiconductor, suitably isolated from adjacent devices, said plugs separated by dielectric material and terminating in the plane defined by the surface of said dielectric material, said process comprising;
(a) forming a layer of resist on said surface of said conducting plugs and said dielectric material; (b) applying an anti-contact mask to said layer of resist to leave resist where contacts to said conducting plugs are required;
(c) etching back exposed portions of said conducting plugs where no contacts thereto are required; (d) stripping said layer of resist; and
(e) filling in said etch-back areas with a planarizing material to planarize the structure.
18. The process of Claim 17 wherein said conducting plugs comprise polysilicon or tungsten.
19. The process of Claim 17 wherein said interconnects are planar.
20. A process for forming self-aligned, planarized contacts, with conducting plugs formed to source, gate and drain regions of a field effect transistor formed in a major surface of a semiconductor, suitably isolated from adjacent transistors, said plugs separated by dielectric material, comprising;
(a) forming a layer of resist on the surface of said conducting plugs and said dielectric material;
(b) applying an anti-contact mask to leave resist where contacts to said conducting plugs are required;
(c) etching back exposed portions of said conducting plugs where no contacts thereto are required; (d) stripping said layer of resist; and
(e) filling in said etch-back areas with a planarizing material to planarize the structure.
21. The process of Claim 20 wherein said conducting plugs comprise polysilicon or tungsten.
22. The process of Claim 20 wherein said interconnects are planar.
23. A process for forming self-aligned, planarized contacts, with polysilicon plugs formed to source, gate and drain regions of a CMOS device formed in a major surface of a semiconductor, suitably isolated from adjacent devices, said plugs separated by dielectric material, comprising;
(a) forming a layer of resist on the surface of said plugs and said dielectric material;
(b) applying an anti-contact mask to leave resist where contacts to said polysilicon plugs are required;
(c) etching back exposed portions of said polysilicon plugs where no contacts thereto are required; (d) stripping said layer of resist; and
(e) filling in said etch-back areas with a planarizing material to planarize the structure.
24. The process of Claim 23 wherein said interconnects are planar.
PCT/US1988/004292 1987-12-02 1988-12-02 Self-aligned, planarized contacts for semiconductor devices WO1989005517A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12773387A 1987-12-02 1987-12-02
US127,733 1987-12-02

Publications (1)

Publication Number Publication Date
WO1989005517A1 true WO1989005517A1 (en) 1989-06-15

Family

ID=22431660

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1988/004292 WO1989005517A1 (en) 1987-12-02 1988-12-02 Self-aligned, planarized contacts for semiconductor devices

Country Status (3)

Country Link
EP (1) EP0368938A1 (en)
JP (1) JPH02502415A (en)
WO (1) WO1989005517A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69211329T2 (en) * 1992-03-27 1996-11-28 Ibm Method for producing pseudo-planar thin-film PFET devices and structure produced thereby

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4016587A (en) * 1974-12-03 1977-04-05 International Business Machines Corporation Raised source and drain IGFET device and method
US4306915A (en) * 1978-04-21 1981-12-22 Nippon Electric Co., Ltd. Method of making electrode wiring regions and impurity doped regions self-aligned therefrom
US4374700A (en) * 1981-05-29 1983-02-22 Texas Instruments Incorporated Method of manufacturing silicide contacts for CMOS devices
US4541893A (en) * 1984-05-15 1985-09-17 Advanced Micro Devices, Inc. Process for fabricating pedestal interconnections between conductive layers in an integrated circuit
US4729006A (en) * 1986-03-17 1988-03-01 International Business Machines Corporation Sidewall spacers for CMOS circuit stress relief/isolation and method for making

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4016587A (en) * 1974-12-03 1977-04-05 International Business Machines Corporation Raised source and drain IGFET device and method
US4306915A (en) * 1978-04-21 1981-12-22 Nippon Electric Co., Ltd. Method of making electrode wiring regions and impurity doped regions self-aligned therefrom
US4374700A (en) * 1981-05-29 1983-02-22 Texas Instruments Incorporated Method of manufacturing silicide contacts for CMOS devices
US4541893A (en) * 1984-05-15 1985-09-17 Advanced Micro Devices, Inc. Process for fabricating pedestal interconnections between conductive layers in an integrated circuit
US4729006A (en) * 1986-03-17 1988-03-01 International Business Machines Corporation Sidewall spacers for CMOS circuit stress relief/isolation and method for making

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0368938A4 *

Also Published As

Publication number Publication date
EP0368938A4 (en) 1990-05-14
JPH02502415A (en) 1990-08-02
EP0368938A1 (en) 1990-05-23

Similar Documents

Publication Publication Date Title
US4977108A (en) Method of making self-aligned, planarized contacts for semiconductor devices
US5081516A (en) Self-aligned, planarized contacts for semiconductor devices
US5028555A (en) Self-aligned semiconductor devices
US6268637B1 (en) Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US6069398A (en) Thin film resistor and fabrication method thereof
US6015727A (en) Damascene formation of borderless contact MOS transistors
US4599789A (en) Process of making twin well VLSI CMOS
US5066995A (en) Double level conductor structure
US5777370A (en) Trench isolation of field effect transistors
US6737308B2 (en) Semiconductor device having LDD-type source/drain regions and fabrication method thereof
US5780349A (en) Self-aligned MOSFET gate/source/drain salicide formation
US4851257A (en) Process for the fabrication of a vertical contact
US4974055A (en) Self-aligned interconnects for semiconductor devices
EP0344292B1 (en) A process of fabricating self-aligned semiconductor devices
US4964143A (en) EPROM element employing self-aligning process
US4714686A (en) Method of forming contact plugs for planarized integrated circuits
US5055427A (en) Process of forming self-aligned interconnects for semiconductor devices
US5057902A (en) Self-aligned semiconductor devices
US5091326A (en) EPROM element employing self-aligning process
KR20030021905A (en) Semiconductor device on SOI(silicon on insulator) structure) and method for manufacturing the same
US5866459A (en) Method of fabricating a contact structure for an MOS transistor entirely on isolation oxide
US6184105B1 (en) Method for post transistor isolation
KR100395734B1 (en) Raised silicide source/drain MOS transistors having enlarged source/drain contact regions and method
KR100311842B1 (en) Device contact structure and method for fabricating same
US6284578B1 (en) MOS transistors having dual gates and self-aligned interconnect contact windows

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE FR GB IT LU NL SE

WWE Wipo information: entry into national phase

Ref document number: 1989900750

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1989900750

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1989900750

Country of ref document: EP