WO1992012535A1 - Process for forming silicon oxide film - Google Patents

Process for forming silicon oxide film Download PDF

Info

Publication number
WO1992012535A1
WO1992012535A1 PCT/JP1991/001739 JP9101739W WO9212535A1 WO 1992012535 A1 WO1992012535 A1 WO 1992012535A1 JP 9101739 W JP9101739 W JP 9101739W WO 9212535 A1 WO9212535 A1 WO 9212535A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
gas
organic
thin film
temperature
Prior art date
Application number
PCT/JP1991/001739
Other languages
English (en)
French (fr)
Inventor
Atsuhiro Tsukune
Yuji Furumura
Masanobu Hatanaka
Original Assignee
Fujitsu Limited
Fujitsu Vlsi Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Limited, Fujitsu Vlsi Limited filed Critical Fujitsu Limited
Priority to US07/923,882 priority Critical patent/US5314724A/en
Priority to EP92901439A priority patent/EP0519079B1/en
Priority to DE69130947T priority patent/DE69130947T2/de
Publication of WO1992012535A1 publication Critical patent/WO1992012535A1/ja
Priority to KR92702154A priority patent/KR960008508B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Definitions

  • the present invention relates to a method for forming a silicon oxide film, and particularly to a method for forming an interlayer insulating film such as SiO 2 between multilayer wirings of a semiconductor device.
  • SOG includes inorganic S 0 G and organic S 0 G. Since inorganic SOG has a large film shrinkage, it is difficult to increase the film thickness, and a multilayer coating is necessary to obtain a film thickness of about 300 nnt. Meanwhile, the organic SOG is thickened to c generally is susceptible to cause rapid oxidative degradation in 0 2 atmosphere with an organic group is 0 .XI flop plasma Ya high temperature remaining in the film S 0 G membranes, other Has less moisture absorption than CVD oxide film It is always large and becomes a source of moisture absorption when exposed to the atmosphere. Such degassing due to the adsorption of moisture, or the generation of generated water from the film due to poor curing, may cause a decrease in reliability. For this reason, it is necessary for a process using S0G to use etchback together so that the S0G film is not exposed on the side wall of the through hole. Disclosure of the invention
  • An object of the present invention is to provide a method for forming a highly reliable planarized insulating film having a desired thickness.
  • a gas containing an organic silane or organic siloxane gas and a gas containing H and OH is excited on a substrate in a reaction chamber so as to be excited in a gas phase or on a substrate.
  • Reacting to deposit a thin film of a silanol, silanol polymer or siloxane-bonded polymer having an organic group on the substrate, and then removing the organic groups from the thin film to oxidize the silicon A method for forming a silicon oxide film having a step of obtaining a film is provided.
  • the organic group is removed by plasma processing, and in particular, the deposition step and the plasma processing step are alternately performed in the same reaction chamber to form a silicon film having a desired film thickness on the substrate. Obtain an oxide film.
  • the excitation is performed in a pulsed manner, in which case the organic silane or organic siloxane gas or its reaction product is short enough to be able to block the organic groups when it reaches the substrate. It is recommended that the excitation time be short.
  • the deposition is performed in a parallel plate plasma CVD apparatus, the pressure is 5 to 15 Torr.
  • the temperature is above room temperature 250, the distance between the electrodes is 6 to 25, Gas flow rate is 100-; I 800 cil / min, discharge power is 100-500 W, or
  • T ⁇ (where D is the time from when the gas is introduced into the plasma region to when it reaches the substrate as 100, and the length of the discharge on-time is expressed in%. 100% is the upper limit.
  • P is the RF power and T is the substrate temperature.
  • FIG. 1A to 1C are views for explaining an example of a manufacturing method of a conventional example.
  • FIGS. 2A-2B are diagrams for explaining the problems of the conventional example.
  • FIGS. 3A-3B are diagrams illustrating problems of the conventional example.
  • 4A to 4F are views for explaining another example of a manufacturing method of the conventional example.
  • FIGS. 5A-5B are explanatory diagrams of the principle of the present invention.
  • FIGS. 6A-6B are diagrams showing a reaction product of TEOS and H 20 for explaining the present invention.
  • FIG. 5 is a chart showing the molecular weight distribution with respect to the vapor pressure and the number of adsorbed molecules of the polymer for explaining the present invention.
  • FIG. 8 shows a plasma CVD apparatus according to the first embodiment of the present invention.
  • FIGS. 9A to 9D are diagrams showing the deposition shapes of silicon oxide thin films as viewed in a pressure-dependent manner.
  • FIG. 10 is a diagram showing the deposition shape of a silicon oxide thin film when the pressure is 10 Torr and the temperature is low ( ⁇ 30).
  • FIG. 11 is a view for explaining the state of the silicon oxide thin film depending on the flow ratio and the pulse.
  • FIG. 12 is a diagram showing the growth rate of a silicon oxide thin film with respect to the TEOS flow rate.
  • FIG. 13 is a schematic diagram showing a plasma CVD device according to a second embodiment of the present invention.
  • FIGS. 14A to 14B are diagrams showing the deposition shape of a silicon oxide thin film when deposited using a plasma CVD apparatus according to the second embodiment of the present invention.
  • FIGS. 15A to 15B are diagrams illustrating a silicon oxide film in the case of performing an annealing treatment in an N 2 gas atmosphere after deposition according to the third embodiment of the present invention.
  • FIGS. 16A to 16B are schematic diagrams showing a CVD apparatus when using microphone mouth wave excitation applicable to the present invention.
  • FIGS. 17A to 17B are diagrams illustrating a silicon oxide thin film in the case where film formation and plasma processing are repeated according to the fifth embodiment of the present invention.
  • FIGS. 18A-18F are a micrograph and a model diagram of a silicon oxide thin film obtained by the film formation of the sixth embodiment.
  • FIG. 19 shows the substrate temperature and pulse in the film deposition of the sixth embodiment.
  • FIG. 6 is a diagram showing a relationship between the first excitation duty and the flattening of a film.
  • FIG. 20 is a diagram showing the results of thermal analysis of the film obtained in the sixth example.
  • FIG. 21 is a diagram showing the molecular weight distribution of the film obtained in the sixth example.
  • FIGS. 22A-22B show the heat shrinkage and the etching rate of a film formed without plasma treatment.
  • FIGS. 23A to 23B are a process diagram of a plasma treatment process after deposition in the seventh embodiment and a diagram showing an etching rate of a film obtained by the process.
  • FIG. 25 is a process chart showing a process of forming a silicon oxide thin film while repeating deposition and H 20 plasma treatment in the seventh embodiment.
  • Figure 26 is a cross-sectional micrograph of the silicon oxide film obtained by the repetition method of Figure 25.
  • FIG. 27 is a schematic diagram showing a film forming apparatus utilizing a parallel plate RF discharge applicable to the present invention.
  • FIG. 28 is a schematic diagram showing a film forming apparatus using a wave down flow type plasma applicable to the present invention.
  • FIG. 29 is a schematic diagram showing a film forming (single-wafer processing) apparatus and an annealing processing (batch processing) apparatus applicable to the present invention.
  • FIG. 3 OA-30B is a diagram illustrating a method of applying high-frequency power and low-frequency power in a film forming method applicable to the present invention.
  • FIG. 31 is a diagram showing a synthesis box in the application method shown in FIG. 30B applicable to the present invention.
  • BEST MODE FOR CARRYING OUT THE INVENTION Prior to specifically describing the present invention, prior art will be described in more detail with reference to the drawings for reference.
  • FIGS. 1A to 1C are diagrams illustrating an example of a conventional method for manufacturing a semiconductor device.
  • the substrate 8 1 ing from S i and the like, 82 denotes an insulating film made of S i 0 2, etc., 8 3 A 1, etc.
  • 8 4 a is S i 0 2 film
  • 8 4 b is SOG film
  • 8 4 c is S i 0 2 film
  • An insulating film, 85 is a resist pattern
  • 86 is an opening formed in the resist pattern 8 ⁇
  • 87 is a contact hole which becomes a through hole formed in the resist pattern 85
  • 88 is A1 And the like.
  • SiO 2 is deposited on a substrate 81 by, for example, a CVD method to form an insulating film 82, and AI is deposited on an insulating film 82 by, for example, a sputtering method.
  • a 1 film is formed, for example, after forming the a 1 film putter-learning to the wiring layer 8 3 by RIE, for example, S i 0 2 film 8 4 as by CVD to cover the wiring layer 8 3 a
  • a step is formed in the S i O z film 8 4 a table surface.
  • S 0 G is applied on the S i 0 2 film 84 a by a spin coating method so that the surface becomes flat, and S 0 G Forming a film 8 4 b, for example, after forming the S i 0 2 film 8 4 c by depositing S i 0 2 in the SOG film 8 on 4 b by CVD on S i 0 2 film 8 4 c A resist pattern 85 having an opening 86 is formed.
  • the interlayer insulating film 34 is etched to form a contact hole 87 in which the wiring layer 83 is exposed.
  • the wiring layer 88 is formed by depositing A1 so as to make contact with the wiring layer 88 through the contact hole 87 by the Subak method, thereby forming a wiring as shown in FIG. 1c. Structure can be obtained.
  • an inorganic SOG agent (which does not contain an organic group such as a CH 3 group in the cured film) is used as a material of the silica glass film formed by the S ⁇ G method.
  • an inorganic SOG agent which does not contain an organic group such as a CH 3 group in the cured film
  • the film thickness is increased, cracks easily occur in the 300 film 841), so that it is not possible to increase the film thickness (especially, cracks easily occur at 30 O nm or more). Sufficient planarization cannot be realized.
  • organic SOG materials (those containing organic groups such as CH 3 in the cured film) can be made thicker (though it can be formed to a thickness of about 500 to 600 nm).
  • the SOG film 84b is etched back as shown in FIGS. 4A-4F, and after the contact holes 87 (through holes) are formed, the SOG film 84b is formed. b is not exposed on the side wall of the contact hole 87.
  • the S i H 4 0 2 (or N 2 0) to PH 3 how to obtain the PSG was added, as well methods for obtaining the BSG by adding B 2 H 6, a method of obtaining a a s SG Similarly the addition of a s H 3, similarly to PH 3 and B 2 H 6 A method for obtaining BPSG by adding them at the same time is known.
  • the flatness is improved by performing an annealing (reflow) treatment at a high temperature of 900 ° C. or higher.
  • FIGS. 5A-5B are explanatory diagrams of the principle of the present invention.
  • FIG. 5B shows a method of forming a silicon oxide thin film according to the present invention
  • FIG. 5A shows a conventional SOG method for comparison.
  • the conventional S0G method shown in Fig. 5A uses a chemical polymerization method, which is one of the sol-gel methods, where a silicon alkoxide (Si (OR) 4, etc., 0 is an oxygen atom, and R is alkyl group) and a mixture of H 2 0 in a solvent such as Buchiruse port Sorubuyabuguchi Birendari call monopropionate building ether, for control the hydrolysis reaction and dehydration condensation reaction, moderate to HN0 3 and HC 1 , is a mixture of H z S 0 4 such as an acid catalyst.
  • a chemical polymerization method which is one of the sol-gel methods, where a silicon alkoxide (Si (OR) 4, etc., 0 is an oxygen atom, and R is alkyl group) and a mixture of H 2 0 in a solvent such as Buchiruse port Sorubuyabuguchi Birendari call monopropionate building ether, for control the hydrolysis reaction and dehydration condensation reaction
  • organic silane (or organic siloxane) and H 20 are excited.
  • Excitation methods include plasma excitation (RF discharge, wave discharge, low frequency discharge, DC glow discharge, etc.), optical excitation (IR, UV, SOR, laser, X-ray, etc.), thermal excitation, etc.
  • pulse-like excitation that temporally sets these excitations to 0N-0FF can also be used as needed.
  • a film gel made of a low molecular weight polymer having an organic group is deposited on the substrate, so that this film gel has fluidity and immediately after S0G coating.
  • the excitation energy power, pulse period, duty, etc.
  • pressure, and temperature can be used to flatten the film, and then remove unwanted organic groups from the flattened film.
  • the reaction and deposition processes can be controlled over a wide range. Since the process and the heat treatment can be incorporated at the same time, the thickness can be increased while maintaining flatness and good film quality.
  • an organic-based silicon oxide film can be deposited and then heat-treated to remove the organic groups and convert it to a silicon oxide film.
  • the film thickness can be easily controlled as compared with the SOG method, so that the film can be formed thin and baked. Since the organic group is contained in the film, if the film is formed thick at one time and subjected to heat treatment, cracks will be generated due to the generation of large stress due to film shrinkage, but the film thickness is reduced. By forming and performing heat treatment while controlling, the generation of stress can be suppressed to a small level. By repeating the formation and heat treatment of this thin film, the film thickness can be increased. is there. In addition, film formation and heat treatment can be performed in the same vacuum chamber, and the above-described repetitive processing is facilitated.
  • baking or curing can be performed concurrently with the deposition, and the degree of the baking or curing can be controlled by adjusting the substrate temperature and the like. Control and maintain flattening.
  • a modification of the second embodiment in which the gas is excited in a pulsed manner, enables deposition of the organic-based silicon oxide at a higher temperature. This enables a deposition process in which the deposition, flow, and bake or cure of the organic silicon oxide are controlled at short time intervals on the order of the pulse time.
  • a flat and inorganic high-quality material is obtained by performing a bake or cure by plasma treatment and alternately performing deposition and plasma treatment in the same reaction chamber without breaking vacuum.
  • a further high-temperature heat treatment is performed to form a denser film or to remove remaining organic groups.
  • This heat treatment can also be used for reflow.
  • the source gas used in the method of the present invention is an organic silane or a siloxane and a compound containing H and OH.
  • the organic silane or organosiloxane has a basic atom or basic skeleton of Si or Si—0, and all side groups are organic groups (alkyl groups such as methane, ethane, etc., methoxy groups, ethoxy groups).
  • Compounds such as alkoxyl group, acetoxyl group, aryl group, etc.), for example, tetraethoxysilane, tetramethylsilan, tetramethylsilyl, tetramethylcyclone
  • Examples include trisiloxane, octamethylcyclotetrasiloxane, and diethoxy tert-butoxysilane, and a part of the organic group may be substituted.
  • the organosilane or organosiloxane has a molecular weight in the range of 500 to 500, more preferably 100 to 100,000 and the number of silicon atoms in the range of 50 to 500 is preferred. It is preferred that it has no more than 20 times, more preferably no more than 20 times the number of carbon atoms, and that all or most of the Si bonding groups are alkoxyl groups.
  • a thin film of silicon oxide is doped with impurities such as phosphorus (P), pollon (B), and arsenic (As) to form PSG, BSG, AsSG, or BPSG. It can be done.
  • the P source gas, P (0 C ⁇ 3) 3, P 0 (0 CH 3) 3 or the like is added Li N'arukokishi de simultaneously, as the saw Sugasu of B, B (0 C ⁇ 3 ) 3, It was added B a (0 C 2 H 5) 3 and the like polo N'arukokishi de same time, as a source gas of a s, is added a s (0 CH 3) 3 or the like arsenic alkoxy de simultaneously. Since the basic concept of the reaction is exactly the same as the alkoxide similar to TEOS, P, B and As can be effectively doped in the film.
  • H and OH ⁇ compound H and OH Rajikarua Rui is excited is a compound that generates H and OH ions, rather preferred compounds does not occur other than H and 0 H, therefore H 2 0, H 2 ⁇ hydrogen peroxide) is a preferred compound. However, generation of radicals or ions other than H and 0H is not excluded.
  • a gas containing N (nitrogen) such as NH 3
  • N nitrogen
  • the thin film is By using the oxide, the moisture resistance and water permeability of the thin film are improved as compared with the silicon oxide, and a highly reliable thin film can be obtained.
  • 0 using 2 gas (oxygen) gas simultaneously, as described above, is removed by effectively oxidize and decompose organic groups remaining in the thin film obtained by polymerizing the body and the formation of low molecular weight, high reliability A silicon oxide thin film can be obtained.
  • 0 2 method of introducing the gas rather by be performed continuously or intermittently, intermittently can the molecular weight control is introduced preferable.
  • an inert gas as a carrier gas N e, A r, etc.
  • an inert gas as a carrier gas N e, A r, etc.
  • the H and 0 H ⁇ compound gas such as organic Sila Ngasu and H 2 0
  • the reaction can be controlled, and the molecular weight of the low molecular weight polymer to be polymerized can be controlled. Thereby, a thin film having excellent flatness can be formed on the wafer.
  • the flow rate of H 2 0 gas to the flow rate of organic Sila down or organosiloxane gas, the stoichiometric molar ratio for the hydrolysis reaction or oxidation reaction using the organic sila down or organosiloxane material H 2 0 (e.g. , in the case of TEOS and H 2 0, T F- 0 S becomes H 2 0 4 moles per mole. also, H 2 relative to HMDS 1 mol in the case of HMDS and H 2 0 06 mol.)
  • the molar ratio is 1 Z 10 times or more and 50 times or less. It is preferable that a lower surface be obtained because a highly reliable organic group-containing silicon oxide thin film having excellent surface flatness can be obtained. It is more preferably in the range of not less than 1/2 times and not more than 2 times, most preferably in the range of 1 time, that is, the degree of stoichiometric ratio.
  • the organic Sila down or organic shea port hexane and H 2 0 H and OH Complex organic compound gas such as by reacting to form the polymer, be excited the gas becomes a necessary condition.
  • the excitation method include a method of supplying high-frequency power, a method of supplying light energy, a method of supplying heat energy, and a method of using a catalyst that chemically amplifies the reaction.
  • excitation means that external energy is applied to decompose organic and organic siloxanes or H and 0H-containing compounds such as H 2 ⁇ to the atomic level or to the ground state at the atomic or molecular level.
  • By making the state higher in energy (excited state) it means that it is activated.
  • H 20 a state in which H 20 radicals, H radicals, 0 H radicals, H ions, 0 H ions, electrons, and the like are formed.
  • S i (0E t) 4 is converted to S i (0E t) 4 under the condition that hydrolysis of S i (0E t) 4 and dehydration condensation reaction of a product obtained by the hydrolysis do not completely proceed.
  • H 2 0 provide for reaction. To illustrate this, a simplified reaction example is shown.
  • this low molecular weight polymer has a siloxane bond
  • silanols in which all groups are substituted with 0H groups as in the compound shown in FIG. 6B they tend to easily undergo dehydration-condensation polymerization. However, it is immediately crosslinked to the thin film already formed on the substrate, and the fluidity (migration) is significantly reduced.
  • the preferred range of the wafer base temperature is at least 100 or less and more preferably room temperature (25 or less).
  • deposition of a flat thin film can be realized even at room temperature or higher, for example, at 100 or higher.
  • the silicon oxide deposited on the substrate according to the present invention The film has at least an organic group and has a suitable molecular weight to enable flattening of the thin film.However, the amount and molecular weight of the organic group are not approximate, but in short, It is necessary that the surface of the thin film formed at least has a flatness that does not form a discontinuous surface even at the step portion of the substrate.
  • the organic group contained in the silicon oxide thin film having such flatness is as small as possible to be flattenable (a flat shape can be realized).
  • the amount of Nb is correlated with the wafer substrate temperature in the sense of realizing a flat shape as described above.
  • a more preferable range is that 20 to 60% by weight of the organic group remains during the deposition.
  • the remaining amount is controlled to at most 30% by weight, more preferably to 10% or less.
  • the low molecular weight polymer adsorbed on the wafer receives heat from the wafer as the temperature increases, and the polymerization (crosslinking) reaction is accelerated.
  • the Si—OH bond undergoes a bridging reaction by a dehydration condensation reaction to change into a silicon oxide having a siloxane bond (—Si—0_Si—).
  • the organic groups remain inside and on the surface of the low polymer.
  • the frequency of the cross-linking reaction of the bond bonded to the organic group also changes depending on the temperature.
  • the decomposition of this organic group is It occurs at about 250 degrees to 300 degrees or more. This is because unbonded bonds (dangling bonds) such as Si-10— are formed, and the crosslinking reaction is promoted.
  • the step of removing organic groups from the thin film in the present invention is not limited to the above-described plasma treatment and oxidation-reduction treatment, and may be, for example, simply heat treatment.
  • the heat treatment temperature for removing the organic group is generally 100 ° C. or higher, more preferably 250 ° C. or higher, but according to the present invention, the organic group is formed at a low temperature of 450 ° C. or lower. It is preferable because it can be removed to obtain a silicon oxide thin film without adversely affecting the aluminum wiring. However, silicon oxide thin films from which organic groups have been removed by heat treatment at a relatively low temperature have a relatively large etching rate, which is due to the lack of networking (three-dimensionalization) of the silicon oxide and the dangling. Since it is considered that there is a large amount of double bond, a network may be formed by performing a heat treatment at a temperature of 600 ° C. or more, preferably 850 ° C. or less.
  • a thin film can be formed into a network even at a temperature of 450 or less, for example, room temperature.
  • the preferred temperature for flattening during the deposition is 250 or less, and the temperature is 10 or less due to the effect of the plasma processing.
  • the above temperature range is preferred. Therefore, a plasma treatment at 100 to 250 ° C, and more preferably a further heat treatment at 250 to 450 ° C, is used to form a silicon oxide film net. It is preferable to make the work more compact.
  • the film may be reflowed by a high-temperature heat treatment to obtain a flatter film.
  • P, B, As, etc. can be dropped into the film to lower the reflow temperature.
  • a sufficient effect can be achieved at a reflow temperature of 850 ° C. or lower, and more preferably 800 ° C. or lower.
  • the present invention TE 0 organosilane or organic Shirokisanga Graphics and H and 0 H containing compound gas H 2 0, etc.
  • the wafer temperature is set at such a point that a low molecular weight polymer is adsorbed on the wafer. It is a very important factor in terms of flowing on the wafer and accelerating the crosslinking reaction, and the wafer temperature is determined by taking these factors into account.
  • an organic base silicon When combining oxide film deposition and plasma treatment for removing organic groups from the thin film and repeating these in the same reaction chamber, the substrate temperature matches the temperature required for plasma treatment (removal of organic groups). It is desirable to make it.
  • the deposition is performed under heating at 100 to 250, it is necessary to control other process conditions to obtain a thin film having a desired fluidity (planarization ability). It is particularly effective to perform the excitation in a pulsed manner. In other words, intermittent excitation enables deposition of a thin film having fluidity even at high temperatures.
  • the organic group is removed from the thin film by performing a plasma treatment at the same temperature to remove the silicon film.
  • Plasma treatment the supply of organic Sila down or organosiloxane gas as a raw material gas is stopped and plasma by introducing H and 0 H ⁇ other gases or a compound or 0 2, H 2, etc.
  • the removal of the organic group is performed by an oxidation or reduction reaction.
  • the deposition of the organic group-containing silicon oxide film and the plasma treatment were alternately repeated in the same reaction chamber.
  • the present invention is not limited to this.
  • plasma treatment and oxidation-reduction treatment can be generally used to remove organic groups, and the reaction chamber and temperature do not need to be the same.
  • the pressure in the chamber where the wafer is installed changes the probability of adsorption of low-polymer intermediate products to the wafer depending on its molecular weight (see Fig. 5).
  • the upper diagram shows how the vapor pressure of the polymer depends on the molecular weight and temperature
  • the lower diagram schematically shows the molecular weight distribution of the gas and liquid polymers.
  • the pressure in the chamber where the wafer is installed is an important condition, and a pressure of at least 1 Torr is required.
  • the preferred pressure is 5 to 15 Torr, and especially 5 to 10 Torr in consideration of the stability of plasma excitation.
  • the molecular weight of the polymer formed by the reaction may be controlled by making the excitation energy or the one that stimulates the excitation an intermittent pulse. According to this method, a polymer having a low molecular weight can be formed, and by adsorbing the polymer on a wafer, a thin film of an organic silicon oxide having excellent flatness can be obtained. .
  • the state of the organic group-containing silicon oxide thin film formed on the wafer changes depending on the temperature of the wafer on which the polymer is adsorbed.
  • the vapor pressure of a polymer increases as the molecular weight of the polymer decreases, but naturally the vapor pressure of the polymer also changes depending on the temperature at which the polymer is placed, and as the temperature increases. The vapor pressure increases.
  • the adsorption probability of the polymer adsorbed on the wafer changes depending on the wafer temperature and the molecular weight of the polymer.
  • the low polymer adsorbed on the wafer flows (migrate) on the surface of the thin film of the organic base silicon oxide formed on the wafer, and at the same time, the organic base silicon oxide is formed. It changes into a thin film of organic silicon oxide while cross-linking with unreacted bonds on the surface of the oxide.
  • the flatness of the organic silicon oxide thin film formed in this way is caused by the fluidity of the low polymer on the surface.
  • Electrode distance 6 to 25 thighs, especially 10
  • Discharge power 500 W or less, especially 100 to 4 f) 0 W
  • RF (discharge) frequency 13.56 MHz
  • T is the substrate temperature
  • P is the RF power (W ⁇ watt)
  • D is 100% when the value is 100% or more.
  • the discharge duty refers to the ratio of the on-time to the pulse cycle.
  • the organic sila down or organosiloxane for example TE_ ⁇ S (S i (0 C 2 H 5) S i X (0 C 2 H 5 with respect to J) 3 or HMDS ((CH 3 ) 3 S i 0 S i (CH 3 ) 3 ) with respect to an organic group (in this case, an ethoxy group (OC 2 H 5 )) such as X (CH 3 ) 2 S i 0 S i X (CH 3 ) 2 ) And the methyl group (CH 3 )) are replaced with another element (X).
  • substitution element (X) may be H (hydrogen) or C I
  • low polymer is also adsorbed to a portion of the film forming apparatus, such as a chamber and an electrode, which is exposed to the reaction gas, by the same temperature as the wafer.
  • the wafer and the wafer are installed. It is preferable to keep the temperature of the chamber and other parts of the electrode and other parts exposed to the reaction gas, other than the stage, constant, and at least a constant room temperature or higher to suppress the probability of adsorption.
  • the organic component is still contained in the formed film, and accordingly, the organic component is contained in the film formed on the chamber electrode or the like.
  • I will. Or added 0 2 gas to NF 3 or the like click leaning gas in tea Nba one cleaning, by the chamber click Riyungu in NF 3 or the like click renin Gugasu after exposure to 0 2 plasma, to reduce the click Lee-learning time Cleaning can be performed without leaving any attached matter such as organic matter.
  • this silicon oxide thin film was formed. Wafers are stored in a chamber where the atmosphere, pressure and temperature are controlled in the same equipment, and when a certain number of wafers are reached, annealing is performed in a chamber where the atmosphere, pressure and temperature in the same equipment are controlled. May be performed.
  • etchback may be performed in the same chamber in the same device or in another chamber in the same device.
  • a gas containing F such as CF 4 > CHF 3, NF 3, may be added to the reaction gas to be subjected to the etching back, if necessary, by adding O 2 .
  • the molecular weight distribution of the polymer that is polymerized in the gas phase or on the wafer surface varies depending on the pulse conditions (period, duty, etc.) if other conditions are the same. It can be done. Basically, the molecular weight can be changed to the polymer side under the pulse condition that gives more excitation energy, and when the molecular weight is higher, the weight change is smaller in the subsequent heat treatment such as annealing. , The amount of shrinkage of the film thickness can be reduced, and reliability such as crack resistance can be improved.
  • FIG. 8 schematically shows an apparatus for carrying out an embodiment of the present invention, which can be installed by a parallel plate type (anod couple) plasma CVD apparatus.
  • a high frequency (RF) discharge is used between the electrodes 1 and 2 and the RF frequency is set to 13.56 MHz.
  • the electrode 1 on the upper force source side also serves as an inlet for these gases into the chamber and is in the form of a shower.
  • the lower anode side electrode has a heater control line for temperature control and a piping for cooling chiller inside, and the temperature can be changed from 150 to 150 Can e
  • TE 0 and S flow 4 sccnu H 2 0 flow rate 6 0 0 sccm (Flow ratio: 1: 150)
  • RF frequency is set to 13.56 MHz
  • RF power is continuously oscillated at 100 W to excite.
  • the electrode spacing is set to 18 rows. Then, the wafer temperature is brought to room temperature, and the deposition is started (at the same time as the deposition, the RF power increases the wafer temperature, but ignores the amount).
  • the deposition shape of the silicon oxide thin film as seen from the pressure dependence of the chamber is shown in Figs. 9A-9D.
  • the one formed at 10 Torr shown in FIG. 9A has an octopus pot shape but a reflow shape.
  • the pressure changes as shown in Fig. 9B-9D, so that the discontinuous surface 11 unique to the CVD film can be clearly seen at the edge of the step. (Fig. 9B), and when the pressure is further reduced, cracks 12 occur (Figs. 9C and 9D).
  • the shape changes to a flatter shape as shown in FIG.
  • the one shown in FIG. 10 is for a chamber pressure of 1 O Torr.
  • the reason why the shape becomes even flatter than that shown in Fig. 9 ⁇ is presumed to be that the cooling probability of the wafer increases the probability of adsorption of the low molecular weight polymer to the wafer.
  • the chamber pressure is set to 10 Torr
  • RF is set to 13.56 MHz
  • RF power is set to 300 W
  • electrode spacing is set to 10 hin
  • pulse frequency is set to 75 H2
  • duty is set to 30%. I do.
  • the actual RF power is 300 WX 30% because of pulse oscillation.
  • the total flow rate is made constant at 3750 sccnu H20 at 300 seem, and the TE0S flow rate is changed to 20 sccm force, etc. For the rest, keep the total flow rate constant with N 2 gas.
  • the wafer substrate temperature (deposition temperature) is 37.
  • Fig. 11 summarizes the state of the thin film
  • Fig. 12 shows the growth rate with respect to the TEOS flow rate.
  • the data of TEO SZH 20 flow rate ratio 1: 2 are measured at TEOS 30 sccm. H 2 600 sccm. Total flow rate ⁇ 50 sccm.
  • the molecular weight of the polymer adsorbed on the wafer is selected based on the vapor pressure, the chamber pressure (1 O Torr), and the wafer substrate temperature (37 t). Since a polymer having a small molecular weight that cannot be adsorbed on the wafer is formed, the growth rate of the silicon oxide thin film formed substantially in a wafer shape is considered to be reduced.
  • the molecular weight of the polymer formed by the excitation reaction can be efficiently controlled.
  • FIG. 13 is a schematic diagram showing a plasma CVD apparatus according to the second embodiment of the present invention.
  • 15 is the upper electrode
  • 16 is the lower electrode
  • 1 is the supply gas pipe
  • 18 is the wafer (substrate)
  • 19 Is a heater.
  • TE 0 S flow rate 3 O sccnu H 2 0 flow rate 1 2 0 seem.
  • RF Pawa one 3 0 0 W.
  • the pulse frequency is set to 100 Hz
  • the duty (0 NTIME) is set to 20 and the electrode interval L is set to 10
  • the pressure in the chamber is set to 10 Torr.
  • Figure 1 4 A, 1 4 in B, 2 2 is Li co down substrate, 2 3 thermally oxidized film, 2 4 Helsingborg Shi Li co Nbata one down, 2 5 Burazuma CVD- S i O z layer, 2 Reference numeral 6 denotes an organic material-containing silicon oxide thin film.
  • the area of the gas inlet (hole of the upper electrode 15) into the chamber of the shower of the device shown in Fig. 13 is set to about 8 ⁇ !, and the temperature of the introduced gas is set to about 80'C (C ( ⁇ ).
  • 0 S and ⁇ ⁇ 0 are both gas sources because they are liquid sources)
  • the flow rate of gas 20 at the outlet of the shower is about ⁇ 0 cm, sec
  • the arrival time between the electrodes is 14.3 m seconds.
  • the flow rate of the key catcher Li Agasu der Ru N 2 doubled to 4 0 0 sccm
  • the flow rate in this case is approximately 1 1 0 cm / sec
  • the arrival time between the electrodes is about 9 m sec
  • one cycle of the pulse is 10 ms, it takes time to cure (or cure) only for 1 ms. Under these conditions, it has been confirmed that a thin film cannot be formed on a wafer (at least in a process-practicable time) without excitation by RF plasma.
  • the deposition and cross-linking reaction (bake or cure) of the thin film are controlled on the order of milliseconds, and the film quality of the (organic group-containing) silicon oxide thin film to be formed is significantly improved. Can be done.
  • the control of the molecular weight of the polymer but also the above-described process effect can be realized as the effect of performing the excitation in a pulsed manner.
  • a thin film of silicon oxide containing organic groups is deposited on the wafer as 12 O'C. Then, the organic base-containing silicon oxide thin film is annealed in a normal pressure horizontal furnace under a condition of N 2 gas atmosphere and N 2 gas atmosphere for 30 minutes.
  • the silicon oxide thin film obtained under these conditions can achieve flatness as shown in Fig. 15A, and the organic components can be formed without cracking due to the above annealing treatment. Remove And a highly reliable film can be obtained.
  • the organic component was removed in the case of the conventional inorganic S0G material to which the above-described annealing treatment was added, but the crack was generated in the above 0.6 wn. It was not preferable for practical use.
  • 1 5 B Helsingborg silicon co down 2 4 height 1
  • a plasma CVD-S i 0 2 film 2 5 is thick 3 0 O nm.
  • the removal of organic components was confirmed by FTIR (Fourier transform infrared analysis).
  • the silicon oxide thin film obtained under these conditions was free from white turbidity and had a good surface morphology, and a high-density film could be obtained. Note that 0 is 2 turbid flow rate and the 3 0 seem has occurred, has been deposited S i 0 2 powder fine on the wafer, there is a catalytic effect of accelerating the reaction is the coming 0 2 It turns out.
  • H z gas The same can be said for H z gas.
  • the other process conditions were the same as the above process conditions.
  • the line & space pattern (line & space) with 1 wiring step (height) and 1 wiring width pattern) the relationship between the H 2 gas flow rate and the embedding characteristics of the silicon oxide film was investigated by changing the wiring interval to 1.0 lord> 0.75, 0.5 m, 0.2
  • Fine Sio 2 powder was deposited on the wafer and clouded
  • H 2 Although it dense membrane is made form is inferred, as can be seen from the above table, the addition of H 2 is the sense that the realization of 0 2 addition and similarly flattened shape In this case, it is found that there is no effect.
  • H 2 0 you added and H 2 is also, depending on the temperature, H 2 0 + and against the total amount of H 2 H 2 at most 2 0 Vol% or less, further 1 0 Vol% or less Is preferred.
  • the present invention may be performed by a CVD apparatus using excitation in a downflow format using wave power or RF power as shown in FIGS. 16A and 16B. Excitation of the gas can be performed individually, and the controllability of the process can be further improved.
  • 3 0 organosilane inlet, 3 1 H 2 0, 2 inlet, such as, 3 2 quartz tube, 3 3 is a wave tube.
  • a parallel-plate (anod-coupled) plasma CVD system as shown in Fig. 13, high-frequency (RF) discharge between the electrodes was used to excite the gas, and the RF frequency was 13.56 MHz. Is used. TEOS and H 2 0 co Sosuta tank, heating the piping, is introduced into the tea Nba one in the gaseous state (V apor). N 2 is used as a carrier gas.
  • the electrode on the upper force source side also serves as an inlet for these gases into the chamber, and is in a uniform shape.
  • the electrode on the lower anode side has a heater wire for temperature control inside, so that the wafer temperature can be controlled.
  • the RF frequency is 13.56 MHz.
  • the RF power is excited by pulse oscillation at 300 W, and the pulse conditions are as follows: the cycle is 10 ms, the duty is 20% (ONTIME: 2 ms), The electrode spacing is 10 mm, the pressure in the reaction chamber is 10 Torr, and the wafer temperature is 120 ° C.
  • the plasma processing conditions were as follows: 0 z flow rate was 80 SCCI N 2 flow rate was 400 SCCDK RF frequency was 13.3 ⁇ 6 MHz. RF power was excited by continuous oscillation at 300 W, and electrode spacing , The pressure in the reaction chamber is 1.5 Torr, and the wafer temperature is 12 O'C. Under the above conditions, the film formation and the plasma treatment are alternately performed in the same chamber, and under the above film formation conditions, a thin film of an organic base-containing silicon oxide having a thickness of about 10 Onin is formed on the wafer.
  • the inorganic silicon oxide thin film 37 having a flat shape is thickened. (100 nm or more) (Fig. 17B).
  • TEOS flow rate is 30 sccm.
  • H 2 flow rate is 120 scccnu
  • N z flow rate is 400 sccm
  • pressure is 10 Ton-
  • RF frequency is 1 3.56 MHz
  • RF power was set to 300 W
  • electrode spacing was set to 10 Fiber, and the dependence of substrate temperature and oscillation conditions was investigated.
  • a BPSG film (thickness 600 nm) 42 is formed on a silicon substrate 41, and an aluminum wiring pattern (height 500 nm) 43 is formed thereon.
  • FIGS. 18B-18F The results are shown in FIGS. 18B-18F.
  • Fig. 18B at a substrate temperature of 65, a sufficiently flat film was obtained by continuous oscillation.
  • the substrate temperature is kept constant at 150 ° C, pulse oscillation is performed at a pulse frequency of 100 Hz (pulse cycle of 1 Oms), and the duty (ON Time) is 50%, 40%, and 30%.
  • the flatness of the film increases as the duty decreases. As described above, it has been shown that a flat film can be deposited at a higher temperature by reducing the duty of the pulse oscillation in the present invention.
  • FIG. 19 shows whether or not a good flattening film can be obtained on the basis of practicality as a function of the substrate temperature and the degree of duty under the same conditions as described above.
  • the practicality depends on whether or not it is possible to embed a pattern with a wiring interval of 0.5, and an aspect ratio of about 1 to 2.
  • Figure 20 shows the thermal analysis results of the film immediately after deposition.
  • TG heating weight loss measurement
  • DTA differential thermal analysis
  • the solid line indicates continuous oscillation at a substrate temperature of 60 ° C
  • the broken line indicates continuous oscillation at a substrate temperature of 150 ° C
  • the solid line indicates a substrate temperature of 150 ° C.
  • the film was obtained with a pulse oscillation (pulse frequency: 100 Hz) with a duty of 30%, and oxidative decomposition was started around 250 in each case, so most of the organic components in the film Is estimated to be an ethoxy group.
  • Figure 21 shows the molecular weight distribution of the film obtained at a substrate temperature of room temperature (25) and a pulse duty of 30% (pulse frequency of 100 Hz), together with the molecular weight distribution of the film obtained by the organic SOG method.
  • Solid line is organic
  • the SOG method, the broken line is the method of the present invention, Mw represents the weight average molecular weight, and M devisrepresents the number average molecular weight.
  • FIGS. 22A and 22B show the heat shrinkage and the etching rate of the film obtained as in the sixth embodiment.
  • the films were formed by continuous oscillation at 60, 120'C and 150, respectively.
  • Heat shrinkage ratio of the film was measured by subjecting each 1 hour N 2 atmosphere 2 5 0 'C and 4 0 0' heat treatment of C with atmospheric ⁇ furnace.
  • the etching speed atmospheric ⁇ furnace, 1 hour at 2 5 0 'C in N 2 atmosphere, after 1 hour heat treatment at 4 0 0 hands was measured 0. 5% HF dip.
  • the shrinkage rate is 50% and the etching rate is more than 1500 nmZ, which is larger than that of the inorganic S0G film.
  • Te N 2 atmosphere 4 5 0, 3 shrinkage 0 minutes inorganic S 0 G film heat treatment is 2 3.
  • 1% etch rate is 1 2 0 nm / niin.
  • ⁇ 20 plasma processing conditions are 150, 1 Torr, Hz 0 partial pressure 100%, 13.3 ⁇ 6 MHz, 100 W The processing time was changed.
  • Results, along with the H 2 0 d Tchingure one DOO in each condition compared to the control not subjected to bra Zuma process is slow summer, that there is a greater modification effect in 5 0 to 7 0 nm region of the membrane surface I understand.
  • Figure 25 shows the sequence of Repeat Process. 1550 Torr, 1 O Torr, 13.56 MHz, 300 W, pulse frequency of 100 Hz, duty cycle of 30% H 2 0 partial pressure 1 0 0% was 1 3. 5 6 MH2, 1 0 0 W H 2 0 plasma treatment.
  • the unit deposition time was varied between 5 and 30 seconds, plasma treatment was performed for 1 minute for each deposition, and the film was deposited so that the total deposition time was 3 minutes.
  • the shorter the unit deposition time the smaller the etching rate and the better the film quality.
  • the film with c unit deposition time of 5 seconds has the same etch rate as inorganic S0G. Yes, it is etched at almost the same rate from the film surface to the inside of the film.
  • the shrinkage rate is 4.2% after annealing at 400 in the case of 5 seconds, which is 1Z5 or less compared to inorganic SOG (however, 450'C annealing, 30 minutes). I have.
  • FIG. 26 shows a cross-sectional photograph of the film obtained by Repeat Process of the deposition and the plasma treatment shown in FIG.
  • the film forming conditions are the same as those formed with a unit deposition time of 5 seconds in the above table.
  • the 2C 'film is mineralized as shown in Fig. 24 and has no etching speed. This is at the same level as that of the SOG film, which clearly indicates that the film is flattened.
  • the H 20 plasma processing conditions were 150 ° C., 1 To rr, H 20 partial pressure of 100%, 13.56 MHz, and 100 W, but the processing pressure was A suitable pressure between 0.1 Torr and 15 Torr can be selected.
  • the processing pressure of H 2 0 plasma treatment it is preferable to adjust to the deposition pressure.
  • the H 20 plasma processing pressure is 1 O Torr, but it is clear that the same effect can be obtained even at 10 Torr.
  • H 2 0 plasma treatment although the H 2 0 partial pressure and 1 0 0%, N 2 or H e, also this to suitably mixed with an inert gas and key Ya Li Agasu such A r course Conceivable.
  • this plasma treatment generally forms a thin N-containing layer on the surface of the organic-based silicon oxide thin film.
  • the entire film can be made into a silicon oxynitride film (Sio). This can be inferred.
  • SiON film it is possible to obtain a film having better water resistance than a silicon oxide film in which N is not impaired.
  • the RF (high frequency) frequency in the H 2 ⁇ plasma processing was set to 13.56 MHz, but other frequency bands may be used as long as H 20 plasma is generated.
  • the unit deposition time is set to 15 seconds, the H 20 plasma processing of 13.56 MHz, 100 W, and the 1.3.5 ⁇ 6 MHz s 300 W H 2 0 plasma treatment of, and compared all other conditions as the same.
  • the film subjected to the plasma processing at 13 5, 56 MHz and 3 0 0 2 has a crack at the time of 2 50 ⁇ (: and 400 annealing.
  • the H z 0 Burazuma processing conditions 1 5 0 'C, 1 0 Torr, H 2 0 partial pressure 1 0 0% 1 3. Change in 5 6 MHz, 3 0 0 W, the unit deposition time 1 As a result of performing Repeat Process with 0 seconds as the same conditions as the present example and other conditions, the same etching speed and film shrinkage ratio as in the case of the unit deposition time of 10 seconds in the above table were obtained. ing. This is due to processing pressure, RF power, etc. It is shown that the same effect can be sufficiently obtained under other conditions by appropriately setting.
  • a film forming apparatus using a parallel plate type RF discharge may be used.
  • reference numeral 51 denotes a wafer
  • 52 denotes a gas inlet
  • 53 denotes an exhaust port
  • 54 denotes a plasma region.
  • a film forming apparatus using a wave down-flow type plasma may be used.
  • 6 1 gas inlet portion H 2 0, N 2, etc.
  • 6 2 gas inlet TEOS, N 2, etc.
  • 6 3 quartz unit 6 4 // Namishirubeha tube
  • 6 5 is a plasma region
  • 66 is a ring-shaped quartz shower
  • 67 is a hole
  • G 8 is an exhaust port.
  • the film exposed to the plasma is naturally formed in the same way as the wafer. Also, depending on the gas flow, a film is formed even in a part that is not exposed to the plasma.
  • the temperature of the counter electrode on which the wafer is installed (the electrode on which the wafer is installed is naturally controlled because the wafer temperature is controlled). Force is very important in keeping the growth rate on the wafer constant.
  • the opposing electrode is the side to which high-frequency power is applied, as in the film deposition system shown in Fig. 28, it is difficult to directly control the heating with a heater. Heating control through the heater is conceivable.
  • a film forming (single-wafer processing) apparatus 72 and an annealing processing (batch processing) apparatus 73 through a loading port chamber 71 are used.
  • the wafer on which the silicon oxide thin film is formed is stored in a chamber in which the atmosphere, pressure and temperature are controlled in the same apparatus.
  • the atmosphere in the same apparatus and The annealing treatment may be performed in a chamber where the pressure and the temperature are controlled.
  • reference numeral 74 denotes a wafer storage unit
  • 75 denotes a wafer support unit (carrier)
  • 76 denotes a gate
  • 77 denotes an exhaust port.
  • a high-frequency power and a low-frequency power are applied to the electrodes simultaneously or in a pulsed manner by using a parallel plate type plasma CVD apparatus realizing the above-mentioned film forming method.
  • a 13.56 MHz RF power source may be used as the high frequency power
  • a 1 GHz or lower G) low frequency power source may be used as the low frequency power.
  • a method of applying the high-frequency power and the low-frequency power to the electrodes specifically, as shown in FIG. 30B, a method in which the high-frequency power and the low-frequency power are applied to the same electrode at the same time, There is a case where two electrodes are separately and simultaneously applied. Na us, Field of the c industries showing one example of the synthetic box shown in FIG. 2 8 B 2 9
  • the C: high reliability film having a flatness comparable to that of the silicon force generated by the S0G method and excellent in plasma resistance and the like is obtained. Obtained, interlayer insulation of semiconductor device Useful for membranes, etc.

Description

明 細 書 シ リ コ ン酸化膜の形成方法 技 術 分 野
本発明はシリ コ ン酸化膜の形成方法に関わり、 特に半導体 装置の多層配線間の S i 0 2 等の層間絶縁膜の形成方法に閬 する。
背 景 技 術
近年の半導体デバイ スでは、 微細化の進展及び配線の多層 化に伴いァスぺク ト比が増大し、 パターン表面の凹凸がデバ ィスの信頼牲に重大な影響を及ぼすようになっている。
そのため、 素子や配線を保護、 絶縁する絶縁膜を平坦化さ せることができる半導体装置の製造方法が要求されている
A 1 配線間の層間絶縁膜の平坦化技術としては、 従来より S O G ( S p i n - 0 n - G 1 a s s ) 法が広く利用されて いる。 しかし問題点も多く、 コ ンフ ォーマル形状や流動形状 を持つ新しい C V D法の開発が盛んに行われている。
S O Gには、 無機 S 0 Gと有機 S 0 Gとがある。 無機 S O Gは、 膜収縮が大きいため厚膜化が難し く、 3 0 0 nnt程度の 膜厚を得るためにも多層塗りが必要となる。 一方、 有機 S O Gは、 厚膜化は可能であるが膜中に残存する有機基が 0 Ξ プ ラズマゃ高温での 0 2 雰囲気で急激な酸化分解を引き起こす c 一般に S 0 G膜は、 他の C V D酸化膜などに比べ吸湿性が非 常に大き く、 大気に晒されることで水分の吸着源となる。 こ のような水分の吸着による脱ガス、 あるいはキュア不良によ る膜中からの生成水などの発生は信頼性を低下させる原因と なる。 このため、 S 0 G膜がスルーホール側壁に露出しない ようにエッチバックを併用することが S 0 Gを用いたブロセ スでは必要である。 発 明 の 開 示
本発明の目的は、 所望の厚さで信頼性の高い平坦化された 絶縁膜の形成方法を提供する。
本発明によれば、 上記目的を達成するために、 反応室内の 基板上で、 有機シラン又は有機シロキサンガスと H及び O H 舍有ガスとを含むガスを、 励起させて気相中又は基板上で反 応させることにより、 基板上に有機基を舍有するシラノール、 シラノ 一ル重合体又はシロキサン結合重合体の薄膜を堆積さ せる工程と、 その後、 薄膜から有機基を除去してシリ コ ン酸 化膜を得る工程を有するシリ コ ン酸化膜の形成方法が提供さ れる。
好ましい態様によれば、 有機基除去をプラズマ処理で行な い、 特に同一反応室内で堆積工程とプラズマ処理工程とを交 互に操り返して行って、 基板上に所望の膜厚を持つシリ コ ン 酸化膜を得る。
また、 前記励起はパルス的に行なう ことが好ましく、 その 際有機シラン又は有機シロキサンガス又はその反応生成物が 基板に到達するとき未だ有機基を舍むことができる程度に短 い励起時間にするとよい。
1つの好ま しい実施態様では、 前記堆積を平行平板型ブラ ズマ C V D装置で行い、 圧力が 5〜 1 5 Torr. 温度が室温以 上 2 5 0 てまで、 電極間距離が 6 ~ 2 5讓、 ガス流量が 1 0 0〜 ; I 8 0 0 0 cil/min 、 放電電力が 1 0 0〜 5 0 0 W、 か
3 0 0
つ放電ォン時間が D≤ -{ 1 4 0 - ( 1 4 0 / 3 1 0 )
P
T } (式中、 Dは、 ガスがプラズマ領域に導入されてから基 板に到達するまでの時間を 1 0 0 として放電オン時間の長さ を%で表したもので 1 0 0 %が上限であり、 Pは R Fパワー Tは基板温度である) を満たす時間とする。
図面の簡単な説明
図 1 A— 1 Cは従来例の一例の製造方法を説明する図であ る。
図 2 A— 2 Bは従来例の課題を説明する図である。
図 3 A— 3 Bは従来例の課題を說明する図である。
図 4 A— 4 Fは従来例の他の一例の製造方法を説明する図 である。
図 5 A— 5 Bは本発明の原理説明図である。
図 6 A— 6 Bは本発明を説明するための T E O S と H 2 0 の反応生成物を示す図である。
図 Ίは本発明を説明するための重合体の蒸気圧と吸着分子 数に対する分子量分布 示す罔である。
図 8 は本発明の第 1 の実施例に則したブラズマ C V D装置 を示す概略図である。
図 9 A— 9 Dは圧力依存で見たシリコ ン酸化物薄膜の堆積 形状を示す図である。
図 1 0 は圧力 1 0 Torrで低温 (— 3 0て) にした場合のシ リ コン酸化物薄膜の堆積形状を示す図である。
図 1 1 は流量比依存とパルス依存におけるシリ コ ン酸化物 薄膜の状態を説明する図である。
図 1 2 は T E 0 S流量に対するシリ コ ン酸化物薄膜の成長 レー トを示す図である。
図 1 3 は本発明の第 2の実施例に則したプラズマ C V D装 置を示す概略図である。
図 1 4 A— 1 4 Bは本発明の第 2の実施例に則したブラズ マ C V D装置を ¾いて堆積した場合のシリ コン酸化物薄膜の 堆積形状を示す図である。
図 1 5 A— 1 5 Bは本発明の第 3の実施例に則した堆積後 N2 ガス雰囲気でァニール処理した場合のシリ コ ン酸化物蘀 膜を說明する図である。
図 1 6 A— 1 6 Bは本発明に適用できるマイク口波励起を 用いる場合の C V D装置を示す概略図である。
図 1 7 A— 1 7 Bは本発明の第 5の実施例の成膜とブラズ マ処理を繰り返す場合のシリ コン酸化物薄膜を説明する図で ある。
図 1 8 A— 1 8 Fは第 6の実施例の成膜により得られるシ リ コ ン酸化物薄膜の顕微鏡写真とそのモデル図である。
図 1 9 は第 6の実施例の成膜における基板温度及びパルス 励起デューティ一と膜の平坦化との関係を示す図である。 図 2 0 は第 6の実施例で得た膜の熱分析結果を示す図であ る
図 2 1 は第 6の実施例で得た膜の分子量分布を示す図であ る。
図 2 2 A— 2 2 Bはプラズマ処理なしで成膜した膜の熱収 縮率とェ ツチング速度を示す図である。
図 2 3 A— 2 3 Bは第 7の実施例で堆積後プラズマ処理す るプロセスの工程図とこれによつて得た膜のエ ッチング速度 を示す図である。
図 2 4 は堆積後、 H 2 0プラズマ処理後、 及び熱処理後の 膜の赤外吸収データを示す図である。
図 2 5 は第 7の実施例で堆積と H 2 0プラズマ ¾理を繰り 返しながらシ リ コ ン酸化物薄膜を成膜するプロセスを示すェ 程図である。
図 2 6 は図 2 5 の繰り返し法で得たシリ コ ン酸化膜の断面 顕微鏡写真である。
図 2 7 は本発明に適用できる平行平板型の R F放電を利用 する成膜装置を示す概略図である。
図 2 8 は本発明に適用でき る 波ダウ ンフロー型のプラズ マを利用する成膜装置を示す概略図である。
図 2 9 は本発明に適用できる成膜 (枚葉処理) 装置とァニ ール処理 (バッチ処理) 装置を示す概略図である。
図 3 O A— 3 0 Bは本発明に適用できる成膜方法における 高周波電力と低周波電力の印加方法を説明する図である。 図 3 1 は本発明に適用できる図 3 0 Bに示す印加方法にお ける合成ボックスを示す図である。 発明を実施するための最良の形態 本発明を具体的に説明する前に、 参考のために、 従来技術 について図面を用いてより詳しく説明を加える。
図 1 A— 1 Cは従来の半導体装置の製造方法の一例を説明 する図である。 図 1 A— 1 Cにおいて、 8 1 は S i 等からな る基板、 8 2は S i 02 等からなる絶縁膜、 8 3 は A 1等か らなる配線層、 8 4 a は S i 02 膜、 8 4 bは S O G膜、 8 4 c は S i 02 膜、 8 4 は S i 02 膜 8 4 a、 S O G膜 8 4 b及び S i 02 膜 8 4 cからなる層間絶縁膜、 8 5 はレジス トバターン、 8 6 はレジス トパターン 8 δに形成された開口 部、 8 7 はレジス トパターン 8 5に形成されたスルーホ一ル となるコ ンタク トホール、 8 8 は A 1等からなる配線層であ る。
次に、 その製造方法について説明する。
まず、 図 1 Aに示すように、 例えば C V D法により基板 8 1上に S i 02 を堆積して絶緣膜 8 2を形成し、 例えばスパ ッタ法により絶縁膜 8 2上に A I を堆積して A 1 膜を形成し、 例えば R I Eにより A 1膜をパター二ングして配線層 8 3を 形成した後、 例えば C V D法により配線層 8 3を覆うように S i 02 膜 8 4 aを形成する。 この時、 S i Oz 膜 8 4 a表 面に段差が生じる。 次いで、 S i 02 膜 8 4 a上にスピン塗 布法により表面が平坦になるように S 0 Gを塗布して S 0 G 膜 8 4 bを形成し、 例えば C V D法により S O G膜 8 4 b上 に S i 02 を堆積して S i 02 膜 8 4 cを形成した後、 S i 02 膜 8 4 c上に開口部 8 6を有する レジス トパターン 8 5 を形成する。
次に、 図 1 Bに示すように、 レジス トパター ン 8 5をマス クとして層間絶緣膜 3 4をェ ッチ ングして配線層 8 3が露出 されたコ ンタク トホール 8 7を形成する。
そして、 例えばスバック法によりコ ンタク トホール 8 7を 介して配線層 8 8 とコ ンタク トを取るように A 1 を堆積して 配線層 8 8を形成することにより、 図 1 c に示すような配線 構造を得ることができる。
しかしながら、 上記した従来の半導体装置の製造方法では S〇 G法により形成するシリ カガラス膜の材料として無機系 S O G剤 (キュア後膜中に C H 3 基等有機基を舍まないもの) を用いた場合に膜厚を厚くすると、 3 00膜 8 4 1)にク ラ ッ クが発生し易いため厚膜化 (特に 3 0 O nm以上でク ラ ッ クが 発生し易い) することができず十分な平坦化を実現できない。 一方、 有機系 S O G材 (キュア後膜中に C H 3 基等有機基 を含むもの) では、 膜厚化が可能 ( 5 0 0〜 6 0 0 nm程度の 形成も可能) であるが、 300膜 8 4 b中に C H 3 基等有機 基が存在するため、 図 2 A及び 2 Bに示すように、 その後の 工程で 02 プラズマや高温て'酸素雰囲気に晒されると膜中の 有機基が酸化分解し、 急激に膜が収縮するため S O G膜 8 4 bにク ラ ックゃハガレ 8 9が発生したり、 吸湿性が異常に増 加するという問題がある。 また、 有機系 S 0 G材を用いる場合では、 図 3 A及び 3 B に示すように、 300膜 8 4 中から脱ガスが発生してコ ン タク ト不良 9 0が生じることもあった。
このため、 有機系 S 0 G材を用いる場合は図 4 A— 4 Fに 示すように、 S O G膜 8 4 bをエッチバック し、 コ ンタク ト ホール 8 7 (スルーホール) 形成後に S O G膜 8 4 bがコ ン タク トホール 8 7側壁に露出しないようにしている。
しかしながら、 この有機系 S O G材を用いる場合では、 ェ ッチバック という工程数が増えるとともに、 エッチバック後 の平坦度が図 4 Bに示す 0 1 < 2の如く塗布形状に対して 低下し、 ヱッチングの選択比の問題から良好な平坦性が得ら れず低下するという問題がある。
次に、 上記した S 0 G法以^の表面平坦化を行う従来の手 段としては、 T E O Sと 03 を用いた常圧 C V D法により、 コ ンフォーマルな形状やリ フロ一形状の S i 02 膜や P S G 膜等を形成することによって、 半導体素子表面の段差を低減 する方法が挙げられ一般に知られている。
しかしながら、 この場合膜厚が 5 0 O nm以上では、 その後 の工程の熱処理等によりクラ ックが発生し、 5 0 O nm以下の 膜厚では S 0 G並みの平坦形状がこの方法のみでは得られな いという問題がある。 この方法で S O G並みの平坦度を実現 するには、 1卿以上形成し、 エッチバックを行う必要がある (エッチバックという工程増加) 。
また、 シリ コ ンアルコ ラー ト (テ ト ラエ トキシシラ ン) を 原料ガスと して、 0. 0 5〜 5 %の水蒸気と 5〜 9 0 %の水 素との混合ガスを用いてプラズマ C V D法を用いて 1段階で S i O z 膜を形成する方法が提案されている (日本特開平 2 一 285636号) 。 この方法は、 水素ブラズマで原料シリ コ ンァ ルコ ラー トを完全に S i 02 化することを目的とするもので あるが、 少量の水蒸気プラズマを混在させて S i ( O H)4成 分を生成せしめて膜成長面での S i Oz 成分の移動を容易に して膜質をち密化することを記載している。
しかしながら、 この方法は H 2 プラズマ C V Dにより直接 に無機 S i 02 膜を堆積する方法であるため、 膜の平坦化は 実現されず、 膜厚が大き く なるとクラ ックが発生する問題は 解決されていない。
一方、 A 1配線より下の比較的高温プロセスの許されるポ リ シリ コ ン配線等の層間絶縁膜においては、 従来技術として、 S i H4 と 02 (あるいは N2 0 ) に P H3 を添加して P S G を得る方法、 同様に B2 H6 を添加して B S Gを得る方法、 同様に A s H3 を添加して A s S Gを得る方法、 同様に P H3 と B2 H 6 を同時に添加して B P S Gを得る方法が知られて いる。 この場合、 これらを 9 0 0 'C以上の高温でァニール (リ フロー) 処理をすることによって平坦性を向上させてい る。
しかしながら、 この高温ァニール処理によつて平坦性を向 上させる方法では、 9 0 0て以上の高温で行うため、 拡散層 (特に P c h側ソース一 ドレイ ン) の再分东が発生してしま い、 パンチスルー等で ト ラ ンジスタのソース一 ドレイ ン耐圧 が低下してしまう という問題がある。 図 5 A— 5 Bは本発明の原理説明図である。 図 5 Bで本発 明によるシリ コ ン酸化物薄膜の形成方法を示しており、 比較 のために、 図 5 Aで従来技術の S 0 G法を示している。
図 5 Aに示す従来の S 0 G法は、 ゾルーゲル法の 1つであ る化学重合法を利用したもので、 シリコ ンアルコキシ ド ( S i (O R)4等、 0は酸素原子、 Rはアルキル基) と H2 0を ブチルセ口ソルブゃブ口 ビレンダリ コールモノプロビルエー テル等の溶剤に混合し、 加水分解反応及び脱水縮合反応を制 御するため、 これに適度の HN03 や H C 1 , H z S 04 等 酸触媒を混合したものである。
一方、 本発明では、 この酸触媒の代わり として、 有機シラ ン (又は有機シロキサン) 及び H2 0を励起させる。 励起の 方法としては、 プラズマ励起 (R F放電、 波放電、 低周波 放電、 直流グロ一放電等) 、 光励起 ( I R, U V, S O R、 レーザー、 X線等) 、 熱励起等を用いることができ、 また、 これらの励起を時間的に 0 N— 0 F Fさせるパルス的な励起 も必要に応じて用いることができる。
本発明でも、 S 0 G法同様に、 有機基を舍有する低分子量 重合体からなる膜状ゲルが基板上に堆積されるため、 この膜 状ゲルは流動性を有し、 S 0 G塗布直後と同等に膜が平坦化 されることができ、 その後平坦化した膜から不所望な有機基 は除まされる力 、 本発明の方法では励起エネルギー (パワー、 パルス周期、 デューティー等) 、 圧力、 温度、 流量等のパラ メータを変更することにより、 反応と堆積のプロセスを広範 に制御する こ とができ、 かっこの堆積プロセスにプラズマ処 理及び熱処理を同時に組込むことが可能であるため、 平坦性 と良好な膜質を保ちながら膜厚を厚く することが可能にされ る。
S 0 G法と最も近い態様では、 有機基舍有シリ コ ン酸化膜 を堆積した後、 熱処理して有機基を除去してシリ コ ン酸化膜 に変換できる。 この態様では、 S O G法と比べて、 膜厚制御 が容易であるので薄く形成してベークゃキュアができる。 有 機基が膜中に含有しているため、 一度に厚く形成して、 熱処 理を行う と、 膜収縮に伴う大きな応力の発生によってクラ ッ クが発生してしまうが、 膜厚を薄く制御しながら、 形成して 熱処理を行う こ とにより、 応力の発生を小さ く抑えることが でき、 この薄膜の形成と熱処理を操り返すことにより、 膜厚 を大き く することができるなどの利点がある。 また、 成膜と 熱処理を同じ真空室内で行なう こ とができ、 上述したような 繰り返し処理が容易になる。
第 2 の態様として、 最初から基板加熱しながら堆積させる ことにより、 堆積させながら併行してベークあるいはキュア を行なう こ とができ、 しかも基板温度等を調整してベ一ク又 はキュアの程度を制御し平坦化を維持することができる。 第 3の態様として、 第 2の態様を変形して、 ガスの励起を パルス的に行なう ことにより、 より高温での有機基舍有シリ コ ン酸化物の堆積が可能にされる。 これにより、 有機基舍有 シリ コ ン酸化物の堆積、 流動、 及びべーク又はキュアをパル ス時間のオーダーの短時間の間隔で制御した成膜プロセスが 可能である。 第 4の態様として、 ベ一ク又はキュアをプラズマ処理で行 ない、 かつ堆積とプラズマ処理を同一反応室で真空を破るこ となく交互に操り返して行なう ことにより、 平坦でかつ無機 化した良質の S i 0 2 膜を所望の膜厚まで形成することが可 能にされる。 従来の C V D法、 プラズマ C V D法による無機 系 S i 0 2 膜形成では、 堆積膜に流動性がないため、 平坦化 されず、 従ってこの態様の有用性はきわめて大きい。
なお、 第 2〜第 4の態様では、 基板加熱温度との関係で、 必要に応じて、 その後さらに高温熱処理してより緻密な膜と し、 あるいは残存する有機基を除去するようにする。 また、 この熱処理をリ フローに利用することもできる。 本発明の方法で使用する原料ガスは有機シラ ン又は有襪シ ロキサンと H及び 0 H含有化合物である。
有機シラ ン又は有機シロキサンは、 基本原子又は基本骨格 が S i又は S i — 0からなり、 側基がすべて有機基 (メ タ ン、 ェタ ン等のアルキル基、 またメ トキシ基、 エ トキシ基等のァ ルコキシル基、 ァセ トキシル基、 ァリ ール基、 など) などで ある化合物、 例えば、 テ トラエ トキシシラ ン、 テ ト ラメ トキ シシラ ン、 テ ト ラメ チルシラ ン、 テ ト ラメ チルシク ロテ ト ラ シロキサン、 ォク トメ チルシク ロテ ト ラ シロキサン、 ジァセ トキシジターシヤ リ ブ トキシシランなどであるが、 有機基の 1部が置換されていてもよい。 置換基としてはハロゲン、 水 素原子、 水酸基、 N H 4 (ア ンモニア ) 基、 N 0 3 基などが 挙げられる。 有機シラ ン又は有機シロキサンは、 5 0〜 5 0 0 0、 より 好ま し く は 1 0 0〜 1 0 0 0の範囲内の分子量を有し、 舍ま れるシリ コ ン原子の数の 5 0倍以下、 より好ましく は 2 0倍 以下の炭素原子数を有し、 またすベて又は殆んどの S i 結合 基がアルコキシル基であることが好ましい。
本発明では、 シリ コ ン酸化物の薄膜中にリ ン ( P ) 、 ポロ ン ( B ) 、 砒素 ( A s ) 等の不純物を ドープさせ、 P S G, B S G, A s S G、 あるいは B P S G等を形成させることが できる。 Pのソースガスとしては、 P ( 0 C Η3)3 , P 0 ( 0 C H 3)3 等リ ンアルコキシ ドを同時に添加し、 Bのソー スガスとしては、 B ( 0 C Η 3)3 , B ( 0 C 2 H 5)3 等ポロ ンアルコキシ ドを同時に添加し、 A s のソースガスとしては, A s ( 0 C H3)3 等砒素アルコキシ ドを同時に添加させる。 反応の基本的な考え方は、 T E O Sと同様のアルコキシ ドと 全く 同じであるため、 膜中に効果的に、 P , B及び A s を ド —プする こ とができる。
H及び O H舍有化合物は励起されて H及び O Hラジカルあ るいは H及び O Hイオ ンを発生する化合物であるが、 H及び 0 H以外には発生しない化合物が好まし く、 従って H 2 0 , H 2 ◦ 過酸化水素) が好ましい化合物である。 しかし、 H 及び 0 H以外のラジカルあるいはイオンが発生することが排 除されるわけではない。
本発明では、 N (窒素) を含むガス ( N H 3 等) を同時に 用い、 Nを効果的に薄膜中に ドープし、 シリ コ ン窒化酸化物 の薄膜を形成してもよい。 このように薄膜をシリ コ ン窒化酸 化物する ことにより、 シリ コ ン酸化物に比べ薄膜の耐湿性及 び透水性を向上させ、 信頼性の高い薄膜を得るこ とができる。 本発明では、 02 ガス (酸素) ガスを同時に用い、 上記し たように、 低分子量の重合体内や形成した薄膜中に残存する 有機基を効果的に酸化分解により除去し、 信頼性の高いシリ コ ン酸化物の薄膜を得ることができる。 02 ガスの導入方法 は連続的または間欠的に行えばよ く、 間欠的に導入すれば分 子量制御ができ好ましい。
そのほか、 キャリアガスとして不活性ガス ( N e , A r等) 等反応に寄与し難いガスを適当に混合することにより、 有機 シラ ンガスと H 2 0等の H及び 0 H舍有化合物ガスとの反応 を制御することができ、 重合される低分子量の重合体の分子 量を制御することができる。 これにより ウェハ上に平坦性に 優れた薄膜を形成するこ とができる。
本発明では、 有機シラ ン又は有機シロキサンガスの流量に 対する H 2 0ガスの流量により、 反応により形成される重合 体の分子量及びウェハ上に形成されるシリ コ ン酸化物の薄膜 の状態が変化する。
有機シラ ン又は有機シロキサンガスの流量に対する H2 0 ガスの流量は、 その有機シラ ン又は有機シロキサン材料を H2 0を用いて加水分解反応あるいは酸化反応する場合の化学量 論的モル比 (例えば、 T E O Sと H2 0の場合であれば、 T F- 0 S 1 モルに対して H 2 0 4 モルとなる。 また、 H M D S と H2 0の場合であれば H M D S 1 モルに対して H 2 06モ ルとなる。 ) に対してそのモル比の 1 Z 1 0倍以上 5 0倍以 下にすると、 表面の平坦性に優れた信頼性の高い有機基含有 シリ コ ン酸化物の薄膜を得ることができ好ま しい。 より好ま し く は 1 / 2倍以上 2倍以下の範囲、 最も好ましく は 1倍す なわち化学量論的比の程度である。
有機シラ ン又は有機シ口キサンと H2 0等の H及び O H舍 有化合物ガスを反応させてその重合体を形成するためには、 このガスを励起させることが必要条件となる。 その励起方法 としては、 高周波の電力を供給する方法、 光エネルギーを供 給する方法、 熱エネルギーを供給する方法、 あるいは化学的 に反応を増幅させる触媒を用いる方法等が挙げられる。 こ こ で励起とは外部からエネルギーを与えて、 有機シラ ン又は有 機シロキサンあるいは H2 ◦等の H及び 0 H舍有化合物を、 原子レベルに分解したり、 原子あるいは分子レベルで基底状 態よりエネルギーの高い状態 (励起状態) にするこ とで、 活 性化することを意味する。 たとえば H2 0であれば、 H2 0 ラジカル、 Hラ ジカル、 0 Hラジカル、 Hイ オ ン、 0 Hィ ォ ン、 電子などが形成された状態にするこ とである。
有機シラ ン又は有機シロキサンと H及び 0 H舍有化合物と の反応をテ ト ラエ トキシシラ ン S i ( O E t )4と水 (H2 0 ) を例に説明する。 テ トラエ トキシシラ ンと水との反応は化学 量論的には下記式で表わされる。
S i ( 0 E t ) 4 + 4 H 2 0 → S i ( 0 H)4+ 4 E t 0 H
(加水分解反応)
S i ( 0 H)4 > S i Oz + 2 H2 0 (脱水縮合反応) しかしながら、 完全に上記反応が進行すると無機系 S i 02 膜の堆積であり、 堆積した膜に流動性がなく、 平坦化されな い。
そこで、 本発明では、 S i (0 E t)4の加水分解、 及びそ の加水分解で得られる生成物の脱水縮合反応が、 完全に進行 しない条件下で S i (0 E t)4と H2 0とを反応させるよう にする。 その様子を示すために、 簡単化した反応例を示すと、
0 E t OH
1 2 H 2 0 I
E t 0 - S i - 0 E t > E t 0 - S i - 0 H
0 E t 0 E t
O H 0 E t
S i ( 0 E t ) 4 [ I
> E t O- S i -0- S i - O E t
I I
0 E t 0 E t
O H 0 E t 0 E t 0, S i (O E t)^ I I ί
> E t O— S i - 0 - S i - 0 - S i - 0 H
0 E t O H 0 E t の如くオ リ ゴマー (低分子量重合体) 化するが、 側基 0 E t はすべてが 0 H基に変換されるのではなく、 生成物中に実質 的に残存し、 反応を続ける。 この様にして得られる生成物は
—様な化合物ではなく、 様々な程度に重合及び加水分解した 化合物の集合体であると考えられ、 一概に表わすことができ ないが、 例を示せば、 図 6 Aに示す如ぐである。
したがって、 この低分子量の重合体は、 シロキサン結合
(― S i — 0— S i — ) を有するシリ コ ン酸化物の低重合体 と言えるが、 その内部には未反応の有機基 (TE 0 Sの場合、 エ トキシ基 ( 0 C2 H5)等) がまだ残存していると同時に、 その低重合体の末端の結合手は 0 Hだけではな く有機基 ( T E O Sの場合、 エ トキシ基 ( 0 C 2 H 5)等) によりキ ヤ ツ ビ ングされていると考えられる。
一方、 図 6 Bに示す化合物のようにすベての基が 0 H基で 置換されたシラノールが生成すると、 容易に脱水縮重合する 傾向があるため、 このような化合物が基板上に到達すると、 すでに基板上に形成されている薄膜に直ちに架橋され、 流動 性 (マイグレーシ ョ ン) が著し く低下する。
このように、 図 6 Bに示す化合物のようにすベての基が ◦ H基で置換されたシラノール重合体あるいは、 大部分が 0 H基で置換された重合体を生成しょう とする場合には、 流 動性を実現するためには基板上での架橋反応を遅くする必要 があり、 ウェハ基板温度を低温にすることが方法として考え られる。 これはウェハ基板温度を低温にすることにより、 ゥ ェハ基板上の架撟反応速度が低下するためである。 この場合 ゥェハ基扳温度の好しい範囲は少な く とも 1 0 0 て以下より 好まし く は室温 ( 2 5 て) 以下である。
また図 6 Aに示す化合物のように有機基が存在すると室温 以上、 たとえば 1 0 0て以上でも、 平坦形状の薄膜の堆積が 実現できる。
したがって、 この低分子量の重合体がウェハに吸着した場 合、 シ リ コ ン酸化物表面での流動性と架橋反応には、 その低 重合体の分子量だけでな く結合状態も大きな影響を及ぼす。 こう して、 本発明により基板上に堆積されるシ リ コ ン酸化 膜は少な く とも有機基を有し、 また適当な分子量を有するこ とによって薄膜の平坦化を可能にするものであるが、 有機基 の舍量、 分子量はー概ではないが、 要するに、 少な く とも形 成される薄膜の表面が基板の段差部でも不連続面を形成しな い程度の平坦性を有することが必要である。
このような平坦性を持つシリ コ ン酸化物薄膜に舍有される 有機基は、 平坦化可能な (平坦形状が実現できる) 限り少な い方が好しいが、 この膜中に残存する有機基の量は、 上述し たように平坦形状を実現する意味で、 ウェハ基板温度と相互 閬係があり、 一般的に室温以上で膜を形成しょう とする場合 多くても 9 0重量%、 特に 1 0 0 'C以上ではより好ましい範 囲としては、 2 0〜 6 0重量%の有機基を堆積時に残存する。 また、 一般的に室温以下で膜を形成しょう とする場合は、 高 々 3 0重量%、 より好ましく は 1 0 %以下に残存量を制御す る。 ウェハに吸着した低分子量の重合体は、 ゥヱハ温度の増加 とともに、 ウェハからの熱を受け重合 (架橋) 反応が促進さ れる。 前記の如く、 S i — 0 H結合は脱水縮合反応により架 橋反応をしてシロキサン結合 (― S i — 0 _ S i — ) を有す る シリ コ ン酸化物へと変化する。
しかし、 上記したように低重合体内部や表面には有機基が 残存している。 この有機基と結合している結合手も、 温度に よりその架橋反応の頻度が変化する。 それば、 例えば S i 一 0 - C 2 H 5 という結合の場合、 この有機基の分解が温度領 域として 2 5 0度〜 3 0 0て程度以上で起こる。 これは S i 一 0—等の未結合の結合手 (ダングリ ングボン ド) が形成さ れ、 架橋反応を促進するためである。
さらに、 本発明における薄膜からの有機基の除去工程は、 前記のプラズマ処理、 酸化還元処理に限定されず、 例えば単 純に熱処理によつてもよい。
有機基除去のための熱処理温度としては、 一般に 1 0 0 'C 以上、 より好ま し く は 2 5 0 て以上であるが、 本発明によれ ば 4 5 0て以下の低い温度で有機基を除去しシリ コ ン酸化物 の薄膜を得ることができるので、 アルミ配線に悪影響を与え ず好ましい。 しかしながら、 比較的低温の熱処理で有機基を 除去したシリ コ ン酸化物の薄膜はェツチングレー トが比較的 大き く、 これはシリ コ ン酸化物のネッ トワーク化 (三次元化) が十分でなく ダングリ ンダボン ドが多いためと考えられるの で、 6 0 0て以上、 好ま しく は 8 5 0 'C以下の温度で熱処理 してネ ッ トワーク化を図ってもよい。
又、 前記のプラズマ処理によれば 4 5 0 て以下の温度、 例 えば室温でも薄膜のネ ッ トワーク化が可能である。 しかし、 前記の如く、 堆積とプラズマ処理を同一反応室内で操り返す 態様を採用する場合には、 堆積時の平坦化のために好ま しい 温度として 2 5 0 て以下、 プラズマ処理の効果から 1 0 0て 以上の温度範囲が好ましい。 従って、 1 0 0 〜 2 5 0 てでプ ラズマ処理することにより、 さ らに好まし く はその後さらに 2 5 0〜 4 5 0 'Cで熱処理することにより、 シリ コ ン酸化膜 のネ ッ トワーク化、 緻密化を図ることが好ましい。 さらに、 必要に応じて高温熱処理でリ フローさせてより平 坦な膜を得てもよい。 この場合、 P , B , A s等を膜中に ド ープしてリ フロー温度を低下させることができる。 本発明に よれば本来リ フローは必須でないので、 リ フロー温度は 8 5 0て以下、 さらに 8 0 0 'C以下で十分な効果を達成できる。 本発明は、 T E 0 S等の有機シラン又は有機シロキサンガ スと H 2 0等の H及び 0 H含有化合物ガスを気相中で励起さ せて、 気相中あるいはゥェハ表面で加水分解または酸化反応 させることにより、.気相中あるいはウェハ表面で、 シラノー ルあるいはその低重合体またはシロキサン結合を有する低重 合体を形成し、 その低重合体を中間生成物としてゥヱハに吸 着させることにより、 ウェハ上に有機基舍有シリコ ン酸化物 の薄膜を形成するという ものである。 この励起による反応に より形成される低重合体の中間生成物はその重合度が小さい もの程 (低分子量なもの程) 、 室温においてはその祆態が固 体→液体—気体と変化する。 そして、 低分子量の重合度の小 さいもの程蒸気圧が大き く なる。
したがって、 上記した方法により表面の平坦性に優れた信 頼性の高いシリ コ ン酸化物の薄膜を得る場合、 ウェハ温度は、 低分子量の重合体をウェハに吸着させるという点、 低重合体 をウェハ上で流動させるという点、 架橋反応を促進させると いう点で非常に重要なファクタであり、 ウェハ温度はそれら を加味して決定されるものである。 特に、 本発明の好ましい態様として、 有機基舍有シリ コ ン 酸化物の薄膜の堆積と薄膜からの有機基の除去のためのブラ ズマ処理とを組み合せ、 これらを同じ反応室内で繰り返す場 合、 基板温度をプラズマ処理 (有機基除去) に必要な温度に 一致させることが望ましい。 この場合、 例えば、 1 0 0〜 2 5 0 て に加熱下で堆積を行なう と、 他のプロセス条件を制御 して所望の流動性 (平坦化能) を持つ薄膜を得る必要がある 力、'、 励起をパルス的に行なう ことが特に有効である。 すなわ ち、 励起を間欠的に行なう こ とによ って、 高温でも流動性を 有する薄膜の堆積が可能にされる。
こう して、 高温下でなおかつ平坦な表面を持つ有機基舍有 シ リ コ ン酸化膜を薄く形成した後、 同じ温度でプラズマ処理 を行なう ことにより薄膜から有機基を除去して薄膜をシリ コ ン酸化物に変換することができる。 プラズマ処理は、 原料ガ スである有機シラ ン又は有機シロキサンガスの供給を停止し、 H及び 0 H舍有化合物を用いるかあるいは 0 2 , H 2 等の他 のガスを導入してプラズマ化することによって行なう ことが でき、 有機基の除去は酸化又は還元反応によって行なわれる。 そして、 こ の有機基舍有シ リ コ ン酸化膜の堆積とプラズマ処 理を操り返すことにより、 大きい収縮によるクラ ックを防止 した平坦なシ リ コ ン酸化膜の形成が可能である。 従って、 平 坦性に優れ、 厚膜化も可能で、 信頼性の高い絶縁膜を得るこ とができる。
一般的にはプラズマ処理が及ぶ深さの上限である 1 0 0 n m 以下、 好まし く は収縮を考慮して薄膜を 1 O nni以下の厚さで 堆積した後プラズマに 5 〜 6 0秒、 好ましく は 2 0〜 3 0秒 ほどさらす操作を操り返す。
上記では、 有機基含有シリ コ ン酸化膜の堆積とプラズマ処 理を同一の反応室内で交互に繰り返したが、 本発明はこれに 限定されるわけではなく、 有機基含有シリ コ ン酸化膜の堆積 後、 有機基の除去のためプラズマ処理、 酸化還元処理は一般 的に使用可能であり、 反応室、 温度等は同一である必要はな い。
上記の如く、 ウェハが設置されるチャ ンバー内の圧力によ り、 低重合体の中間生成物のウェハへの吸着確率がその分子 量により変化する (図 5参照) 。 図 7は、 上図が重合体の蒸 気圧が分子量と温度に依存する様子を示し、 下図は気体と液 体の重合体の分子量分布を模式的に示すものである。 そして、 ウエノ、上に平垣性を持ち合わせたシリ コ ン酸化物の薄膜を形 成するためには、 低分子量 (少なく とも液体状態) の中間生 成物をウェハ上に吸着させ、 ウェハ上をマイグレーショ ンさ せる必要があるため、 ウェハが設置されるチャ ンバ一内の圧 力は重要な条件となり、 少なく とも 1 Torr以上の圧力が必要 である。 高周波放電によるプラズマ励起を用いる場合には、 好ましい圧力は 5〜 1 5 Torr、 特にプラズマ励起の安定性を 考えた場合さらに 5〜 1 0 Torrである。
次に、 本発明では、 有機シラ ン又は有機シロキサンと H 2 0等の H及び 0 H含有化合物ガスを励起し反応させて低分子 量の重合体を形成し、 その低重合体をウェハに吸着させてシ リコン酸化物の薄膜を得る場合、 上記したように、 ウェハ上 に平坦性を持ち合わせた有機基舍有シリコ ン酸化物の薄膜を 得るためには、 励起により形成される重合体の分子量が非常 に大きな意味を持ち、 これを制御する方法が重要である。 そ の制御方法として、 このガスの励起をパルス的に行う ことが 有効である。
励起エネルギーあるいは励起を促すものの与え方を間欠的 なバルスにすることにより、 反応により形成される重合体の 分子量を制御してもよい。 この方法によれば、 低分子量の重 合体を形成することができ、 これをウェハ上に吸着させるこ とにより、 平坦性に優れた有機基舍有シリ コ ン酸化物の薄膜 を得ることができる。
次に、 本発明では、 励起させるガスとしては、 有機シラ ン 又は有機シロキサンを舍むガスのみの場合、 H 2 0等の H及 び 0 II含有化合物ガスのみの場合、 あるいはこれらを舍む混 合ガスの場合がある。 これらの選択は、 反応により気相中あ るいはゥヱハ表面で形成される重合体の分子量の制御性や装 置の容易性あるいはプロセスの容易性等から適宜決定する こ とができる。
次に、 本発明では、 ウェハ上に形成される有機基含有シリ コ ン酸化物の薄膜の状態は、 重合体を吸着させるウェハの温 度により変化する。 上記したように、 重合体の蒸気圧力はそ の重合体の分子量の減少とともに大き く なるが、 当然、 その 重合体の蒸気圧はその重合体の置かれた温度によっても変化 し温度の増加とともに蒸気圧は増加する。
したがって、 一定圧力下では、 ウェハ温度により ウェハに 吸着する重合体の吸着確率が重合体の分子量で変化し、 ゥェ ハ温度の增加とともに低分子量の重合体程蒸気圧が高く なる これは低分子量の重合体程ウェハへの吸着確率が低下するこ とによるものである (図 6 A参照) 。
そして、 ウェハ上に吸着した低重合体は、 ウェハ上に形成 されている有機基舍有シリ コ ン酸化物の薄膜の表面を流動 (マイ グレーショ ン) すると同時に、 有機基舍有シリ コ ン酸 化物表面の未反応の結合手と架橋しながら有機基舍有シリ コ ン酸化物の薄膜へと変化していく。 このように形成された有 機基舍有シリ コ ン酸化物の薄膜の平坦性は、 この低重合体の 表面での流動性がもたらすものである。
ウェハ温度が低い程、 上記したように低分子量の重合体の ウェハへの吸着確率が増加するため、 形成される有機基含有 シリ コ ン酸化 の薄膜の平埕形状は向上する。 しかし、 逆に 有機基舍有シリ コ ン酸化物表面での架橋頻度は低下する。 本発明の 1 つの実施態様として平行平板型プラズマ C V D 装置を用いる場合の好ましい処理条件の例を示すと下記の如 く である。
温度 : 室温〜 2 5 0 'C
圧力 : 5 〜 : I 5 Torr、 特に 1 0 Torr
電極間距離 : 6 〜 2 5 腿、 特に 1 0 讓
ガス流量 : 1 0 0 〜 1 8 0 0 0 d /m i n
放電電力 : 5 0 0 W以下、 特に 1 0 0 〜 4 f) 0 W
R F (放電) 周波数 : 1 3 . 5 6 M Hz パルス周波数 (周期) : 平行平板の電極間を反応ガスが通
過する時間 (たとえば図 1 3では 電極間到達時間 1 Z 7 0 sec)の
1 0 0倍以下、 好ましく は 1 0倍 以下の時間
放電デューティー : D≤ { 1 4 0— ( 1 4 0 /3 1 0 ) T
3 0 0
〔式中、 Dはデューティー(%) 、
P
Tは基板温度、 Pは R Fのパワー (W · ワ ッ ト) 、 伹し Dの値は 1 0 0 %以上 のときは 1 0 0 %とする。 〕
上記において、 放電デューティーとはパルス周期に対するオン タイ ムの割合をいう。
次に、 本発明では、 有機シラ ン又は有機シロキサンとして、 例えば TE〇 S ( S i ( 0 C2 H5)Jに対して S i X ( 0 C 2 H 5) 3 あるいは H M D S (( C H 3) 3 S i 0 S i ( C H 3) 3)に 対して X ( C H 3)2 S i 0 S i X ( C H 3)2 のように、 有機 基 (この場合エ トキシ基 ( O C2 H 5)とメ チル基 ( C H3)) を他の元素 (X) で置換した有機シラ ンを用いる場合も置換 していない場合と同様に考えることができる。
この置換元素 ( X ) としては、 H (水素) あるいは C I
(塩素) あるいは F (フ ッ素) 等種々なものが考えられる。
その場合、 励起させた找態における S ί と置換させた元素 (この場合 X ) との結合力が大きな意味を持ち、 Η及び Ο Η 舍有化合物ガス (例えば Η2 0ガス) 中での励起反応でもそ の元素 (この場合 X ) が反応しない場合、 この元素はウェハ 上に形成したシリ コ ン酸化物の薄膜中にも当然取り込まれる この結果、 当然シリ コ ン酸化物の薄膜中に残存するアルコキ シル基やアルキル基等の有機基も減少するため、 この元素 ( X ) がその後のァニール処理やプラズマ処理等の工程にお いても安定であれば、 耐クラ ック性等膜質を向上させること ができ、 この条件を加味した元素あるいば基が好ましい。 次に、 本発明では、 成膜装置のチャ ンバ一及び電極等反応 ガスに晒される部分にも、 ウェハ上と同様その温度により低 重合体の吸着が生じる。 ウェハ上のシリ コ ン酸化物の成長レ 一トの変化を抑え、 しかもチヤ ンバー及び電極等の付着物に' よる汚れやパーティ クルを防止する上でも、 ウェハ及びゥェ ノ、、の設置されるステージ以外のチャンバ一及び電極等の反応 ガスに晒される部分の温度を一定に保ち、 しかも吸着確率を 抑えるために少な く とも室温以上の温度に一定に保つのが好 ましい。
次に、 本発明では、 成膜条件によっては、 成膜した膜中に はまだ有機成分が舍まれており、 これに伴いチャ ンバーゃ電 極等に形成された膜中にも有機成分が舍まれる。 チャ ンバ一 ク リーニングにおいて N F 3 等ク リーニングガスに 0 2 ガス を添加したり、 0 2 プラズマに晒した後 N F 3 等ク リーニン グガスでチャンバーク リーユングすることにより、 ク リー二 ング時間を短縮することができるとともに、 有機物等の付着 物を残さずク リーニングすることができる。
次に、 本発明では、 このシリ コ ン酸化物の薄膜を形成した ウェハを同一装置内の雰囲気及び圧力及び温度を制御したチ ヤ ンバー内に保管し、 一定枚数に達したところで、 同一装置 内の雰囲気及び圧力及び温度を制御したチヤ ンバー内でァニ ール処理を行うようにしてもよい。
次に、 本発明では、 シリ コ ン酸化物の薄膜を形成した後、 同一装置内の同一チヤ ンバーあるいは同一装置内の他のチヤ ンバー内でエ ッチバックを行うようにしてもよ く、 この場合 のエッチバックを行う反応ガスとして、 C F 4 > C H F 3 , N F 3 等 Fを舍むガスを必要に応じて 0 2 を添加して行えば よい。
次に、 本発明では、 平行平板型プラズマ C V D装置におい て、 低周波電力 ( 1 M Hz以下) のみでは、 1 0 Torr前後の高 い圧力中では安定したプラズマ放電を得ることは難しいが、 高周波電力 ( 1 3 . 5 6 M Hz ) と同時に印加するこ とによ り、 安定したプラズマ放電が得られるとともに、 低周波放電を利 用する こ とにより、 イ オ ンボンバー ドメ ン ト効果が得られ、 ウェハ上に形成するシリ コ ン酸化物の薄膜の膜質を向上させ るこ とができる。
次に、 本発明では、 気相中あるいはウェハ表面で重合され る重合体の分子量は、 その他の条件が同じであればパルス条 件 (周期、 デューティー等) によってその重合体の分子量分 布を変化させるこ とができる。 基本的には励起エネルギーを 多く与えるパルス条件程分子量を高分子側へと変化させる こ とができ、 そして高分子量の分子程ウェハに吸着した場合、 その後のァニール等の熱処理において重量変化も小さ く、 ま た膜厚の収縮量も小さ くすることができ、 クラ ック耐性等信 頼性の面で向上させることができる。 しかしながら、 高分子 量の分子程平坦性は低下し、 狭い溝やホール等への埋め込み 能力が低下する。 したがって、 プロセス中 (成膜中) で、 適 当にこのパルス条件を変化させることによって、 平坦性に優 れクラ ック耐性等信頼性の面でも優れたシリ コ ン酸化物の薄 膜を形成することができる。 実 施 例
(第 1 の実施例)
図 8 は本発明の実施例を実施する装置を模式的に表したも ので、 平行平板型 (アノー ドカ ップル) のプラズマ C V D装 直でめる。
ガスの励起方法としては、 電極 1 , 2間に高周波 ( R F ) 放電を用い、 R F周波数を 1 3. 5 6 MHzとする。
T E O S及び H2 0共ソースタ ンク、 配管等を加熱し、 気 体状態 ( V a p o r ) にしてチヤ ンバーに導入する。 また、 キャ リ アガスどして N 2 を用いる。
上部力ソ一ド側の電極 1 は、 これらのガスのチヤンバーへ の導入口を兼ねており、 シャワー状になっている。 また、 下 部アノード側の電極は、 内部に温度コ ン ト ロール用のヒータ 一線及び冷却チラ一用の配管を有しており、 温度を一 5 0 て 〜十 5 0 0てまで変化させることができる e
まず、 圧力依存、 温度依存について調べてみた。
そこで、 T E 0 S流量を 4 sccnu H 2 0流量を 6 0 0 sccm (流量比 1 : 1 5 0 ) とし、 R F周波数を 1 3. 5 6 MHzと し、 R Fパワーを 1 0 0 Wで連続発振させ励起させる。 なお 電極間隔を 1 8讓にする。 そして、 ウェハ温度を室温状態に し、 堆積を開始させる (堆積と同時に R F電力によりウェハ 温度は上昇するがその分は無視する) 。
この時の、 チャ ンバ一の圧力依存で見たシリ コ ン酸化物薄 膜の堆積形状を図 9 A— 9 Dに示す。 同図中、 5 はシ リ コ ン 基板、 6· は熱酸化膜 ( 5 0 nm厚) 、 7 はポリ シリ コ ンパター ン、 8 は C V D— S i 02 膜 ( 5 0 nm厚) 、 9 は (有機基舍 有) シリ コ ン酸化物薄膜である。
図 9 Aに示す 1 0 Torrで形成させたものは、 タコ壺状では あるが形状はリ フロー状である。 これに対して、 チャ ンバ一 圧力をより狨圧にすると図 9 B — 9 Dに示すように変化し、 段差のエ ッジ部で C V D膜特有の不連続面 1 1 がはっきり見 られるようになり (図 9 B ) 、 更に減圧するとク ラ ック 1 2 が発生する (図 9 C及び 9 D ) 。
この結果は、 チャ ンバ一の圧力により、 ウェハに吸着する 重合体の分子量が変化していることを表している。 これは、 低分子量の重合体ほど液状で流動性をより示すためである。 このように、 チヤ ンバーの圧力で形状が変化する要因と して、 流動性を現すのに適当な低分子量の重合体が、 1 O Torr程度 の高い圧力下のプラズマで効果的に得られることが推察でき る。 また圧力の高い ( 1 0 Torr) 状態では、 圧力の低い ( ] Torr以下) 状態より も蒸気圧の高い低分子量の重合体をより ウェハに吸着させることができ、 その低分子量の重合体のゥ ェハ上での流動効果により リ フロー状の形状を得ることがで きることも要因として推察できる。
次に、 上記同一条件で、 ゥヱハ温度のみを室温から一 3 0 •Cの低温にすると、 図 1 0に示す如く形状はより平坦形状へ と変化する。 図 1 0に示したものは、 チヤ ンバー圧力 1 O To rrの場合のものである。 図 9 Αに示したものより も更に平坦 形状になるのは、 ウェハが冷却されることによって、 低分子 量の重合体のウェハへの吸着確率が増加するためと推定でき る。
次に、 流量依存、 パルス依存について調べてみた。
そこで、 チャ ンバ一圧力を 1 0 Torr、 R Fを 1 3. 5 6 M Hz、 R Fパワーを 3 0 0 W、 電極間隔を 1 0跚、 パルス周波 数を 7 5 H2、 デューティーを 3 0 %とする。 但し、 実際の R Fパワーはパルス発振しているため 3 0 0 W X 3 0 %である。 そして、 トータル流量を 3 7 5 sccnu H 2 0の流量を 3 0 0 seemで一定にし、 T E 0 S流量を 2 0 sccm力、ら 7 5 seemまで 変化させる。 なお、 残りは N2 ガスで トータル流量を一定に する。 ウェハ基板温度 (デポジショ ン温度) は 3 7てである。
この時、 薄膜の状態についてまとめたものが図 1 1で、 T E O S流量に対して成長レー トを見たものが図 1 2である。 なお、 図 1 1 中、 T E O SZH2 0流量比 1 : 2のデータ は、 T E O S 3 0 sccm. H 2 0 6 0 sccm. トータル流量 δ 5 0 sccmで測定したものである。
図 1 1から判るように、 流量比 ( T E O Sノ Hz 0 ) を大 き くすると、 薄膜の状態は明らかに固相から液相へと変化し ている。 また、 図 1 2から判るように、 成長レー トは、
T E 0 S流量の増加とともに大き く なるが、 T E 0 S流量が
5 0 seem以上になると逆に低下している。 この時、 ゥヱハ上 のシリ コ ン酸化物薄膜の状態も固体状から液体状となってお り、 T E O S流量の増加 (T E 0 S/H2 0流量比の増加) とともに、 ブラズマ励起による反応によって形成される重合 体の分子量が小さ く なつており、 あるいは、 重合体の架橋反 応が不十分になっているとも言えることが推測される。
その結果、 ウェハに吸着される重合体の分子量が、 その蒸 気圧とチャ ンバ一圧力 ( 1 O Torr) およびウェハ基板温度 ( 3 7 t ) との相閬により選択されるためと考えられ、 ゥェ ハに吸着できない分子量の小さい重合体が形成されるため、 実質的にウェハ状に形成される シリ コ ン酸化物薄膜の成長レ 一トが小さ く なると考えられる。
同様の条件て'、 R F電力をパルス的に発振させたときの結 菜が図 1 1 に示したものである。 連続発振させたときと比べ、 ウェハ上のシリ コ ン酸化物の状態が明らかに異なる。
この結果、 R Fパルス発振することにより、 励起反応によ り形成される重合体の分子量を効率よ く制御することができ る。
(第 2の実施例)
図 1 3 は本発明の第 2 の実施例に則したプラズマ C V D装 置を示す概略図である。 図 1 3中、 1 5 は上部電極、 1 6 は 下部電極、 1 Ί は供給ガス管、 1 8 はウェハ (基板) 、 1 9 はヒータである。
T E 0 S流量を 3 O sccnu H 2 0流量を 1 2 0 seem. Nz 流量を 2 0 0 sccm、 R F周波数を 1 3. 5 6 MHz. R Fパヮ 一 3 0 0 Wとする。 但し、 実際のバヮ一はバルス発振してい るため 3 0 0 WX 2 0 %で 6 0 Wとなる。 そして、 パルス周 波数を 1 0 0 Hz、 デューティー ( 0 N T I M E ) を 2 0 電極間隔 Lを 1 0讓、 チヤ ンバー内圧力を 1 0 Torrとする。
この条件で、 ウェハ温度を変えてウェハに有機基含有シリ コ ン酸化物の薄膜を 1卿堆積させた時の形状は、 図 1 4 A, 1 4 Bに示したものとなり (図 1 4 Aは 1 2 0て、 図 1 4 B は 1 5 O 'Cである) 、 T E O S— 03 で形成した酸化膜以上 の平坦性を実現している。 また、 図 1 4 Aに示す 1 2 O 'Cで 堪積した場合はァスぺク ト比 1 0でも有機基舍有シリコ ン酸 化物を埋め込むことができる。 図 1 4 A, 1 4 B中、 2 2 は シ リ コ ン基板、 2 3 は熱酸化膜、 2 4 はボリ シ リ コ ンバタ一 ン、 2 5 はブラズマ C V D— S i Oz 膜、 2 6 は有機物舍有 シリコ ン酸化物薄膜である。
図 1 3 に示す装置のシャ ワーのチヤ ンバー内へのガス導入 口 (上部電極 1 5の孔) の面積を 8 α!程度にし、 導入するガ スの温度を 8 0 'C程度 ( Τ Ε 0 S及び Η ζ 0とも液体ソース であるため気体にしている) にすると、 シャ ワーの噴き出し 口におけるガス 2 0の流速は約 Ί 0 cm,秒となり、 電極間の 到達時間が 1 4. 3 m秒となる。 そこで、 キ ャ リ アガスであ る N2 の流量を倍の 4 0 0 sccmにすると、 この場合の流速は 約 1 1 0 cm/秒となり、 電極間の到達時間が約 9 m秒となり、 パルスの 1周期の時間が 1 0 m秒であるため、 1 m秒の時間 だけべ一ク (あるいはキュア) する時間となる。 こ の条件で は R Fプラズマによる励起が無い場合、 ウェハ上では (少な く ともプロセス的に実用可能な時間において) 薄膜を形成す ることができないことを確認している。
したがって、 薄膜の堆積と架橋反応 (ベークあるいはキュ ァ) を m秒のオーダーで制御しているこ ととなり、 形成させ る (有機基含有) シ リ コ ン酸化物の薄膜の膜質を格段に向上 させることができる。 また、 励起をパルス的に行う効果とし て、 重合体の分子量制御だけでな く、 上記したようなプロセ ス的な効果も実現することができる。
(第 3 の実施例〉
図 1 3に示すプラズマ C V D装置を用い、 チャ ンバ一内の 圧力を 1 0 Torrとし、 T E 0 S流量を 3 0 sccitu H 2 0流量 を 1 2 0 s ccm、 2 流量を 4 0 0 sccm、 R F周波数を 1 3 . 5 6 Ηζ Ν R Fパワーを 3 0 0 W、 パルス周波数を 5 0 Hz (パルス周期 2 0 m秒) 、 デューティーを 1 5 % ( 0 N T I M E : 3 m秒) 、 ウェハ温度を 1 2 O 'C としてウェハに有 機基含有シ リ コ ン酸化物の薄膜を堆積する。 そして、 4 5 0 て、 N 2 ガス雰囲気、 3 0分の条件で常圧横型炉で有機基舍 有シリ コ ン酸化物薄膜をァニール処理する。
こ の条件で得られたシリ コ ン酸化物薄膜は図 1 5 Aに示す ように、 平坦性を実現するこ とができる他、 上記ァニール処 理により クラ ックを発生させることな く有機成分を除去させ ることができ信頼性の高い膜を得ることができる。
このクラ ック発生について、 上記ァニール処理を加えた本 実施例は、 図 1 5 Bに示す幅 0. 5 /πηのスペース部分で溜り 部の膜厚 aが 0. 6卿までクラ ックの発生は見られなかった のに対し、 上記ァニール処理を加えた従来の無機系 S 0 G材 の場合では有機成分は除去されていたが、 上記 0. 6 wnでは クラ ックが発生していて実用上好ましく なかった。 図 1 5 B 中、 ボリ シリ コ ン 2 4 は高さ 1 、 プラズマ C V D— S i 02 膜 2 5 は厚さ 3 0 O nmである。 なお、 有機成分除去の確認に ついては F T I R (フーリェ変換赤外分析) 等で確認した。
(第 4の実施例)
図 1 3に示すプラズマ C V D装置を用い、 チャ ンバー内の 圧力を 1 0 Torr、 ゥェハ基板温度 1 2 0てとし、 T E O S流 量を 3 0 sccm、 H 2 0流量を 1 2 0 sccm、 N z 流量を 4 0 0 sccm、 R F周波数を 1 3. δ 6 MHz、 R Fパワーを 3 0 0 W、 パルス周波数を 1 0 0 Hz ( 1 0 m秒) 、 デューティーを 2 0 % ( 0 N T I M E : 2 m秒) とし、 更に 02 流量を 1 0 sc cmとしてウェハ上にシリ コ ン酸化物薄膜を形成する。
この条件で得られたシリ コ ン酸化物薄膜は、 白濁がなく表 面モホロジ一が良く高密度な膜を得ることができた。 なお、 02 流量を 3 0 seemとすると白濁が生じていたが、 ウェハ上 に微粒の S i 02 粉末が堆積しており、 これから 02 には反 応を促進させる触媒的な効果があることが判った。
従って、 02 流量を適宜コ ン ト ロールすることにより、 高 密度なシリ コ ン酸化物薄膜を得るこ とができた。
しかし、 02 を添加し、 高密度なシリ コ ン酸化物の薄膜とし た場合、 配線間隔 0. 5 卿以下でアスペク ト比 1 〜 2 の配線 スペースに対しては、 埋め込み形状は得られず、 配線間のシ リ コ ン酸化物中にボイ ド (空孔) が形成された。
このこ とは、 02 の添加は、 高密度な膜を形成する こ とに おいては効果があるが、 S 0 G並みの平坦形状と 0. 5 以 下の配線スペースの埋め込みを実現することにおいては、 低 下させる (意味のない) ものとなる。
同様のことは H z ガスについても言える。 上記のプロセス 条件において、 O z ガスの導入を中止し、 Nz + H Z ガス流 量を 4 0 0 seem—定と して、 H 2 流量を 0 sccm ( N 2 流量 4 0 O sccm 0 0 seem ( 2 流 d 0 0 sccra ) ヽ 丄 U 0 sccm ( N 2 流量 3 0 0 sccm) 1 5 0 sccm ( N 2 流量 2 5 0 sccm) 、 2 0 0 sccm ( N 2 流量 2 0 0 sccm) と変化させた (他のプロ セス条件は、 上記プロセス条件と一致させた) 。 また、 配線 段差 (高さ) 1 卿、 配線幅 1 の ラ イ ン · ア ン ド · スペース パター ン(line & space pat tern)で、 配線間隔を 1 . 0 卿 > 0. 7 5 , 0. 5 m, 0. 2 卿と変えて、 H 2 ガス流量と シリ コ ン酸化膜の埋め込み特性との関係を調べた。
結果を下記表に示す。 表中、 〇はボイ ド (空孔) なしで埋 め込みができたもの、 Xはボイ ド (空孔) が発生した場合を 示す。 配線間隔
1. 0 0. 5 0. 2
μ m μ m m
H z 流量 X.
0 sccm 〇 〇 〇 〇
0 0 sccm 〇 X X X
1 0 0 sccm X X X X ただし、 H 2 流量 1 5 0 sccm及び 2 0 0 sccmにおいては、 ρ
ウェハ上に微粒の S i 02 粉末が堆積し、 白濁が生じたので
¾
示していない。 H2 を添加することにより、 高密度な膜が形 成されることは推察されるが、 上記表からわかるように、 H2 の添加は、 02 の添加と同様平坦化形状の実現という意味で は、 効果がないことがみとめられる。 H2 0に H2 を添加す る場合にも、 温度に依存するが、 H2 0 + H2 の合計量に対 して H 2 を高々 2 0 Vol %以下、 さらには 1 0 Vol %以下が 好ましいといえる。
なお、 本発明においては、 図 1 6 A及び 1 6 Bに示すよう な 波電力あるいは R F電力を用いたダウ ンフロー形式によ る励起を用いた C V D装置によって行ってもよ く、 この場合、 ソースガスの励起を各々個別に行う ことができ、 プロセスの 制御性をより向上させることができる。 これらの図中、 3 0 は有機シラン導入口、 3 1 は H2 0, 2 などの導入口、 3 2は石英管、 3 3は 波管である。 (第 5 の実施例)
図 1 3 に示す平行平板型 (アノ ー ドカ ップル) のプラズマ C V D装置を用い、 ガスの励起方法としては、 電極間の高周 波 ( R F ) 放電を用い、 R F周波数は 1 3 . 5 6 MHzを用い る。 T E O S及び H 2 0共ソースタ ンク、 配管等を加熱し、 気体状態 ( V a p o r ) にしてチャ ンバ一に導入する。 また、 キャ リアガスとして N 2 を用いる。 上部力ソー ド側の電極は、 これらのガスのチャ ンバ一への導入口を兼ねており、 シ ャ ヮ 一状になっている。 また、 下部アノー ド側の電極は、 内部に 温度コ ン ト ロール用にヒーター線を有しており ウェハ温度を コ ン ト ロールするこ とができる。
成膜条件としては、 T E O S流量を 3 0 sccm、 H 2 0流量 を 1 2 0 seem (流量比 1 : 4 ) とし、 キャ リ アガスと して N z を 4 0 0 seem同時に流す。 そして、 R F周波数を 1 3 . 5 6 MHz. R Fパワーを 3 0 0 Wでバルス発振で励起させ、 パル ス条件を周期を 1 0 m秒、 デューティーを 2 0 % ( O N T I M E : 2 m秒) 、 電極間隔を 1 0難、 反応室内の圧力を 1 0 Torr、 ゥェハ温度を 1 2 0 'Cとする。
次に、 プラズマ処理条件としては、 0 z 流量を 8 0 SCCI N 2 流量を 4 0 0 SCCDK R F周波数を 1 3 . δ 6 MHz. R F パワーを 3 0 0 Wで連続発振で励起させ、 電極間隔を 1 0讓、 反応室内の圧力を 1, 5 Torr、 ウェハ温度を 1 2 O 'C とする。 上記の条件で、 成膜とプラズマ処理を同一のチ ャ ンバ内で 交互に操り返し、 上記の成膜条件でウェハ上に約 1 0 O nin程 度有機基舍有シリ コ ン酸化物の薄膜 3 5を形成した後に、 上 記のプラズマ条件でこのウェハをプラズマ中に 3 0秒間晒し たところ、 ク ラ ックの発生もな く シリ コ ン酸化物の薄膜 3 δ 中の有機成分は取り除かれ ( F T I Rにより確認) 、 膜厚は 破線 3 6で示す様に減少した (図 1 7 A) 。
このように、 薄く成膜してその後プラズマ処理を行う とい う操作を繰り返すことにより (図 1 7 Bでは 6回の繰り返し) 平坦形状を有する無機系のシリ コ ン酸化物の薄膜 3 7を厚く ( 1 0 0 0 nm以上) 形成することができる (図 1 7 B ) 。
(第 6の実施例)
図 1 3に示す C V D装置で、 T E O S流量を 3 0 sccm. H 2 0を流量 1 2 0 sccnu Nz 流量を 4 0 0 sccm、 圧力を 1 0 To n -、 R F周波数を 1 3. 5 6 MHz、 R Fパワーを 3 0 0 W、 電極間隔を 1 0纖とし、 基板温度と発振条件の依存性を調べ た。
図 1 8 Aの如く、 シリ コ ン基板 4 1上に B P S G膜 (膜厚 6 0 0 nm) 4 2を形成し、 その上にアルミ ニウム配線パター ン (高さ 5 0 0 nm) 4 3を形成した後、 全面にプラズマ C V D法で薄く S i 02 膜 (膜厚 3 0 O nm) 4 4を形成したもの を下地とし、 これに T E 0 S— H2 0プラズマ C V D法で有 機基含有シリ コ ン酸化物膜 4 5を堆積した。
結果を図 1 8 B— 1 8 Fに示す。 図 1 8 Bに見られる様に 基板温度 6 5てでは連続発振で十分に平坦な膜が得られてい る。 しかし、 基板温度を 1 5 0てに上昇させると、 図 1 8 C に見られる様に、 連続発振では連続膜こそ得られているが、 平坦性がかなり低下している。 そこで、 基板温度を 1 5 0 'C で一定に保ち、 パルス周波数 1 0 0 Hz (パルス周期 1 O ms) でパルス発振とし、 デューティー(ON Time) を 5 0 %、 4 0 %、 3 0 %と低く してゆく と、 図 1 8 D、 図 1 8 E、 1 8 F に見られる様に、 デューティ一の減少につれて膜の平坦性が 増大している。 このように、 本発明ではパルス発振のデュー ティーを低くすることにより、 より高温で平坦な膜を堆積で きることが示された。
図 1 9 は、 上記と同様の条件で、 実用性を基準として良好 な平坦化膜が得られるか否かを基板温度とデューテ一の関数 として表わしたものである。 ここで、 実用性とは配線間隔 0. 5 卿アスペク ト比 1〜 2程度のパターンの埋め込みが可 能か否かによつた。
図 2 0に、 堆積直後の膜の熱分析結果を示す。 加熱重量減 少測定 ( T G ) と示差熱分析 ( D T A ) で、 実線は基板温度 6 0 てで連続発振、 破線は基板温度 1 5 0 'Cで連続発振、 鎮 線は基板温度 1 5 0てでデューティ 一 3 0 %のパルス発振 (パルス周波数 1 0 0 Hz) で得た膜で、 いずれも 2 5 0て付 近で酸化分解が開始されているので、 膜中の有機分の殆んど はェ トキシ基と推定される。
図 2 0中、 D T Aの結果は 6 0てで連続発振させた膜につ いてのものを示している。
図 2 1 に基板温度室温 ( 2 5 て) 、 パルスデューチ 3 0 % (パルス周波数 1 0 0 Hz) で得た膜の分子量分布を、 有 機 S 0 G法で得た膜の分子量分布と共に示す。 実線が有機 S O G法、 破線が本発明法であり、 Mw は重量平均分子量、 M„ は数平均分子量を表わす。
(第 7の実施例)
図 2 2 A、 2 2 Bに第 6の実施例の如く得た膜の熱収縮率 及びエッチング速度を示す。 膜はそれぞれ 6 0て, 1 2 0 'C; 1 5 0てで連続発振して形成したものである。 膜の熱収縮率 は常圧横型炉を用いて N 2 雰囲気中 2 5 0 'Cと 4 0 0 'Cの熱 処理をそれぞれ 1時間施して測定した。 また、 エッチング速 度は常圧横型炉、 N2 雰囲気で 2 5 0 'Cで 1時間、 4 0 0て で 1時間熱処理後、 0. 5 %H F浸漬して測定した。
収縮率は 5 0 %、 エッチング速度は 1 5 0 0 nmZ分以上と、 無機 S 0 G膜と比べて大きい。 例えば、 N2 雰囲気中 4 5 0 て、 3 0分の熱処理をした無機 S 0 G膜の収縮率は 2 3. 1 %、 エッチング速度は 1 2 0 nm/niin である。
そこで、 エッチングレー トを向上させる手段として、 H2 0ブラズマによる改質を試みた。 実験は、 図 2 3 Aの如く、 T E 0 S流量 3 0 seem, H 2 0流量 1 2 0 sccra, N2 流量 4 0 0 seem, 1 3. 5 6 MHz, 3 0 0 Wで連続発振、 圧力は 1 0 Torr、 温度は 6 0てで成膜した膜をホッ トプレー ト上 ( 1 5 0て、 Nz 雰囲気) で 2分間べーク し、 H2 0プラズマ処 理を成膜と同一のチャ ンバ一内で行った後、 2 5 0でと 4 0 0 てで各 2分間の減圧ァニール処理 ( 〗 Torr, Η 2 0分圧 1 0 0 % ) を施した。 Η2 0プラズマ処理条件は、 1 5 0て, 1 Torr, H z 0分圧 1 0 0 %, 1 3. δ 6 MHz, 1 0 0 Wと し処理時間を変化させた。 H 2 0プラズマ処理を行った膜の エッチングレー トを図 2 3 Bに示す。 結果より、 H 2 0ブラ ズマ処理を行っていない対照に比べ各条件ともエ ッチングレ 一トが遅く なつているとともに、 膜表面の 5 0〜 7 0 nm領域 においてより大きな改質効果があることが分かる。
図 2 4 は、 1 5 0 'C , 1 0 Torrで T E 0 S流量 3 0 sccnu H 2 0流量 1 2 0 sccm、 N z 流量 4 0 0 sccm、 で、 1 3. 5 6 MHz. 3 0 0 Wでパルス発振し、 パルス条件は周波数 1 0 0 Hzデューティー 3 0 % (ON Time 3 ms) として、 5秒間堆積 後、 上記の H z 0プラズマ処理を 1分間ほどこ し、 さらに 2 5 0て及び 4 0 0てで熱処理した場合における膜の赤外吸収 スペク トルである。 H 2 0プラズマ処理で膜中の有機成分が 滅少し、 殆んど無く なつていることが認められる。 すなわち- H 2 0プラズマ処理が膜中の有機基除去に有効であること、 特に堆積時間が短い場合にはプラズマ処理される単位膜厚が 薄いために、 改質効果が大きいことを示している。
次に、 薄く膜を堆積させて H 2 0プラズマ処理をする単位 プロセスを繰り返すこと ( R e p e a t P r o c e s s ) を試みた。 図 2 5に、 R e p e a t P r o c e s s のシー ケ ンスを示す。 1 5 0て, l O Torr, 1 3. 5 6 MHz, 3 0 0 W、 パルス周波数 1 0 0 Hz、 デューティ 一 3 0 %で堆積し た膜に、 同じ 1 5 0 てで、 1 Torr, H 2 0分圧 1 0 0 %, 1 3. 5 6 MH2, 1 0 0 Wの H 2 0プラズマ処理を行った。 単 位堆積時間を 5〜 3 0秒の間で変化させ、 各堆積毎にブラズ マ処理を 1分施し、 全堆積時間が 3分になるように成膜した。 その後、 2 5 0 ΐと 4 0 0てで各 5分間の減圧ァニール ( 1 Torr, H2 0分圧 1 0 0 %) を行った。 この条件で形成した 膜のヱッチ ングレー ト と収縮率を下記表に示す。 なお、 表中 には、 比較のため、 無機 S 0 G膜を 4 5 0 X , N2 雰囲気中 3 0分のァニール処理したもののデータも併せて示した。 単位堆積 単位堆積 エ ッチング 収縮率 膜厚
(.nm) \ ο ) 無機 S 0 G 4
2 120 23.1
1
5 23.3 140 4.2
Repeat | 10 46.7 170 12.9
Process | 15 70 210 25.3
1 30 140 550 17,9 ブラズマ処理なし、 熱処理のみ (対照) 1300以上 51.4
R e p e a t P r o c e s s では単位堆積時間が短く な るほどエッチングレー トが小さ く なり膜質が向上している c 単位堆積時間が 5秒の膜では、 無機 S 0 Gと同レベルのエ チ ングレー トであり、 膜表面から膜内部までほぼ同じレー ト でエ ッチングされる。 また収縮率は、 5秒の場合には 4 0 0 てのァニール後で 4. 2 %と、 無機 S O G (但し、 4 5 0 'C ァニール、 3 0分) に比べ 1 Z 5以下になっている。
図 2 6に、 図 2 5の堆積とブラズマ処理との R e p e a t P r o c e s s で得た膜の断面写真を示す。 成膜条件 、. 上 記表の単位堆積時間 5秒で形成したものと同じである。 二 C' 膜は図 2 4に示されるように無機化し、 エ ッチング速度も無 機 S O G膜と同レベルであり、 膜の平坦化が実現されている こ とをよ く 示している。 本実施例では、 H 2 0プラズマ処理条件を 1 5 0 'C , 1 To rr, H 2 0分圧 1 0 0 %, 1 3 . 5 6 MHz, 1 0 0 Wと した が、 処理圧力は、 0 . 1 Torr〜 1 5 Torrの間の適当な圧力を 選ぶこ とができる。 特に、 同一チャ ンバ一内で連続して堆積 とプラズマ処理を行う R e p e a t P r o c e s s を行う とき、 プロセス的なスループッ ト (処理時間) を考慮した場 合、 H 2 0プラズマ処理の処理圧力は、 堆積圧力に合わせる こ とが好ま しい。 その場合、 本実施例では、 H 2 0プラズマ 処理圧力は 1 O Torrとなるが、 1 0 Torrでも同様の効果が得 られるのは明らかである。
また H 2 0プラズマ処理において、 H 2 0分圧を 1 0 0 % と したが、 N 2 あるいは H e , A r等の不活性ガスをキ ヤ リ ァガスと して適当に混合させる こ とも当然考え られる。 特に N 2 を H 2 0ガスと同時に用いた場合には、 このプラズマ処 理により、 有機基舍有シリ コ ン酸化物の薄膜の表面に薄 く N を含む層が形成される こ とが一般的に考え られ、 R e p e a t P r o c e s s のよう に薄く 膜を堆積しプラズマ処理を 行う操作を繰り返すこ とにより、 膜全体を シ リ コ ン酸化窒化 膜 ( S i 0 ) にする こ とも可能となる こ とが推察でき る。 このよ う な、 S i 0 N膜にする こ とにより、 Nを舍まないシ リ コ ン酸化膜に比べて、 耐水性に優れた膜質にする こ とが可 能となる。 また、 H2 ◦プラズマ処理において R F (高周波) 周波数 を 1 3. 5 6 MHzとしたが、 H2 0プラズマの発生する条件 であれば、 他の周波数帯域でもかまわない。
本実施例では、 1 3. 5 6. MHz, 1 0 0 Wのプラズマ条件 を用いた。 本実施例の R e p e a t P r o c e s s の条件 で、 単位堆積時間を 1 5秒とし、 1 3. 5 6 MHz, 1 0 0 W の H 2 0ブラズマ処理と 1 3. δ 6 MHzs 3 0 0 Wの H2 0 プラズマ処理を、 他の条件はすべて同一として比較した。 1 3. 5 6 MHz, 1 O O W0 H2 0プラズマ処理を行った膜の 場合、 上記表に示したエッチング速度と膜収縮率の結果が得 られた。 これに対して、 1 3 , 5 6 MHz, 3 0 0 の 112 0 ブラズマ処理を行つた膜は、 2 5 0 ·(:と 4 0 0 のァニール ^理時にクラ ックが発生した。 これは、 大きな R Fパワー (大きな励起エネルギー) で H 2 0プラズマ処理を行う と、 膜表面のみが急速に改質され、 膜内部の有機基が H 2 0ブラ ズマ処理で分解 · 除去される前に、 緻密なシリ コ ン酸化膜が 膜表面に形成されてしまうためと推察できる。 したがって、 この場合は、 単位堆積時間をもっと小さ くする、 つまり単位 堆積膜厚をもつと小さ く することが必要となる。
また、 H z 0ブラズマ処理条件を、 1 5 0 'C , 1 0 Torr, H2 0分圧 1 0 0 %, 1 3. 5 6 MHz, 3 0 0 Wに変更し、 単位堆積時間を 1 0秒として、 本実施例と他の条件は同一と して R e p e a t P r o c e s sを行った結果、 上記表の 単位堆積時間 1 0秒の場合と同程度のェッチング速度と膜収 縮率が得られている。 このことは、 処理圧力や R Fパワー等 を適当に設定することにより、 他の条件でも、 同様の効果が 十分に得られることを示している。
(第 8 の実施例)
次に、 本発明においては、 図 2 7に示す如く平行平板型の R F放電を利用する成膜装置を用いてもよい。 図中、 5 1 は ウェハ、 5 2 はガス導入口、 5 3 は排気口、 5 4 はプラズマ 領域である。 また、 図 2 8 に示す如く 波ダウ ンフロー型の プラズマを利用する成膜装置を用いてもよい。 図 2 8中、 6 1 はガス導入部 ( H 2 0 , N 2 等) 、 6 2 はガス導入口 ( T E O S , N 2 等) 、 6 3 は石英部、 6 4 は //波導波管、 6 5 はプラズマ領域、 6 6 はリ ング状石英シャワー、 6 7 はゥェ 'へ、 G 8 は排気口である。 プラズマに晒される部分には、 当 然ウェハと同じ考え方で膜が形成される。 また、 ガスの流れ によってはプラズマに晒されていない部分でも膜が形成され る。
したがって、 励起反応により形成される重合体の吸着を抑 える意味で、 これらのウェハ以外の部分も少な く とも室温以 上に加熱することは非常に効果がある。 特に、 図 2 7 に示す 成膜装置のような平行平板型 C V D装置の場合、 ウェハを設 置する対向電極の温度 (ウェハを設置する電極はウェハ温度 をコ ン ト ロールするため当然制御されている) 力 、 ウェハ上 の成長速度を一定に保つ上で非常に重要である。 図 2 8 に示 す成膜装置のように、 対向電極が高周波電力の印加側である 場合は、 直接ヒーターで加熱制御するのが難しいため、 媒体 を通した加熱制御が考えられる。
次に、 本発明においては、 図 2 9に示すように、 ロー ド口 ック室 7 1 を介する成膜 (枚葉処理) 装置 7 2 とァニール処 理 (バッチ処理) 装置 7 3を用い、 このシリ コ ン酸化物の薄 膜を形成したウェハを同一装置内の雰囲気及び圧力及び温度 を制御したチヤ ンバ一內に保管し、 一定枚数に達したところ で、 同一装置内の雰西気及び圧力及び温度を制御したチャ ン パー内でァニール処理を行うようにしてもよい。 図 2 9中、 7 4 はウェハ保管部、 7 5 はウェハ支持部 (キヤ リャ) 、 7 6 はゲー ト、 7 7 は排気口である。
次に、 本発明においては、 上記成膜方法を実現する平行平 板型プラズマ C V D装置を用いて高周波電力と低周波電力を 同時に、 連繞的あるいはパルス的に電極に印加するようにし て行ってもよ く、 この場合の高周波電力としては 1 3 . 5 6 M Hzの R F電源を用い、 低周波電力としては 1 M Hz以下 G)低 周波電源を用いればよい。 高周波電力と低周波電力の電極へ の印加方法としては具体的には、 図 3 0 Bに示すように、 同 一の電極に同時に印加する場合と、 図 3 O Aに示すように、 対向する 2つの電極に別々に同時に印加する場合がある。 な お、 図 2 9 に図 2 8 Bに示す合成ボッ クスの一例を示す c 産業上の利用分野
本発明によれば、 S 0 G法により彩成される シリ 力力"ラ ス 並みの平坦性を持ち、 かつ耐プラズマ性等に優れた信頼性 C: 高い絶緣膜が、 所望の膜厚で得られ、 半導体装置の層間絶緣 膜等に有用である
4
7

Claims

請 求 の 範 囲
1 . 下記の工程を舍む、 シ リ コ ン酸化膜の形成方法。
反応室内の基板上で、 有機シラ ン又は有機シロキサ ンガス と H及び 0 H舍有ガス とを含むガスを、 励起させて気相中又 は基板上で反応させることにより、 基板上に有機基を舍有す る シラノ ール、 シラノ ール重合体又はシロキサ ン結合重合体 の薄膜を堆積させる工程と、 その後、
該薄膜から有機基を除去してシリ コ ン酸化膜を得る工程。
2 . 前記有機シラ ン又は有機シロキサ ンガスが、 テ ト ラエ トキ シシラ ン、 テ ト ラメ ト キ シ シラ ン、 テ ト ラメ チルシラ ン.、 テ ト ラ メ チルシク ロテ ト ラ シロキサ ン、 ォク トメ チルシク ロ テ ト ラ シ口キサ ン、 ジァセ ト キ シジターシャ リ ブ ト キ シシラ ン、 へキサメ チルジシロキサ ン、 及びこれらの置換化合物か らなる群から選ばれた少なく とも 1種である請求の範囲第 1 項記載の方法。
3 . 前記 H及び 0 H舍有ガスが水蒸気又は過酸化水素であ る請求の範囲第 1項記載の方法。
4 . 前記熱処理前に前記薄膜が 9 0重量%以下の範囲内の 有機基を舍む請求の範囲第 1項記載の方法。
5 . 前記熱処理前に前記薄膜が 2 0〜 6 0重量%の範囲内 の有機基を含む請求の範囲第 4項記載の方法。
6 . 前記熱処理前に前記薄膜が 3 0重量%以下の範囲肉 有機基を舍む請求の範囲第 4項記載の方法。
7 . 前記励起をパルス的に行う請求の範囲第 1項記載の方 法。
8 . 前記励起時間が、 前記有機シラ ン又は有機シロキサ: ガス又はその反応生成物が基板に到達するとき未だ有機基を 舍むことができる程度に短い請求の範囲第 6項記載の方法。
9 . 前記堆積工程を一 5 0 'C以上 4 5 0 'C以下の温度で行 う請求の範囲第 1項記載の方法。
1 0 . 前記有機基除去工程を 2 5 0 て以上 8 5 0 て以下の 温度の熱処理で行う請求の範囲第 1項記載の方法。
1 1 . 前記堆積工程を室温から 2 5 0 'Cの温度で実施し、 前記有機基除去工程を 2 5 0 て〜 4 5 0 'Cの熱処理で行う請 求の範囲第 9項記載の方法。
1 2 . 前記堆積工程と前記有機基除去工程とを真空を破る ことな く連続処理で行う請求の範囲第 1項記載の方法。
1 3 . 前記熱処理と同時又はその後連続して前記薄膜に対 して紫外線照射を行う請求の範囲第 1 1項記載の方法。
1 4 . 前記有機シラ ン又は有機シロキサンガスの流量に する前記 H及び 0 H舍有ガスの流量の比が、 前記有機シ ラ ン 又は有機シロキサ ンを前記 H及び 0 H舍有で完全に加水分解 又は酸化するのに必要な化学量論的モル比の 1ノ 1 0 〜 5 0 の範囲内である請求の範囲第 1項記載の方法。
1 5 . 前記有機基除去工程が、 前記薄膜にプラズマ処理を 施す工程である請求の範囲第 1項記載の方法。
1 6 . 前記プラズマ処理を室温から 4 δ 0 'C以下の温度て' 行う請求の範囲第 1 5項記載の方法。
1 7 . 同一反応室内で前記堆積工程と前記プラズマ処理工 程とを交互に繰り返して行って、 基板上に所望の膜厚を持つ シリ コ ン酸化膜を得る請求の範囲第 1 6項記載の方法。
1 8 . 前記プラズマ処理を酸素、 水素又は水プラズマで行 い、 かつ基板温度 1 0 0 'C以上 2 5 0 'C以下とする請求の範 囲第 1 6項記載の方法。
1 9 . 前記堆積及びプラズマ処理工程の後、 2 5 0 て〜 4
5 0 ての温度で熱処理を行う請求の範囲第 1 8項記載の方法。
2 0 . 前記反応室内への前記有機シラ ン又は有機シロキサ ンガスの供給を間歇的に停止することにより、 前記堆積工程 と前記プラズマ処理とを交互に行う請求の範囲第 1 7項記載 の方法。
2 1 . 前記励起をパルス的に行う請求の範囲第 1 7項記載 の方法。
2 2 . 前記有機シラ ン又は有機シロキサ ンガス及び前記 H 及び 0 H舍有ガスと共にリ ン源、 ポロ ン源及びヒ素源の少な く とも一種を導入して、 堆積される前記薄膜中にリ ン、 ボ π ン及びヒ素の少なく とも一種を含有させる請求の範囲第 1項 記載の方法。
2 3 . 前記有機シラ ン又は有機シロキサ ンガス及び前記 H 及び 0 H舍有ガス と共に窒素舍有ガスを導入して、 前記薄膜 中に窒素を舍有させる請求の範囲第 1項記載の方法。
2 4 . 前記有機シラ ン又は有機シロキサンガス及び前記 H 及び 0 H舍有ガスに更に酸素ガスを連続的又は間歇的に導入 する請求の範囲第 1項記載の方法。
2 5 . 前記堆積を平行平板型プラズマ C V D装置で行い、 圧力が 5〜 1 5 Torr、 温度が室温以上 2 5 0 てまで、 電極間 距離が 6〜 2 5譲、 ガス流量が 1 0 0〜 1 8 0 0 0 cm/min 、 R F (放電) 周波数が 1 3. 5 6 MHz. パスル周期は平行平 板の電極間を反応ガスが通過する時間の 1 0 0倍以下の時間、 放電電力が 1 0 0〜 5 0 0 W、 かつ放電オ ン時間が
3 0 0
D≤ { 1 4 0 - ( 1 4 0 / 3 1 0 ) T } (式中、 Dは、
P
ガスがプラ ズマ領域に導入されてから基板に到達するまでの 時間を 1 0 0 として放電オ ン時間の長さを%で表したもので 1 0 0 %を上限とし、 Pは R Fパワー、 Tは基板温度である) を満たす時間である請求の範囲第 1項記載の方法。
2 6. 前記シリ コ ン酸化膜が半導体装置の多層配線の層間 絶縁膜である請求の範囲第 1項記載の方法。
PCT/JP1991/001739 1991-01-08 1991-12-19 Process for forming silicon oxide film WO1992012535A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US07/923,882 US5314724A (en) 1991-01-08 1991-12-19 Process for forming silicon oxide film
EP92901439A EP0519079B1 (en) 1991-01-08 1991-12-19 Process for forming silicon oxide film
DE69130947T DE69130947T2 (de) 1991-01-08 1991-12-19 Verfahren zur bildung eines siliciumoxid-filmes
KR92702154A KR960008508B1 (en) 1991-01-08 1992-09-07 Forming method of silicon-oxide film

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP73491 1991-01-08
JP3/734 1991-01-08
JP3/62133 1991-03-26
JP6213391 1991-03-26

Publications (1)

Publication Number Publication Date
WO1992012535A1 true WO1992012535A1 (en) 1992-07-23

Family

ID=26333780

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1991/001739 WO1992012535A1 (en) 1991-01-08 1991-12-19 Process for forming silicon oxide film

Country Status (5)

Country Link
US (1) US5314724A (ja)
EP (1) EP0519079B1 (ja)
KR (1) KR960008508B1 (ja)
DE (1) DE69130947T2 (ja)
WO (1) WO1992012535A1 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098031A (ja) * 1995-06-23 1997-01-10 Sony Corp 化学的気相成長法による絶縁膜の製造方法
US5610105A (en) * 1992-10-23 1997-03-11 Vlsi Technology, Inc. Densification in an intermetal dielectric film
JP2000260763A (ja) * 1999-02-24 2000-09-22 Applied Materials Inc 半導体ウェハの処理方法及び装置
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
JP2003031652A (ja) * 2001-07-18 2003-01-31 Sony Corp 半導体装置およびその製造方法
US6656854B2 (en) 2001-05-31 2003-12-02 Oki Electric Industry Co., Ltd. Method of forming a low dielectric constant film with tetramethylcyclotetrasiloxane (TMCTS) and LPCVD technique
WO2004038782A1 (ja) * 2002-10-24 2004-05-06 Semiconductor Process Laboratory Co., Ltd. 半導体装置及びその製造方法
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP2004327639A (ja) * 2003-04-24 2004-11-18 Hitachi Kokusai Electric Inc 半導体原料、半導体装置の製造方法、基板処理方法、および基板処理装置
US6835995B2 (en) 1998-09-03 2004-12-28 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6858153B2 (en) 1998-02-11 2005-02-22 Applied Materials Inc. Integrated low K dielectrics and etch stops
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
JP2009539268A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US7923383B2 (en) 1998-05-21 2011-04-12 Tokyo Electron Limited Method and apparatus for treating a semi-conductor substrate
JP2017521865A (ja) * 2014-07-15 2017-08-03 ユ−ジーン テクノロジー カンパニー.リミテッド 高縦横比を有する凹部の上に絶縁膜を蒸着する方法
WO2023171416A1 (ja) * 2022-03-10 2023-09-14 東京エレクトロン株式会社 埋込方法及び基板処理装置

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
CA2137928C (en) * 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
CN1244891C (zh) * 1992-08-27 2006-03-08 株式会社半导体能源研究所 有源矩阵显示器
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
DE69325977T2 (de) * 1992-12-22 2000-04-13 Canon Kk Tintenstrahldruckkopf und Herstellungsverfahren und Druckgerät mit Tintenstrahldruckkopf
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JPH0817174B2 (ja) * 1993-11-10 1996-02-21 キヤノン販売株式会社 絶縁膜の改質方法
US5909314A (en) * 1994-02-15 1999-06-01 Dai Nippon Printing Co., Ltd. Optical functional materials and process for producing the same
DK0693975T4 (da) 1994-02-16 2003-08-18 Coca Cola Co Hule beholdere med indifferent eller uigennemtrængelig indre overflade gennem plasmaunderstøttet overfladereaktion eller polymerisation på overfladen
US6149982A (en) * 1994-02-16 2000-11-21 The Coca-Cola Company Method of forming a coating on an inner surface
US5503882A (en) * 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
GB9412178D0 (en) * 1994-06-17 1994-08-10 Dow Corning Sa Foam control agent
JPH08153784A (ja) * 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
JPH08181210A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
KR100345663B1 (ko) * 1995-04-11 2002-10-30 주식회사 하이닉스반도체 반도체소자의층간절연막평탄화방법
US5637351A (en) * 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) * 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JPH098032A (ja) * 1995-06-20 1997-01-10 Sony Corp 絶縁膜形成方法
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
KR970052338A (ko) * 1995-12-23 1997-07-29 김주용 반도체 소자의 제조방법
US5976993A (en) 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6013583A (en) * 1996-06-25 2000-01-11 International Business Machines Corporation Low temperature BPSG deposition process
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US20010012700A1 (en) * 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
KR100440233B1 (ko) 1996-08-24 2004-07-15 트리콘 이큅먼츠 리미티드 반도체 기판 처리방법
JP3014334B2 (ja) * 1996-11-29 2000-02-28 キヤノン販売株式会社 半導体装置の製造方法
JP3916284B2 (ja) * 1997-02-28 2007-05-16 東京応化工業株式会社 多層配線構造の形成方法
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1126449A (ja) * 1997-06-30 1999-01-29 Sony Corp 絶縁膜の成膜方法
WO1999002276A1 (en) * 1997-07-07 1999-01-21 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (tms)
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) * 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6082375A (en) 1998-05-21 2000-07-04 Micron Technology, Inc. Method of processing internal surfaces of a chemical vapor deposition reactor
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
TW533228B (en) * 1998-07-27 2003-05-21 Advanced Refractory Tech Hardcoats for flat panel display substrates
US6372666B1 (en) * 1998-08-31 2002-04-16 Alliedsignal Inc. Process for producing dielectric thin films
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
KR100314806B1 (ko) * 1998-10-29 2002-02-19 박종섭 스핀온글래스막형성방법
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6255232B1 (en) * 1999-02-11 2001-07-03 Taiwan Semiconductor Manufacturing Company Method for forming low dielectric constant spin-on-polymer (SOP) dielectric layer
AU2814000A (en) * 1999-02-26 2000-09-14 Trikon Holdings Limited A method of processing a polymer layer
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
US6303496B1 (en) * 1999-04-27 2001-10-16 Cypress Semiconductor Corporation Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
GB2355992B (en) * 1999-06-26 2004-06-02 Trikon Holdings Ltd Method and apparatus for forming a film on a substrate
US6083313A (en) * 1999-07-27 2000-07-04 Advanced Refractory Technologies, Inc. Hardcoats for flat panel display substrates
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6331494B1 (en) * 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6716077B1 (en) * 2000-05-17 2004-04-06 Micron Technology, Inc. Method of forming flow-fill structures
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP3532830B2 (ja) 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
GB0101528D0 (en) * 2001-01-20 2001-03-07 Trikon Holdings Ltd A method of filling trenches
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6613697B1 (en) * 2001-06-26 2003-09-02 Special Materials Research And Technology, Inc. Low metallic impurity SiO based thin film dielectrics on semiconductor substrates using a room temperature wet chemical growth process, method and applications thereof
JP3926588B2 (ja) 2001-07-19 2007-06-06 キヤノンマーケティングジャパン株式会社 半導体装置の製造方法
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
JP4694771B2 (ja) * 2003-03-12 2011-06-08 財団法人国際科学振興財団 ポンプおよびポンプ部材の製造方法
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
JP4694108B2 (ja) * 2003-05-23 2011-06-08 東京エレクトロン株式会社 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7129164B2 (en) * 2004-10-18 2006-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-layer low-K dual damascene
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US8241708B2 (en) 2005-03-09 2012-08-14 Micron Technology, Inc. Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
JP5590886B2 (ja) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
DE102006046553A1 (de) * 2006-09-28 2008-04-03 Innovent E.V. Verfahren zum Aufbringen silikatischer Schichten unter Normaldruck
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP2008166374A (ja) * 2006-12-27 2008-07-17 Sharp Corp 絶縁膜形成方法
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US8962097B1 (en) * 2007-09-07 2015-02-24 Edward Maxwell Yokley Surface properties of polymeric materials with nanoscale functional coating
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
KR20110021654A (ko) * 2009-08-25 2011-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법
US9177761B2 (en) * 2009-08-25 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
RU2449413C2 (ru) * 2010-04-08 2012-04-27 Государственное Образовательное Учреждение Высшего Профессионального Образования "Дагестанский Государственный Технический Университет" (Дгту) Метод получения пленки диоксида кремния
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DE102012017894A1 (de) * 2012-09-11 2014-03-13 Hochschule Für Angewandte Wissenschaft Und Kunst Hildesheim/Holzminden/Göttingen Verfahren zum Aufbringen einer Oxidschicht auf eine Oberfläche
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
WO2014097388A1 (ja) * 2012-12-18 2014-06-26 株式会社アルバック 成膜方法及び成膜装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9869017B2 (en) * 2014-07-10 2018-01-16 Applied Materials, Inc. H2/O2 side inject to improve process uniformity for low temperature oxidation process
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9508545B2 (en) 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5494878A (en) * 1978-01-11 1979-07-26 Hitachi Ltd Surface stabilizing method of semiconductor elements
JPH01307247A (ja) * 1988-06-03 1989-12-12 Fuji Xerox Co Ltd 半導体装置の製造方法
JPH0278225A (ja) * 1988-07-29 1990-03-19 Eniricerche Spa オルガノシランの析出法
JPH02262336A (ja) * 1989-04-03 1990-10-25 Toshiba Corp 薄膜形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5494878A (en) * 1978-01-11 1979-07-26 Hitachi Ltd Surface stabilizing method of semiconductor elements
JPH01307247A (ja) * 1988-06-03 1989-12-12 Fuji Xerox Co Ltd 半導体装置の製造方法
JPH0278225A (ja) * 1988-07-29 1990-03-19 Eniricerche Spa オルガノシランの析出法
JPH02262336A (ja) * 1989-04-03 1990-10-25 Toshiba Corp 薄膜形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0519079A4 *

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610105A (en) * 1992-10-23 1997-03-11 Vlsi Technology, Inc. Densification in an intermetal dielectric film
JPH098031A (ja) * 1995-06-23 1997-01-10 Sony Corp 化学的気相成長法による絶縁膜の製造方法
US6858153B2 (en) 1998-02-11 2005-02-22 Applied Materials Inc. Integrated low K dielectrics and etch stops
US7923383B2 (en) 1998-05-21 2011-04-12 Tokyo Electron Limited Method and apparatus for treating a semi-conductor substrate
US6835995B2 (en) 1998-09-03 2004-12-28 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP2000260763A (ja) * 1999-02-24 2000-09-22 Applied Materials Inc 半導体ウェハの処理方法及び装置
JP4560166B2 (ja) * 1999-02-24 2010-10-13 アプライド マテリアルズ インコーポレイテッド 半導体ウェハの処理装置
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6828257B2 (en) 1999-07-23 2004-12-07 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6713390B2 (en) 1999-11-01 2004-03-30 Applied Materials Inc. Barrier layer deposition using HDP-CVD
US6656854B2 (en) 2001-05-31 2003-12-02 Oki Electric Industry Co., Ltd. Method of forming a low dielectric constant film with tetramethylcyclotetrasiloxane (TMCTS) and LPCVD technique
JP2003031652A (ja) * 2001-07-18 2003-01-31 Sony Corp 半導体装置およびその製造方法
JP4538995B2 (ja) * 2001-07-18 2010-09-08 ソニー株式会社 半導体装置およびその製造方法
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US7329612B2 (en) 2002-10-24 2008-02-12 Semiconductor Process Laboratory Co., Ltd. Semiconductor device and process for producing the same
WO2004038782A1 (ja) * 2002-10-24 2004-05-06 Semiconductor Process Laboratory Co., Ltd. 半導体装置及びその製造方法
JP2004327639A (ja) * 2003-04-24 2004-11-18 Hitachi Kokusai Electric Inc 半導体原料、半導体装置の製造方法、基板処理方法、および基板処理装置
JP2009539268A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2017521865A (ja) * 2014-07-15 2017-08-03 ユ−ジーン テクノロジー カンパニー.リミテッド 高縦横比を有する凹部の上に絶縁膜を蒸着する方法
WO2023171416A1 (ja) * 2022-03-10 2023-09-14 東京エレクトロン株式会社 埋込方法及び基板処理装置

Also Published As

Publication number Publication date
DE69130947T2 (de) 1999-07-08
EP0519079B1 (en) 1999-03-03
DE69130947D1 (de) 1999-04-08
EP0519079A4 (en) 1993-10-27
EP0519079A1 (en) 1992-12-23
US5314724A (en) 1994-05-24
KR960008508B1 (en) 1996-06-26

Similar Documents

Publication Publication Date Title
WO1992012535A1 (en) Process for forming silicon oxide film
KR102446511B1 (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
US7488693B2 (en) Method for producing silicon oxide film
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
US8728958B2 (en) Gap fill integration
KR100627098B1 (ko) 저유전율 절연막의 형성 방법
US20120309205A1 (en) Capping layer for reduced outgassing
US20070298585A1 (en) Dielectric deposition and etch back processes for bottom up gapfill
US20070287301A1 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k&lt;2.5) dielectrics
JPH04360533A (ja) 化学気相成長法
JPH0729897A (ja) 半導体装置の製造方法
JP2013515355A (ja) 流動性cvd処理から形成された誘電体材料上で実行される湿式酸化処理
WO2013036667A2 (en) Flowable silicon-carbon-nitrogen layers for semiconductor processing
JP2004312041A (ja) 低誘電率材料およびcvdによる処理方法
US9257302B1 (en) CVD flowable gap fill
KR100939593B1 (ko) 습식 에칭 언더컷팅들을 최소화하고 k가 2.5 미만인 최저k 유전체들의 공극 밀봉을 제공하는 방법
TWI236059B (en) Method for cleaning a plasma enhanced CVD chamber
TWI729417B (zh) 矽化合物及使用其沉積膜的方法
JP3635443B2 (ja) SiO2被膜の形成方法
JPH07288251A (ja) 半導体装置の製造方法
TW202129762A (zh) 絕緣膜之形成方法
US6432839B2 (en) Film forming method and manufacturing method of semiconductor device
KR20020079497A (ko) 반도체 기판상에 저 유전율을 갖는 막을 형성하는 방법
JP3017627B2 (ja) 薄膜形成方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): DE FR GB

WWE Wipo information: entry into national phase

Ref document number: 1992901439

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1992901439

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1992901439

Country of ref document: EP