WO1994014187A1 - Verfahren zum anisotropen ätzen von silicium - Google Patents

Verfahren zum anisotropen ätzen von silicium Download PDF

Info

Publication number
WO1994014187A1
WO1994014187A1 PCT/DE1993/001129 DE9301129W WO9414187A1 WO 1994014187 A1 WO1994014187 A1 WO 1994014187A1 DE 9301129 W DE9301129 W DE 9301129W WO 9414187 A1 WO9414187 A1 WO 9414187A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
steps
polymerization
polymer
silicon
Prior art date
Application number
PCT/DE1993/001129
Other languages
English (en)
French (fr)
Inventor
Franz Laermer
Andrea Schilp
Original Assignee
Robert Bosch Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch Gmbh filed Critical Robert Bosch Gmbh
Priority to JP6513639A priority Critical patent/JPH07503815A/ja
Priority to US08/284,490 priority patent/US5501893A/en
Priority to EP94900729A priority patent/EP0625285B1/de
Publication of WO1994014187A1 publication Critical patent/WO1994014187A1/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface

Definitions

  • the invention relates to a method for anisotropically etching silicon according to the preamble of claim 1.
  • the individual structures to be etched are usually defined by etching masks applied to the silicon substrate via so-called masking layers, for example a photoresist layer.
  • the etching on the side walls of the structures should proceed correspondingly slowly, or in the best case not at all.
  • the fluorine radicals generated in the plasma have such a high spontaneous reaction rate compared to silicon that the structural edges (side surfaces) are also quickly etched, thus resulting in the undesired undercutting of the mask edges.
  • reactive gases based on fluorine instead of using reactive gases based on fluorine, it has already been proposed to use reactive gases based on less reactive halogens, in particular chlorine and bromine, or reactive gases which release chlorine or bromine in the plasma.
  • the invention has for its object to provide a method of the generic type with which a high anisotropic etching of silicon substrate with high selectivity can be achieved on the basis of fluorochemicals.
  • the silicon substrates are bombarded with ion energy during the etching steps and optionally also during the polymerization steps.
  • ion energy during the etching steps and optionally also during the polymerization steps.
  • the silicon substrate can be heated considerably.
  • the silicon substrate is advantageously cooled during the etching process, preferably by means of a helium gas stream.
  • the simultaneous cooling of the silicon substrate during the etching process allows the advantages of the method according to the invention, namely a very high etching rate with high selectivity, to be fully exploited.
  • the figure shows an etching chamber 10, in which a substrate electrode 12 is arranged, which is connected to a high-frequency feed 14.
  • a surfatron 16 also projects into the etching chamber 10.
  • a silicon substrate IS is arranged on the substrate electrode 12 in the effective area of the surfatron 16.
  • the surfatron 16 is coupled to a resonator 20 for microwave plasma excitation.
  • the system also has a waveguide 22 for introducing a reactive gas.
  • etching chamber 10 has also been selected only by way of example, and the invention does not relate in detail to the specific structure of the etching chamber 10.
  • the method according to the invention can of course also be carried out with an analog device which carries out the individual method steps.
  • a suitably prepared silicon substrate that is to say a silicon substrate coated with an etching mask, for example made of photoresist, the etching mask leaving free the regions of the silicon substrate which are to be anisotropically etched, is subjected to a first etching step.
  • an etching mask for example made of photoresist
  • the plasma is preferably generated with microwave radiation at powers between 300 and 1200 W (2.45 GHz).
  • a substrate bias for ion acceleration is applied to the substrate electrode.
  • the substrate bias is preferably between 5 and 30 V and can be achieved with a high-frequency feed (13.56 MHz) at powers between 2 and 10 W.
  • the etching step can e.g. be carried out until an etching depth of approx. 2 - 3 ⁇ m depth is reached.
  • a first polymerization step is then carried out using a mixture of, for example, trifluoroethane CHF 3 and argon Ar.
  • the mixture has a gas flow of preferably 0 to 100 sccm and a process pressure between 10 and 100 ⁇ bar.
  • a microwave radiation and thus a plasma is generated via the resonator at a power of preferably between 300 and 1200 W.
  • the surfaces exposed in the previous etching step that is to say the etching base and the side surfaces, are covered very uniformly with a polymer.
  • This polymer layer on the etching edges or etching surfaces forms a very effective preliminary etching stop.
  • the polymer applied to the etching edge in each case in the polymerization step is partially removed again during the second etching step that now follows.
  • the edge exposed during further etching is already experienced during the etching step by the edge region lying above it partially eroded polymer locally effective protection against a further etching attack.
  • the polymer layer applied to the etching base during the polymerization step is quickly broken through during the subsequent etching step, since the polymer is removed very quickly with the ion support and the chemical reaction of the reactive plasma species with the silicon on the etching base can proceed.
  • the side walls of the structures to be etched remain protected during the etching step by the polymer applied during the polymerisation step.
  • the etching steps and the polymerization steps are repeated alternately until the predetermined etching depth of the structures in the silicon substrate is reached.
  • the duration of the individual etching steps is in the microwave-assisted method, which enables an etching rate between 2 and 20 ⁇ m / min, so that, for example, a depth of 2 to 3 ⁇ m is etched further per etching step.
  • the subsequent polymerization step is selected for about as long as an approximately 50 n thick Teflon-like polymer layer is deposited on the side walls or on the etching base during the polymerization time. For example, a time of one minute is required for this.
  • an ion action is carried out on the silicon substrate simultaneously with the polymer application.
  • the Substrat ⁇ is electrode with a high frequency power of, eg, 3 to 5 • W, the preload a substrate yields of about 5 V applied. Since, without the action of ions, the polymer layers deposited during the polymerization step are etched very slowly during the etching steps - only a few nanometers per minute - the simultaneous action of ions during the etching step has the advantage that the polymer etching rate increases drastically to over 100 nm / min can be increased. This is achieved even if the silicon substrate is bombarded with only a small ion energy, for example 5 eV.
  • etching base If the silicon substrate is already bombarded with low ion energy during the polymerization steps, no polymer at all can be formed on the etching base.
  • the polymerizable monomers therefore accumulate preferentially on the side walls and there develop a particularly effective protection against the subsequent etching step, whereas the etching base remains free of any covering. In the subsequent etching step, etching can therefore continue at the etching base without warping, that is to say without prior removal of a polymer film.
  • the strongly exothermic chemical reaction of fluorine radicals with silicon causes the silicon substrate to heat up.
  • the polymers or the mask materials deposited during the polymerization step e.g. Photoresist, its resistance to the etching species. It is therefore necessary to ensure adequate cooling of the silicon substrates. This is done with methods known per se, e.g. the cooling of the silicon substrate back side by means of a helium gas stream or the sticking of the silicon substrates on cooled silicon electrodes.
  • the ion energy must be kept as small as possible. High ion energies would also lead to disruptive repercussions of atomized or removed and uncontrolled redeponated material.
  • the energy of the ions acting on the silicon substrate must be sufficient to keep the structural base free from depositions so that a smooth etching base can be achieved.

Abstract

Die Erfindung betrifft ein Verfahren zum anisotropen Ätzen von mit einer Ätzmaske definierten Strukturen, vorzugsweise lateral exakt definierten Ausnehmungen in Silicium mittels eines Plasmas. Es ist vorgesehen, bei einer hohen Maskenselektivität gleichzeitig eine sehr hohe Anisotropie der geätzten Strukturen zu erreichen. Dazu ist vorgesehen, daß der anisotrope Ätzvorgang in separaten, jeweils alternierend aufeinanderfolgenden Polymerisations- und Ätzschritten getrennt durchgeführt wird.

Description

Verfahren zum anisotropen Ätzen von Silicium
Die Erfindung betrifft ein Verfahren zum aniso¬ tropen Ätzen von Silicium nach dem Oberbegriff des Anspruchs 1.
Es ist bekannt, in Siliciu substrate, die vorzugs¬ weise in der Halbleitertechnik angewendet werden, definierte Strukturen, beispielsweise Gräben, Käm¬ me, Zungen, Biegebalken oder ähnliches mit geringer bis mittlerer Selektivität anisotrop einzuätzen.
Die einzelnen einzuätzenden Strukturen werden üb¬ licherweise durch auf das Siliciumsubstrat auf¬ gebrachte Ätzmasken über sogenannte Maskier- schichten, beispielsweise einer Photolackschicht, definiert.
Bei der anisotropen Ätztechnik ist es notwendig, zu einer lateral exakt definierten Ausnehmung im Silicium zu kommen. Diese in die Tiefe gehenden Ausnehmungen müssen möglichst genau senkrechte Seitenabschlüsse besitzen. Dabei dürfen die Ränder der Maskierschichten, die diejenigen Silicium- substratbereiche abdecken, die nicht geätzt werden sollen, nicht unterätzt werden, um die laterale Genauigkeit der Strukturübertragung von der Maske ins Silicium so hoch wie möglich zu halten. Daraus ergibt sich die Notwendigkeit, die Ätzung also nur auf dem Strukturgrund, nicht aber an den bereits erzeugten Seitenwänden der Strukturen fortschreiten zu lassen.
Hierzu ist bereits vorgeschlagen worden, das Ätzen von Profilen in Siliciumsubstraten mit einem Plasmaätzverfahren durchzuführen. Dazu werden in einem Reaktor mit Hilfe einer elektrischen Ent¬ ladung in einem Reaktivgasgemisch chemisch reaktive Spezies und elektrisch geladene Teilchen (Ionen) erzeugt. Die so generierten, positiv geladenen Kationen werden durch eine elektrische Vorspannung, die am Siliciumsubstrat anliegt, zum Substrat hin beschleunigt und fallen annähernd senkrecht auf die Substratoberfläche und fördern auf dem Ätzgrund die chemische Umsetzung der reaktiven Plasmaspezies mit dem Silicium.
Durch den nahezu senkrechten Einfall der Kationen soll die Ätzung an den Seitenwänden der Strukturen entsprechend langsam - bzw. im Optimalfall über¬ haupt nicht - voraπschreiten.
Es ist bekannt, für diese Plasmaätzverfahren unge¬ fährliche und prozeßstabile Reaktivgase auf Fluor¬ chemiebasis einzusetzen. Dabei ist jedoch sehr nachteilig, daß diese auf Fluorchemiebasis wirkenden Reaktivgase zwar eine sehr hohe Ätzrate und eine hohe Selektivität ermöglichen, aber ein ausgeprägtes isotropes Ätzverhalten zeigen.
Die im Plasma generierten Fluorradikale weisen dabei gegenüber dem Silicium eine so hohe spontane Reaktionsrate auf, daß auch die Strukturkanten (Seitenflächen) schnell angeätzt werden und es so¬ mit zu der unerwünschten Unterätzung der Masken¬ kanten kommt.
Weiterhin ist bereits vorgeschlagen worden, die Seitenwände während der Ätzung mit gleichzeitig in Plasma befindlichen Polymerbildnern zu bedecken und durch diesen Polymerfilm zu schützen. Da sich dieser Polymerfilm auch auf dem Ätzgrund bilden würde, soll ein beständiger Ioneneinfall diesen von Polymer freihalten und die Ätzung dort ermöglichen. Hierbei ist jedoch nachteilig, daß die dem Plasma zugesetzten Poly erbildner, die sich zum Teil aus dem Fluorträger selbst durch Abspaltung von Fluor¬ radikalen bilden oder die aus bewußt zugesetzten ungesättigten Verbindungen entstehen oder aus ero¬ diertem organischen Maskenmaterial (z.B. Photolack) stammen, gegenüber den Fluorradikalen als Rekom- binationspartner auftreten. Durch diese, ein chemisches Gleichgewicht anstrebende Rückreaktion wird ein erheblicher Teil des für die Ätzung benötigten Fluors neutralisiert, während gleich¬ zeitig auch ein entsprechender Anteil der für die Seitenwandpassivierung benötigten Polymerbildner verlorengeht. Hierdurch wird insgesamt die mit diesem Verfahren erzielbare Ätzrate merklich ge¬ senkt. Diese Abhängigkeit der ätzenden Fluorradikale zu den ungesättigten Polymerbildnern im Plasma läßt die Ätzraten und die Ätzprofile von der freien zu ätzenden Siliciumsubstratflache abhängig werden. Weiterhin ist noch nachteilig, daß die im Plasma anwesenden, die Polymerbildner ergebenden, ungesät¬ tigten Spezies bevorzugt bestimmte Maskenma- terialien ätzen und so die Selektivität, also das Verhältnis von Siliciumätzrate zur Maskenätzrate, verschlechtern. Darüber hinaus erfolgt ein un¬ gleichmäßiger Seitenwandschutz, so werden die Sei¬ tenwände unmittelbar am Maskenrand bevorzugt mit Polymer beschichtet und somit die Seitenwand in diesem Bereich besser geschützt als in fortschrei¬ tender Ätztiefe der Strukturen.
Damit nimmt in größeren Tiefen die Polymer¬ bedeckung der Seitenwände rasch ab und es erfolgt dort eine Unterätzung mit der Folge, daß flaschen- artige Ätzprofile entstehen.
Anstelle des Einsatzes von Reaktivgasen auf Fluor- basis ist bereits vorgeschlagen worden, Reaktivgase auf Basis weniger reaktionsfreudiger Halogene, insbesondere Chlor und Brom, bzw. Reaktivgase, die im Plasma Chlor bzw. Brom freisetzen, einzusetzen.
Diese Reaktivgase bieten zwar den Vorteil, da deren im Plasma gebildete Radikale eine wesentlich geringere spontane Umsetzung mit Silicium zeigen und erst mit gleichzeitiger lonenunterstützung zu einer Ätzung führen, daß sie, da die Ionen nahezu senkrecht auf das Siliciumsubstrat auftreffen, im wesentlichen nur auf dem Strukturgrund und nicht an den Seitenwänden der Struktur ätzen. Es besteht jedoch der Nachteil, daß diese Reaktivgase außer¬ ordentlich empfindlich gegenüber Feuchtigkeit rea¬ gieren.
Damit sind nicht nur aufwendige Einschleus- vorrichtungen für die Siliciu substrate in dem Reaktor notwendig, sondern auch die Leckrate der gesamten Ätzanlage muß extrem niedrig gehalten wer¬ den. Schon das geringste Auftreten von Reaktor¬ feuchtigkeit führt zu einer Mikrorauhigkeit auf dem Siliciumätzgrund infolge lokaler Siliciumoxydation und damit zum völligen Erliegen der Ätzung.
Der Erfindung liegt die Aufgabe zugrunde, ein Ver¬ fahren der gattungsgemäßen Art zu schaffen, mit dem auf Fluorchemiebasis eine hohe anisotropische Ätzung von Siliciumsubstrat bei gleichzeitig hoher Selektivität erreicht werden kann.
Erfindungsgemäß wird die Aufgabe durch die kenn¬ zeichnenden Merkmale des Anspruchs 1 gelöst.
Infolge der Durchführung der anisotropen Ätzung in separaten, jeweils alternierend aufeinander¬ folgenden Ätz- und Polymerisationsschritten werden vorteilhafterweise die gleichzeitige Anwesenheit von Ätzspezies und Polymerbildnern im Plasma voll¬ kommen vermieden. So können mit sehr hohen Ätzraten tiefe Strukturen mit senkrechten Kanten in Siliciumsubstraten realisiert werden.
Weitere vorteilhafte Ausgestaltungen der Erfindung ergeben sich aus den in den Unteransprüchen aufgeführten Merkmalen. Durch das erfindungsgemäße Verfahren muß beim Ätz¬ schritt keinerlei Rücksicht auf ein bestimmtes Verhältnis von gesättigten zu ungesättigten Spezies, also von Fluorradikalen zu Polymer¬ bildnern, genommen werden, so daß der eigentliche Ätzschritt an sich hinsichtlich Ätzrate und Selek¬ tivität optimiert werden kann, ohne daß die Aniso¬ tropie des Gesamtprozesses darunter leidet.
In vorteilhafter Ausgestaltung der Erfindung werden die Siliciumsubstrate während der Ätzschritte und wahlweise auch während der Polymerisationsschritte mit Ionenenergie bombardiert. Durch diese gleich¬ zeitige Bombardierung mit Ionenenergie wird vor¬ teilhaft erreicht, daß auf dem Ätzgrund sich kein Polymer bilden kann, so daß während des Ätz- schrittes eine höhere Ätzrate erreicht werden kann, da eine vorhergehende notwendige Zersetzung der Polymerschicht auf dem Ätzgrund nicht mehr notwen¬ dig ist.
Es hat sich gezeigt, daß ein sehr gutes anisotropes Ergebnis mit außerordentlich niedriger Ionen¬ energie erreicht werden kann. Infolge der nur geringen benötigten Ionenenergie ist eine ausge¬ zeichnete Maskenselektivität erreichbar.
Da die durch das erfindungsgemäße Verfahren mög¬ lichen hohen Ätzraten zu einer stark exothermen chemischen Umsetzung von Fluorradikalen mit Silicium führen, kann es zu einer beträchtlichen Erwärmung des Siliciumsubstrats kommen. Vorteilhafterweise wird das Siliciumsubstrat während des Ätzvorgangs, vorzugsweise durch einen Heliumgasstrom, gekühlt. Durch die gleichzeitige Kühlung des Siliciumsubstrats während des Ätz- vorgangs können die Vorteile des erfindungsgemäßen Verfahrens, nämlich eine sehr hohe Ätzrate bei gleichzeitig hoher Selektivität, voll ausgenutzt werden.
Die Erfindung wird nachfolgend anhand einer Zeichnung, die sche atisch den Aufbau einer für das Verfahren einsetzbaren Ätzvorrichtung zeigt, näher erläutert.
Die Figur zeigt eine Ätzkammer 10, in der eine Substratelektrode 12 angeordnet ist, die mit einer Hochfrequenzspeisung 14 verbunden ist.
In die Ätzkammer 10 ragt weiterhin ein Surfatron 16 hinein. Im Wirkbereich des Surfatrons 16 ist auf der Substratelektrode 12 ein Siliciumsubstrat IS angeordnet. Das Surfatron 16 ist mit einem Reso¬ nator 20 zur Mikrowellenplasmaanregung gekoppelt. Die Anlage weist weiterhin einen Hohlleiter 22 zum Heranführen eines Reaktivgases auf.
Das erfindungsgemäße Verfahren zum anisotropen Ätzen von Siliciumsubstrat läuft nunmehr auf folgende Weise ab.
Der Übersichtlichkeit halber wird bei der nun fol¬ genden Verfahrensbeschreibung auf einzelne Bezug¬ nahmen zu der Ätzkammer 10, in der die Verfahrens- schritte ablaufen, verzichtet. Die Ätzkammer 10 ist auch nur beispielhaft ausgewählt worden, und die Erfindung bezieht sich im einzelnen nicht auf den konkreten Aufbau der Ätzkammer 10. Das erfindungsgemaße Verfahren kann selbstverständlich auch mit einer analogen, die einzelnen Verfahrensschritte vollziehenden Vorrich¬ tung durchgeführt werden.
Ein entsprechend vorbereitetes Siliciumsubstrat, das heißt ein mit einer Ätzmaske, beispielsweise aus Photolack, beschichtetes Siliciumsubstrat, wobei die Ätzmaske die Bereiche des Silicium- substrats freiläßt, die anisotrop eingeätzt werden sollen, wird einem ersten Ätzschritt ausgesetzt.
Dazu wird ein Gemisch von beispielsweise Schwefel- hexafluorid SFg und Argon Ar eingesetzt, das einen
Gasfluß zwischen 0 und 100 sccm und einen Prozeßdruck zwischen 10 und 100 μbar aufweist. Die Plasmaerzeugung erfolgt hierbei vorzugsweise mit einer Mikrowelleneinstrahlung bei Leistungen zwischen 300 und 1200 W (2,45 GHz).
Gleichzeitig wird an die Substratelektrode eine Substratvorspannung zur Ionenbeschleunigung angelegt. Die Substratvorspannung liegt vorzugs¬ weise zwischen 5 und 30 V und kann mit einer Hochfrequenzeinspeisung (13,56 MHz) bei Leistungen zwischen 2 und 10 W erreicht werden.
Während des Ätzschrittes werden in dem Reaktor - hier Surfatron - mit Hilfe einer elektrischen Entladung in dem Gemisch aus Schwefelhexafluorid und Argon chemisch reaktive Spezies und elektrisch geladene Teilchen (Ionen) erzeugt. Die so generierten, positiv geladenen Kationen werden durch die an der Substratelektrode angelegte elektrische Vorspannung zum Siliciumsubstrat hin beschleunigt und fallen annähernd senkrecht auf die durch die Ätzmaske freigelassene Substratoberfläche ein und fördern die chemische Umsetzung der reaktiven Plasmaspezies mit dem Silicium.
Der Ätzschritt kann z.B. so lange durchgeführt werden, bis eine Ätztiefe von ca. 2 - 3 μm Tiefe erreicht ist.
Im Anschluß wird ein erster Polymerisationsschritt mit einem Gemisch aus beispielsweise Trifluor ethan CHF3 und Argon Ar durchgeführt. Das Gemisch besitzt dabei einen Gasfluß von vorzugsweise 0 bis 100 sccm und einen Prozeßdruck zwischen 10 und 100 μbar. Über den Resonator wird bei einer Leistung zwischen vorzugsweise 300 und 1200 W eine Mikrowellen- einstrahlung und damit ein Plasma erzeugt.
Während des Polymerisationsschrittes werden die im vorhergehenden Ätzschritt freigelegten Flächen, also der Ätzgrund und die Seitenflächen, sehr gleichmäßig mit einem Polymer bedeckt. Diese Polymerschicht auf den Ätzkanten bzw. Ätzflächen bildet einen sehr wirkungsvollen vorläufigen Ätz- stopp.
Das jeweils im Polymerisationsschritt auf die Ätzkante aufgebrachte Polymer wird während des nunmehr darauffolgenden zweiten Ätzschrittes teil¬ weise wieder abgetragen. Die beim Weiterätzen frei¬ gelegte Kante erfährt bereits während des Ätz- schrittes durch vom darüberliegenden Kantenbereich teilweise abgetragenen Polymer lokal einen wirk¬ samen Schutz vor einem weiteren Ätzangriff.
Die bekannte Tendenz freigesetzter Monomere, sich bereits unmittelbar benachbart wieder niederzu¬ schlagen, hat beim erfindungsgemäßen Verfahren die positive Konsequenz, einen zusätzlichen lokalen Kantenschutz beim Weiterätzen zu bewirken. Hieraus ergibt sich, daß die Anisotropie der einzelnen Ätzschritte, die ja getrennt von den Polymeri- sationsschritten im Plasma erfolgen, durch diesen Effekt signifikant erhöht wird.
Die auf dem Ätzgrund während des Polymerisations¬ schrittes aufgebrachte Polymerschicht wird während des darauffolgenden Ätzschrittes rasch durch¬ brochen, da das Polymer mit der lonenunterstützung sehr schnell abgetragen wird und die chemische Umsetzung der reaktiven Plasmaspezies mit dem Silicium am Ätzgrund voranschreiten kann.
Die Seitenwände der einzuätzenden Strukturen blei¬ ben während des Ätzschrittes durch das während des Polymerisatonsschrittes aufgebrachte Polymer ge¬ schützt.
Die Ätzschritte und die Polymerisationsschritte werden so oft alternierend wiederholt, bis die vor¬ herbestimmte Ätztiefe der Strukturen im Silicium¬ substrat erreicht ist. Die Dauer der einzelnen Ätzschritte liegen bei dem mikrowellenunterstützten Verfahren, das eine Ätzrate zwischen 2 und 20 μm/min ermöglicht, so, daß pro Ätzschritt z.B. 2 bis 3 μm Tiefe weitergeätzt wird. Der nachfolgende Polymerisationsschritt wird etwa solange gewählt, daß während der Polymerisations- zeit eine ca. 50 n starke teflonartige Polymer¬ schicht an den Seitenwänden bzw. auf dem Ätzgrund abgeschieden ist. Dafür wird z.B. eine Zeit von einer Minute benötigt.
In vorteilhafter Ausgestaltung des Polymerisations¬ schrittes wird gleichzeitig mit der Polymer¬ aufbringung eine Ioneneinwirkung auf das Silicium¬ substrat durchgeführt. Dazu wird die Substrat¬ elektrode mit einer Hochfrequenzleistung von beispielweise 3 bis 5 W, die eine Substrat- vorspannung von ca. 5 V ergibt, beaufschlagt. Da ohne die Ioneneinwirkung die während des Polymeri¬ sationsschrittes abgeschiedenen Polymerschichten während der Ätzschritte nur sehr langsam geätzt - nur wenige Nanometer pro Minute - werden, bietet die gleichzeitige Ioneneinwirkung während des Ätzschrittes den Vorteil, daß die Polymer-Ätzrate drastisch auf über 100 nm/min gesteigert werden kann. Dies wird selbst dann erreicht, wenn das Siliciumsubstrat auch nur mit einer geringen Ionenenergie, z.B. 5 eV, bombardiert wird.
Wird das Siliciumsubstrat bereits während der Polymerisationsschritte mit geringer Ionenenergie bombardiert, kann auf dem Ätzgrund überhaupt kein Polymer gebildet werden. Die polymerisationsfähigen Monomere reichern sich daher bevorzugt an den Seitenwände an und entfalten dort einen besonders wirksamen Schutz vor dem darauffolgenden Ätz- schritt, wogegen der Ätzgrund frei bleibt von jeg¬ licher Bedeckung. Beim darauffolgenden Ätzschritt kann also am Ätz¬ grund ohne Verzug, das heißt ohne vorheriges Ab¬ tragen eines Polymerfilms, weitergeätzt werden.
Mit beiden Alternativen, also Ioneneinwirkung nur während der Ätzphase bzw. Ioneneinwirkung während der Ätzphase und der Polymerisationsphase, können Strukturen mit sehr hoher Anisotropie, das heißt mit praktisch genau senkrechten Kantenprofilen, erreicht werden.
Es ist ein besonderer Vorzug, daß ein anisotropes Ergebnis mit außerordentlich niedrigen Ionen¬ energien erreicht werden kann. Soll während des Polymerisationsschrittes auf dem Ätzgrund kein Polymer deponiert werden, genügen bereits Ionen¬ energien von nur ca. 5 eV. Bei den Ätzschritten empfiehlt sich ein Ionenbombardement bei Energien zwischen 5 und 30 eV, um den Strukturgrund völlig freizuhalten von Depositionen aus dem Plasma, so daß sich erst keine Ätzgrundrauhigkeit einstellen kann.
Werden nur während der Ätzschritte Ionen zum Siliciumsubstrat beschleunigt, so genügen diese auch, um das Ätzgrundpolymer, das sich während der Polymerisationsschritte absetzt, innerhalb von einigen Sekunden zu durchbrechen. Bei dieser Betriebsart wird der Microloading-Effekt in der Ätzrate noch weiter reduziert.
Die Siliciumätzung an sich erfordert dank der hohen spontanen Umsetzungsrate von Fluorradikalen mit Silicium keinerlei lonenunterstützung. Ein weiterer wesentlicher Vorteil ergibt sich daraus, daß infolge der nur geringen benötigten Ionenenergien eine ausgezeichnete Masken- selektivität erreicht wird. Ionenenergien in der angegebenen Größenordnung genügen nicht, um die
Ätzung der Maskenmaterialien, z.B. Photolack und Siliciumoxid Siθ2 zu induzieren, da die Akti¬ vierungsenergie für das Aufbrechen chemischer Bindungen im hochgradig vernetzten Maskenpolymer erheblich höher liegt. Ohne ein vorheriges Auf¬ brechen dieser Bindungen ist es den Ätzspezies jedoch nicht möglich, mit dem Maskenmaterial zu flüchtigen Verbindungen zu reagieren, die an¬ schließend desorbiert werden können.
Da mit dem beschriebenen Verfahren hohe Ätzraten erreicht werden können, kommt es durch die stark exotherme chemische Umsetzung von Fluorradikalen mit Silicium zu einer Erwärmung des Siliciu - substrats. Bei entsprechend hohen Temperaturen verlieren die während des Polymerisationsschrittes deponierten Polymere bzw. auch die Masken¬ materialien, z.B. Photolack, ihre Beständigkeit gegenüber den Ätzspezien. Daher ist es notwendig, für eine hinreichende Kühlung der Siliciumsubstrate zu sorgen. Dies wird mit an sich bekannten Verfahren, z.B. die Kühlung der Siliciu - substratrückseite durch einen Heliumgasstrom oder das Aufkleben der Siliciumsubstrate auf gekühlte Siliciumelektroden, erreicht.
Anstelle der beschriebenen Gemische von Schwefel- hexafluorid und Argon für die Ätzschritte bzw. von Trifluormethan und Argon für die Polymerisations- schritte können genauso gut für die Ätzschritte andere gebräuchliche, Fluor liefernde Ätzgase, beispielweise Stickstofftrifluorid NF3 Tetrafluor¬ methan CF4 oder ähnliches und für die Poly- merisationsschritte Gemische auf Basis von per¬ fluorierten Aromaten mit geeigneten Randgruppen, beispielsweise perfluorierte εtyrolartige Monomere oder etherartige Fluorverbindungen eingesetzt wer¬ den.
Bei allen eingesetzten Medien kommt es lediglich darauf an, hohe Dichten von reaktiven Spezies und Ionen bei gleichzeitig geringer, aber exakt kontrollierbarer Energie zu erreichen, mit der die generierten Ionen die Substrate erreichen.
Die Ionenenergie muß mit Rücksicht auf eine hohe Maskenselektivität so klein wie möglich gehalten werden. Hohe Ionenenergien würden zudem zu stören¬ den Rückwirkungen von zerstäubten oder abgetragenen und unkontrolliert redeponiertem Material führen. Die Energie der auf das Siliciumsubstrat ein¬ wirkenden Ionen muß jedoch ausreichen, um den Strukturgrund von Depositionen freizuhalten, damit ein glatter Ätzgrund erreicht werden kann.

Claims

Patentansprüche
1. Verfahren zum anisotropen Ätzen von, vorzugsweise mit einer Ätzmaske definierten Strukturen, insbesondere lateral exakt definierten Ausnehmungen in Silicium mittels eines Plasmas , dadurch gekennzeichnet, daß der anisotrope Ätzvorgang in separaten, jeweils alternierend aufeinanderfolgenden Ätz- und Polymerisations¬ schritten getrennt durchgeführt wird.
2. Verfahren nach Anspruch 1 , dadurch gekenn¬ zeichnet, daß die Polymerisationsschritte und die Ätzschritte unabhängig voneinander gesteuert wer¬ den.
3. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Ätz¬ schritte ohne Polymerbildner im Plasma durchge¬ führt werden.
4. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß während des Polymerisationsschrittes auf die durch die Ätzmaske definierte laterale Begrenzung der Strukturen ein Polymer aufgebracht wird, das während des nachfol¬ genden Ätzschrittes teilweise wieder abgetragen wird.
5. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Ätzschrit¬ te über eine Zeitspanne, die die Ätztiefe bestimmt, durchgeführt werden.
6. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Polymeri¬ sationsschritte über eine, die Dicke der Polymer- abscheidungen bestimmende Zeitspanne durchgeführt werden.
7. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Silicium¬ substrate während der Ätzschritte mit einer Ionen¬ energie bombardiert werden.
8. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Silicium¬ substrate wahlweise während der Polymerisations- schritte mit einer Ionenenergie bombardiert werden.
9. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Ionen¬ energie während der Ätzschritte zwischen 1 und 50, vorzugsweise zwischen 5 und 30 eV, beträgt. i v _
10. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Ionen¬ energie während der Polymerisationsschritte zwi¬ schen 1 und 10, vorzugsweise 4 bis 6, insbesondere 5 eV beträgt.
11. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß für die Ätz¬ schritte Fluor liefernde Ätzgase eingesetzt werden.
12. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß für die Ätz¬ schritte ein Gemisch von. Schwefelhexafluorid SF6 und Argon Ar eingesetzt wird.
13. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß für die Polymerisationsschritte Fluorkohlenwasserstoffe mit vorzugsweise niedrigem Fluor-zu-Kohlenstoff- Verhältnis eingesetzt werden.
14. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß für die Poly- merisationsschritte ein Gemisch von Tri luormethan CHF3 und Argon Ar eingesetzt wird.
15. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die für die Ätzschritte und Poly erisationsschritte eingesetz¬ ten Medien vorzugsweise Gasflüsse von 0 bis 100 sccm und vorzugsweise Prozeßdrücke von 10 bis 100 μbar aufweisen. 1 S
16. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Plasma¬ erzeugung vorzugsweise mit Mikrowelleneinstrahlung bei Leistungen zwischen 100 und 1500, vorzugsweise 300 bis 1200 W erfolgt.
17. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Silicium¬ substrate während der Ätzschritte und/oder Poly- merisationsschritte gekühlt werden.
18. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Silicium¬ substrate rückseitig mit einem Heliumgasstrom be¬ aufschlagt werden.
19. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Silicium¬ substrate über ein Wärmekontaktmaterial auf eine gekühlte Substratelektrode aufgebracht werden.
20. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Ätzschrit¬ te und Poly erisationsschritte mit einer hohen Plasmadichte an reaktiven Spezies und Ionen durch¬ geführt werden.
21. Verfahren nach einem der vorhergehenden An¬ sprüche, dadurch gekennzeichnet, daß die Plasma¬ dichte und die Ionenenergie unabhängig voneinander geregelt werden.
PCT/DE1993/001129 1992-12-05 1993-11-27 Verfahren zum anisotropen ätzen von silicium WO1994014187A1 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP6513639A JPH07503815A (ja) 1992-12-05 1993-11-27 ケイ素の異方性エッチング法
US08/284,490 US5501893A (en) 1992-12-05 1993-11-27 Method of anisotropically etching silicon
EP94900729A EP0625285B1 (de) 1992-12-05 1993-11-27 Verfahren zum anisotropen ätzen von silicium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DEP4241045.2 1992-12-05
DE4241045A DE4241045C1 (de) 1992-12-05 1992-12-05 Verfahren zum anisotropen Ätzen von Silicium

Publications (1)

Publication Number Publication Date
WO1994014187A1 true WO1994014187A1 (de) 1994-06-23

Family

ID=6474524

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/DE1993/001129 WO1994014187A1 (de) 1992-12-05 1993-11-27 Verfahren zum anisotropen ätzen von silicium

Country Status (5)

Country Link
US (1) US5501893A (de)
EP (1) EP0625285B1 (de)
JP (2) JPH07503815A (de)
DE (1) DE4241045C1 (de)
WO (1) WO1994014187A1 (de)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0729175A1 (de) * 1995-02-24 1996-08-28 International Business Machines Corporation Verfahren zur Erzeugung von tiefen und vertikalen Strukturen in Silizium-Substraten
EP0822584A2 (de) * 1996-08-01 1998-02-04 Surface Technology Systems Limited Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
EP0822582A2 (de) * 1996-08-01 1998-02-04 Surface Technology Systems Limited Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
WO1999010922A1 (de) * 1997-08-21 1999-03-04 Robert Bosch Gmbh Verfahren zum anisotropen ätzen von silizium
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1522883A1 (de) * 2003-10-10 2005-04-13 Japan Aviation Electronics Industry, Limited Bewegliche Kleinvorrichtung
US7141504B1 (en) 1998-07-23 2006-11-28 Surface Technology Systems Plc Method and apparatus for anisotropic etching
US7288785B2 (en) 2002-04-17 2007-10-30 Sophion Bioscience A/S Substrate and method for measuring the electro-physiological properties of cell membranes
US8524112B2 (en) 2007-12-21 2013-09-03 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
DE102012216978A1 (de) 2012-09-21 2014-03-27 Robert Bosch Gmbh Verfahren zum Herstellen eines Detektionselements für eine Vorrichtung zur Detektion von Substanzen mittels Spektroskopie, insbesondere Raman-Spektroskopie, ein solches Detektionselement und eine solche Vorrichtung
US9530666B2 (en) 2012-09-18 2016-12-27 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
EP3764169A1 (de) 2019-07-10 2021-01-13 Patek Philippe SA Genève Verfahren zum abschnittsweisen satinieren einer uhrenkomponente aus silizium
US11110549B2 (en) 2017-12-26 2021-09-07 Disco Corporation Recess or through-hole forming method and electrode forming method

Families Citing this family (634)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3367113B2 (ja) 1992-04-27 2003-01-14 株式会社デンソー 加速度センサ
US5461916A (en) * 1992-08-21 1995-10-31 Nippondenso Co., Ltd. Mechanical force sensing semiconductor device
US5734105A (en) 1992-10-13 1998-03-31 Nippondenso Co., Ltd. Dynamic quantity sensor
DE4241453C2 (de) * 1992-12-09 1995-04-20 Daimler Benz Ag Verfahren zum Plasmaätzen von Gräben in Silizium
DE4442033C2 (de) * 1994-11-25 1997-12-18 Bosch Gmbh Robert Drehratensensor
DE4442023C2 (de) * 1994-11-25 1997-02-06 Bosch Gmbh Robert Siliziumkörper mit einem Durchbruch mit frei definierbarer Austrittsöffnung und Verfahren zu seiner Herstellung
DE19503623B4 (de) * 1995-02-03 2008-01-10 Robert Bosch Gmbh Drehratensensor
US5932940A (en) * 1996-07-16 1999-08-03 Massachusetts Institute Of Technology Microturbomachinery
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
EP2221852B1 (de) 1998-01-15 2012-05-09 Cornell Research Foundation, Inc. Grabenisolation für mikromechanische Bauelemente
JP4475548B2 (ja) * 1998-03-20 2010-06-09 サーフィス テクノロジー システムズ ピーエルシー ミクロメカニカルデバイスを製造する方法と装置
US6391005B1 (en) 1998-03-30 2002-05-21 Agilent Technologies, Inc. Apparatus and method for penetration with shaft having a sensor for sensing penetration depth
US6133615A (en) * 1998-04-13 2000-10-17 Wisconsin Alumni Research Foundation Photodiode arrays having minimized cross-talk between diodes
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6328482B1 (en) 1998-06-08 2001-12-11 Benjamin Bin Jian Multilayer optical fiber coupler
US6981804B2 (en) 1998-06-08 2006-01-03 Arrayed Fiberoptics Corporation Vertically integrated optical devices coupled to optical fibers
DE19826382C2 (de) * 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6105427A (en) * 1998-07-31 2000-08-22 Litton Systems, Inc. Micro-mechanical semiconductor accelerometer
DE19841964B4 (de) * 1998-09-14 2004-08-05 Robert Bosch Gmbh Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
CN100435900C (zh) 1998-09-17 2008-11-26 阿德文生物科学公司 液相色谱系统,化学分离装置及质谱分析装置和方法
DE19843984B4 (de) * 1998-09-25 2013-10-24 Robert Bosch Gmbh Verfahren zur Herstellung von Strahlungssensoren
DE19847455A1 (de) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen
US6399516B1 (en) 1998-10-30 2002-06-04 Massachusetts Institute Of Technology Plasma etch techniques for fabricating silicon structures from a substrate
GB9827065D0 (en) * 1998-12-10 1999-02-03 Orbis Technologies Ltd A plasma etching control device
ATE458261T1 (de) * 1998-12-11 2010-03-15 Surface Technology Systems Plc Plasmabehandlungsgerät
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
DE19904307C2 (de) * 1999-01-28 2001-09-20 Bosch Gmbh Robert Verfahren zur Herstellung von dreidimensionalen Strukturen mittels eines Ätzprozesses
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法
US6633031B1 (en) * 1999-03-02 2003-10-14 Advion Biosciences, Inc. Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
JP4865948B2 (ja) * 1999-04-14 2012-02-01 サーフィス テクノロジー システムズ ピーエルシー プラズマを安定させる方法と装置
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
DE19919469A1 (de) 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6096656A (en) * 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
DE19930188A1 (de) * 1999-06-30 2001-01-04 Infineon Technologies Ag Verfahren zur Herstellung von Gräben für Speicherkondensatoren von DRAM-Halbleiterspeichern
DE19962763C2 (de) 1999-07-01 2001-07-26 Fraunhofer Ges Forschung Verfahren zum Vereinzeln eines Wafers
US6617098B1 (en) 1999-07-13 2003-09-09 Input/Output, Inc. Merged-mask micro-machining process
DE10051831A1 (de) * 1999-07-20 2002-05-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE19933841A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE19933842A1 (de) 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
GB9917305D0 (en) * 1999-07-23 1999-09-22 Surface Tech Sys Ltd Method and apparatus for anisotropic etching
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6171378B1 (en) 1999-08-05 2001-01-09 Sandia Corporation Chemical preconcentrator
US6458615B1 (en) 1999-09-30 2002-10-01 Carnegie Mellon University Method of fabricating micromachined structures and devices formed therefrom
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP4294816B2 (ja) * 1999-11-11 2009-07-15 スピードファム株式会社 シリコンウエハの表面処理方法,無臭シリコンウエハ製造方法,シリコンウエハの酸化膜形成方法,酸化シリコンウエハ製造方法,酸素活性種雰囲気形成装置,及び平坦化処理システム
ATE538490T1 (de) 1999-12-30 2012-01-15 Advion Biosystems Inc Mehrfach-elektrospray-einrichtung, systeme und verfahren
US6596988B2 (en) * 2000-01-18 2003-07-22 Advion Biosciences, Inc. Separation media, multiple electrospray nozzle system and method
WO2001053194A1 (en) 2000-01-19 2001-07-26 Mitsubishi Denki Kabushiki Kaisha Microdevice and its production method
US20020071169A1 (en) 2000-02-01 2002-06-13 Bowers John Edward Micro-electro-mechanical-system (MEMS) mirror device
US6753638B2 (en) * 2000-02-03 2004-06-22 Calient Networks, Inc. Electrostatic actuator for micromechanical systems
US6392144B1 (en) 2000-03-01 2002-05-21 Sandia Corporation Micromechanical die attachment surcharge
US6375627B1 (en) 2000-03-02 2002-04-23 Agilent Technologies, Inc. Physiological fluid extraction with rapid analysis
WO2001071336A1 (en) * 2000-03-20 2001-09-27 The Charles Stark Draper Laboratory, Inc. Flexural plate wave sensor and array
US6733681B1 (en) 2000-03-31 2004-05-11 Seagate Technology Llc Laterally supported handle wafer for through-wafer reactive-ion etch micromachining
WO2001077001A2 (en) 2000-04-11 2001-10-18 Sandia Corporation Microelectromechanical apparatus for elevating and tilting a platform
US6639713B2 (en) 2000-04-25 2003-10-28 Umachines, Inc. Silicon micromachined optical device
US6709886B2 (en) 2000-04-25 2004-03-23 Umachines, Inc. Method of fabricating micromachined devices
US6628041B2 (en) 2000-05-16 2003-09-30 Calient Networks, Inc. Micro-electro-mechanical-system (MEMS) mirror device having large angle out of plane motion using shaped combed finger actuators and method for fabricating the same
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6432577B1 (en) 2000-06-29 2002-08-13 Sandia Corporation Apparatus and method for fabricating a microbattery
US6521513B1 (en) 2000-07-05 2003-02-18 Eastman Kodak Company Silicon wafer configuration and method for forming same
US6841339B2 (en) * 2000-08-09 2005-01-11 Sandia National Laboratories Silicon micro-mold and method for fabrication
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6593244B1 (en) 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6825967B1 (en) 2000-09-29 2004-11-30 Calient Networks, Inc. Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same
WO2002031600A1 (en) * 2000-10-10 2002-04-18 Mems Optical, Inc. Deep grayscale etching of silicon
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
DE10053780A1 (de) * 2000-10-30 2002-05-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Siliziumoxid-Schicht
US6537437B1 (en) 2000-11-13 2003-03-25 Sandia Corporation Surface-micromachined microfluidic devices
US8641644B2 (en) 2000-11-21 2014-02-04 Sanofi-Aventis Deutschland Gmbh Blood testing apparatus having a rotatable cartridge with multiple lancing elements and testing means
US6416169B1 (en) 2000-11-24 2002-07-09 Xerox Corporation Micromachined fluid ejector systems and methods having improved response characteristics
US6409311B1 (en) 2000-11-24 2002-06-25 Xerox Corporation Bi-directional fluid ejection systems and methods
US6419335B1 (en) 2000-11-24 2002-07-16 Xerox Corporation Electronic drive systems and methods
US6350015B1 (en) 2000-11-24 2002-02-26 Xerox Corporation Magnetic drive systems and methods for a micromachined fluid ejector
US6472332B1 (en) 2000-11-28 2002-10-29 Xerox Corporation Surface micromachined structure fabrication methods for a fluid ejection device
US6367915B1 (en) 2000-11-28 2002-04-09 Xerox Corporation Micromachined fluid ejector systems and methods
ES2250504T3 (es) * 2000-11-29 2006-04-16 Allergan Inc. Prevencion del rechazo de injerto en el ojo.
US6767614B1 (en) * 2000-12-19 2004-07-27 Wolfgang M. J. Hofmann Multiple-level actuators and clamping devices
DE10064448A1 (de) * 2000-12-22 2002-07-04 Osram Opto Semiconductors Gmbh Verfahren zum Aufrauhen eines Halbleiterchips für die Optoelektronik
DE10065013B4 (de) * 2000-12-23 2009-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines mikromechanischen Bauelements
US6946314B2 (en) 2001-01-02 2005-09-20 The Charles Stark Draper Laboratory, Inc. Method for microfabricating structures using silicon-on-insulator material
US7381630B2 (en) * 2001-01-02 2008-06-03 The Charles Stark Draper Laboratory, Inc. Method for integrating MEMS device and interposer
GB0101985D0 (en) * 2001-01-25 2001-03-14 Marconi Comm Ltd Optical component
US6645757B1 (en) 2001-02-08 2003-11-11 Sandia Corporation Apparatus and method for transforming living cells
US6406130B1 (en) 2001-02-20 2002-06-18 Xerox Corporation Fluid ejection systems and methods with secondary dielectric fluid
US6712983B2 (en) * 2001-04-12 2004-03-30 Memsic, Inc. Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same
US6592835B1 (en) 2001-04-16 2003-07-15 Sandia Corporation Silica substrate or portion formed from oxidation of monocrystalline silicon
US7167499B2 (en) * 2001-04-18 2007-01-23 Tcz Pte. Ltd. Very high energy, high stability gas discharge laser surface treatment system
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US9795747B2 (en) 2010-06-02 2017-10-24 Sanofi-Aventis Deutschland Gmbh Methods and apparatus for lancet actuation
ES2336081T3 (es) 2001-06-12 2010-04-08 Pelikan Technologies Inc. Dispositivo de puncion de auto-optimizacion con medios de adaptacion a variaciones temporales en las propiedades cutaneas.
US7025774B2 (en) 2001-06-12 2006-04-11 Pelikan Technologies, Inc. Tissue penetration device
US9226699B2 (en) 2002-04-19 2016-01-05 Sanofi-Aventis Deutschland Gmbh Body fluid sampling module with a continuous compression tissue interface surface
US9427532B2 (en) 2001-06-12 2016-08-30 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
DE60238119D1 (de) 2001-06-12 2010-12-09 Pelikan Technologies Inc Elektrisches betätigungselement für eine lanzette
US8337419B2 (en) 2002-04-19 2012-12-25 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
WO2002100254A2 (en) 2001-06-12 2002-12-19 Pelikan Technologies, Inc. Method and apparatus for lancet launching device integrated onto a blood-sampling cartridge
US7981056B2 (en) 2002-04-19 2011-07-19 Pelikan Technologies, Inc. Methods and apparatus for lancet actuation
EP1396727A4 (de) 2001-06-13 2009-06-17 Mitsubishi Electric Corp Siliziumbauelement
US6791258B2 (en) * 2001-06-21 2004-09-14 3M Innovative Properties Company Organic light emitting full color display panel
US7831151B2 (en) * 2001-06-29 2010-11-09 John Trezza Redundant optical device array
DE10136022B4 (de) * 2001-07-24 2006-01-12 Robert Bosch Gmbh Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
US6805432B1 (en) 2001-07-31 2004-10-19 Hewlett-Packard Development Company, L.P. Fluid ejecting device with fluid feed slot
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6544863B1 (en) 2001-08-21 2003-04-08 Calient Networks, Inc. Method of fabricating semiconductor wafers having multiple height subsurface layers
WO2003023479A1 (en) * 2001-09-07 2003-03-20 Board Of Regents, The University Of Texas System Multimodal miniature microscope
DE10144343A1 (de) * 2001-09-10 2003-03-27 Perkinelmer Optoelectronics Sensor zum berührugslosen Messen einer Temperatur
US6817255B2 (en) 2001-09-12 2004-11-16 The Board Of Trustees Of The University Of Illinois Apparatus and method for testing of microscale to nanoscale thin films
DE10309711A1 (de) 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
WO2003025991A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Fabrication of a microchip-based electrospray device
WO2003025982A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Uniform patterning for deep reactive ion etching
US6902701B1 (en) 2001-10-09 2005-06-07 Sandia Corporation Apparatus for sensing volatile organic chemicals in fluids
DE10152254A1 (de) 2001-10-20 2003-04-30 Bosch Gmbh Robert Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
US7060522B2 (en) * 2001-11-07 2006-06-13 Xerox Corporation Membrane structures for micro-devices, micro-devices including same and methods for making same
DE10156407A1 (de) 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US6599436B1 (en) 2001-12-06 2003-07-29 Sandia Corporation Formation of interconnections to microfluidic devices
US7357486B2 (en) * 2001-12-20 2008-04-15 Hewlett-Packard Development Company, L.P. Method of laser machining a fluid slot
US6818564B1 (en) * 2001-12-20 2004-11-16 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US6527835B1 (en) * 2001-12-21 2003-03-04 Sandia Corporation Chemical preconcentrator with integral thermal flow sensor
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
GB2388468B (en) * 2002-02-08 2005-05-04 Microsaic Systems Ltd Microengineered electrical connectors
US20030155328A1 (en) * 2002-02-15 2003-08-21 Huth Mark C. Laser micromachining and methods and systems of same
US7254885B2 (en) * 2002-02-27 2007-08-14 Seagate Technology, Llc Wafer-level fabrication method for top or side slider bond pads
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
DE10214620B4 (de) 2002-04-03 2010-02-04 Robert Bosch Gmbh Verfahren zur plasmalosen Gasphasenätzung eines Siliziumwafers und Vorrichtung zu deren Durchführung
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US7909778B2 (en) 2002-04-19 2011-03-22 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8702624B2 (en) 2006-09-29 2014-04-22 Sanofi-Aventis Deutschland Gmbh Analyte measurement device with a single shot actuator
US8221334B2 (en) 2002-04-19 2012-07-17 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US8372016B2 (en) 2002-04-19 2013-02-12 Sanofi-Aventis Deutschland Gmbh Method and apparatus for body fluid sampling and analyte sensing
US7229458B2 (en) 2002-04-19 2007-06-12 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7708701B2 (en) 2002-04-19 2010-05-04 Pelikan Technologies, Inc. Method and apparatus for a multi-use body fluid sampling device
US7175642B2 (en) 2002-04-19 2007-02-13 Pelikan Technologies, Inc. Methods and apparatus for lancet actuation
US7901362B2 (en) 2002-04-19 2011-03-08 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8360992B2 (en) 2002-04-19 2013-01-29 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US7232451B2 (en) 2002-04-19 2007-06-19 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US9248267B2 (en) 2002-04-19 2016-02-02 Sanofi-Aventis Deustchland Gmbh Tissue penetration device
US9314194B2 (en) 2002-04-19 2016-04-19 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US7547287B2 (en) 2002-04-19 2009-06-16 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7674232B2 (en) 2002-04-19 2010-03-09 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8579831B2 (en) 2002-04-19 2013-11-12 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US7297122B2 (en) 2002-04-19 2007-11-20 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7491178B2 (en) 2002-04-19 2009-02-17 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8267870B2 (en) 2002-04-19 2012-09-18 Sanofi-Aventis Deutschland Gmbh Method and apparatus for body fluid sampling with hybrid actuation
US7892183B2 (en) 2002-04-19 2011-02-22 Pelikan Technologies, Inc. Method and apparatus for body fluid sampling and analyte sensing
US8784335B2 (en) 2002-04-19 2014-07-22 Sanofi-Aventis Deutschland Gmbh Body fluid sampling device with a capacitive sensor
US7331931B2 (en) 2002-04-19 2008-02-19 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7976476B2 (en) 2002-04-19 2011-07-12 Pelikan Technologies, Inc. Device and method for variable speed lancet
US9795334B2 (en) 2002-04-19 2017-10-24 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US6981759B2 (en) * 2002-04-30 2006-01-03 Hewlett-Packard Development Company, Lp. Substrate and method forming substrate for fluid ejection device
US6554403B1 (en) * 2002-04-30 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate for fluid ejection device
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6667215B2 (en) * 2002-05-02 2003-12-23 3M Innovative Properties Method of making transistors
US7728339B1 (en) 2002-05-03 2010-06-01 Calient Networks, Inc. Boundary isolation for microelectromechanical devices
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US6667823B2 (en) 2002-05-22 2003-12-23 Lucent Technologies Inc. Monolithic in-plane shutter switch
JP2003344445A (ja) * 2002-05-24 2003-12-03 Mitsubishi Electric Corp 慣性力センサ
EP1520320B1 (de) 2002-07-02 2012-09-26 Robert Bosch Gmbh Elektrisches bauelement, insbesondere mikroelektrisches oder mikroelektromechanisches hochfrequenzbauelement
US7052117B2 (en) * 2002-07-03 2006-05-30 Dimatix, Inc. Printhead having a thin pre-fired piezoelectric layer
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
DE10234589A1 (de) 2002-07-30 2004-02-12 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
DE10235371A1 (de) 2002-08-02 2004-02-12 Robert Bosch Gmbh Verfahren zur Herstellung einer mikromechanischen Vorrichtung, insbesondere einer mikromechanischen Schwingspiegelvorrichtung
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
WO2004013603A2 (en) * 2002-08-05 2004-02-12 California Institute Of Technology A method of sample preparation for atom probes and source of specimens
DE10236150A1 (de) * 2002-08-05 2004-02-26 Universität Kassel Verfahren zur Herstellung wenigstens einer kleinen Öffnung in einer Schicht auf einem Substrat und damit hergestellte Bauelemente
DE10237249B4 (de) * 2002-08-14 2014-12-18 Excelitas Technologies Singapore Pte Ltd Verfahren zum selektiven Abtragen von Material aus der Oberfläche eines Substrats
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
DE10237787A1 (de) 2002-08-17 2004-03-04 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
WO2004023644A1 (ja) * 2002-09-04 2004-03-18 Mitsubishi Denki Kabushiki Kaisha シリコン基板装置とその製造方法
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
DE10241450A1 (de) 2002-09-06 2004-03-18 Robert Bosch Gmbh Verfahren zur Herstellung eines Bauteils mit einem Sensorelement, insbesondere eines Verformungssensors
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US6859300B2 (en) * 2002-09-30 2005-02-22 Lucent Technologies Inc. Monolithic two-axis MEMS device for optical switches
US6924581B2 (en) * 2002-09-30 2005-08-02 Lucent Technologies Inc. Split spring providing multiple electrical leads for MEMS devices
US6886924B2 (en) * 2002-09-30 2005-05-03 Spectra, Inc. Droplet ejection device
US6850354B2 (en) 2002-09-30 2005-02-01 Lucent Technologies Inc. Monolithic MEMS device for optical switches
US6902867B2 (en) * 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
GB2396053B (en) * 2002-10-23 2006-03-29 Bosch Gmbh Robert Device and process for anisotropic plasma etching of a substrate,in particular a silicon body
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US6869818B2 (en) * 2002-11-18 2005-03-22 Redwood Microsystems, Inc. Method for producing and testing a corrosion-resistant channel in a silicon device
DE10259176A1 (de) * 2002-12-18 2004-02-05 Robert Bosch Gmbh Strukturkörper mit einem porösen Bereich und Verfahren zu dessen Herstellung
US7531842B2 (en) * 2002-12-20 2009-05-12 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US8574895B2 (en) 2002-12-30 2013-11-05 Sanofi-Aventis Deutschland Gmbh Method and apparatus using optical techniques to measure analyte levels
WO2005055303A1 (ja) * 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
DE10301873B4 (de) * 2003-01-17 2006-04-20 Fachhochschule Jena Kapillare Verdampferstruktur und Kühlsystem
US7042060B2 (en) * 2003-01-31 2006-05-09 Intevac, Inc. Backside thinning of image array devices
US7005637B2 (en) * 2003-01-31 2006-02-28 Intevac, Inc. Backside thinning of image array devices
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US20040231420A1 (en) * 2003-02-24 2004-11-25 Huikai Xie Integrated monolithic tri-axial micromachined accelerometer
US7026184B2 (en) * 2003-02-26 2006-04-11 Carnegie Mellon University Method of fabricating microstructures and devices made therefrom
US8323955B1 (en) 2003-03-05 2012-12-04 Sandia Corporation Micromachined patch-clamp apparatus
US6993219B2 (en) * 2003-03-13 2006-01-31 Lucent Technologies Inc. Waveguide/MEMS switch
US6876484B2 (en) * 2003-03-24 2005-04-05 Lucent Technologies Inc. Deformable segmented MEMS mirror
JP4065213B2 (ja) 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
JP3972846B2 (ja) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
US7081650B2 (en) * 2003-03-31 2006-07-25 Intel Corporation Interposer with signal and power supply through vias
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
DE10318568A1 (de) * 2003-04-15 2004-11-25 Technische Universität Dresden Siliziumsubstrat mit positiven Ätzprofilen mit definiertem Böschungswinkel und Verfahren zur Herstellung
US7127301B1 (en) 2003-04-28 2006-10-24 Sandia Corporation Flexible retinal electrode array
DE10320357B4 (de) * 2003-05-07 2010-05-12 Perkinelmer Optoelectronics Gmbh & Co.Kg Strahlungssensor, Wafer, Sensorarray und Sensormodul
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
WO2004102642A2 (en) * 2003-05-09 2004-11-25 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US6969822B2 (en) * 2003-05-13 2005-11-29 Hewlett-Packard Development Company, L.P. Laser micromachining systems
US7754999B2 (en) 2003-05-13 2010-07-13 Hewlett-Packard Development Company, L.P. Laser micromachining and methods of same
ATE476137T1 (de) 2003-05-30 2010-08-15 Pelikan Technologies Inc Verfahren und vorrichtung zur injektion von flüssigkeit
US6998758B2 (en) * 2003-06-05 2006-02-14 Lucent Technologies Inc. Deformable MEMS mirror with membrane actuated by application of torque
US20040245216A1 (en) * 2003-06-06 2004-12-09 Chien-Shing Pai Devices and method of their manufacture
DK1633235T3 (da) 2003-06-06 2014-08-18 Sanofi Aventis Deutschland Apparat til udtagelse af legemsvæskeprøver og detektering af analyt
US6781744B1 (en) 2003-06-11 2004-08-24 Lucent Technologies Inc. Amplification of MEMS motion
WO2006001797A1 (en) 2004-06-14 2006-01-05 Pelikan Technologies, Inc. Low pain penetrating
US6886916B1 (en) 2003-06-18 2005-05-03 Sandia Corporation Piston-driven fluid-ejection apparatus
US7192531B1 (en) 2003-06-24 2007-03-20 Lam Research Corporation In-situ plug fill
EP1642335B1 (de) 2003-07-08 2008-08-13 Infineon Technologies AG Integrierte kühl-schaltungsanordnung, betriebsverfahren und herstellungsverfahren
US6910758B2 (en) * 2003-07-15 2005-06-28 Hewlett-Packard Development Company, L.P. Substrate and method of forming substrate for fluid ejection device
DE10333995B4 (de) 2003-07-25 2018-10-25 Robert Bosch Gmbh Verfahren zum Ätzen eines Halbleitermaterials
DE10336328B4 (de) * 2003-08-07 2013-04-25 Robert Bosch Gmbh Vorrichtung zur Bearbeitung eines Siliziumssubstrats
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
US7148436B1 (en) 2003-08-14 2006-12-12 Sandia Corporation Microelectromechanical acceleration-sensing apparatus
US7051656B1 (en) 2003-08-14 2006-05-30 Sandia Corporation Microelectromechanical safing and arming apparatus
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7612443B1 (en) 2003-09-04 2009-11-03 University Of Notre Dame Du Lac Inter-chip communication
US7081623B2 (en) * 2003-09-05 2006-07-25 Lucent Technologies Inc. Wafer-based ion traps
US6872947B1 (en) * 2003-09-22 2005-03-29 Lucent Technologies Inc. MEMS-based spectrophotometric system
EP1671096A4 (de) 2003-09-29 2009-09-16 Pelikan Technologies Inc Verfahren und apparatur für eine verbesserte probeneinfangvorrichtung
KR101137643B1 (ko) 2003-10-10 2012-04-19 후지필름 디마틱스, 인크. 박막을 구비한 프린트 헤드
EP1680014A4 (de) 2003-10-14 2009-01-21 Pelikan Technologies Inc Verfahren und gerät für eine variable anwenderschnittstelle
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US6980339B2 (en) * 2003-12-19 2005-12-27 Lucent Technologies Inc. Deformable MEMS mirror
US7822454B1 (en) 2005-01-03 2010-10-26 Pelikan Technologies, Inc. Fluid sampling device with improved analyte detecting member configuration
EP1706026B1 (de) 2003-12-31 2017-03-01 Sanofi-Aventis Deutschland GmbH Verfahren und vorrichtung zur verbesserung der fluidströmung und der probennahme
GB2409927B (en) * 2004-01-09 2006-09-27 Microsaic Systems Ltd Micro-engineered electron multipliers
US6995895B2 (en) * 2004-02-05 2006-02-07 Lucent Technologies Inc. MEMS actuator for piston and tilt motion
US6967326B2 (en) * 2004-02-27 2005-11-22 Lucent Technologies Inc. Mass spectrometers on wafer-substrates
US7099063B2 (en) * 2004-03-09 2006-08-29 Lucent Technologies Inc. MEMS device for an adaptive optics mirror
US7281778B2 (en) 2004-03-15 2007-10-16 Fujifilm Dimatix, Inc. High frequency droplet ejection device and method
US8491076B2 (en) 2004-03-15 2013-07-23 Fujifilm Dimatix, Inc. Fluid droplet ejection devices and methods
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7142075B1 (en) 2004-04-01 2006-11-28 Sandia Corporation Microelectromechanical power generator and vibration sensor
US7207102B1 (en) 2004-04-01 2007-04-24 Sandia Corporation Method for forming permanent magnets with different polarities for use in microelectromechanical devices
US8828203B2 (en) 2004-05-20 2014-09-09 Sanofi-Aventis Deutschland Gmbh Printable hydrogels for biosensors
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
US9775553B2 (en) 2004-06-03 2017-10-03 Sanofi-Aventis Deutschland Gmbh Method and apparatus for a fluid sampling device
WO2005120365A1 (en) 2004-06-03 2005-12-22 Pelikan Technologies, Inc. Method and apparatus for a fluid sampling device
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US7622367B1 (en) 2004-06-04 2009-11-24 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
JP4182921B2 (ja) * 2004-06-08 2008-11-19 セイコーエプソン株式会社 ノズルプレートの製造方法
JP2008504975A (ja) * 2004-06-29 2008-02-21 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重化エッチング処理時にアスペクト比に依存するエッチングを低減する方法と装置
JP4796965B2 (ja) * 2004-07-02 2011-10-19 株式会社アルバック エッチング方法及び装置
US7038150B1 (en) 2004-07-06 2006-05-02 Sandia Corporation Micro environmental sensing device
US7004198B1 (en) 2004-07-20 2006-02-28 Sandia Corporation Micro-fluidic interconnect
DE102004036803A1 (de) 2004-07-29 2006-03-23 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Substrat
US7347532B2 (en) 2004-08-05 2008-03-25 Fujifilm Dimatix, Inc. Print head nozzle formation
US7218193B2 (en) * 2004-08-16 2007-05-15 Lucent Technologies Inc. MEMS-based inertial switch
JP4578893B2 (ja) * 2004-08-20 2010-11-10 住友精密工業株式会社 シリコン材のプラズマエッチング方法及びプラズマエッチング装置
DE102004042729B4 (de) * 2004-09-03 2018-02-01 Robert Bosch Gmbh Bio-Chip mit einem Elektrodenarray auf einem Substrat
DE102004043357B4 (de) * 2004-09-08 2015-10-22 Robert Bosch Gmbh Verfahren zur Herstellung eines mikromechanischen Sensorelements
DE102004043356A1 (de) * 2004-09-08 2006-03-09 Robert Bosch Gmbh Sensorelement mit getrenchter Kaverne
US7289009B1 (en) 2004-09-15 2007-10-30 Sandia Corporation Eddy-current-damped microelectromechanical switch
US7193492B2 (en) * 2004-09-29 2007-03-20 Lucent Technologies Inc. Monolithic MEMS device having a balanced cantilever plate
US7335576B2 (en) 2004-10-08 2008-02-26 Irvine Sensors Corp. Method for precision integrated circuit die singulation using differential etch rates
US7151883B2 (en) * 2004-10-08 2006-12-19 Hewlett-Packard Development Company, L.P. Photonic crystal device and methods
DE102004050390A1 (de) * 2004-10-15 2006-05-04 Infineon Technologies Ag Verfahren zum Vereinzeln einer Vielzahl von Chips eines Wafers und Chip-Vereinzelungs-Anordnung
US20060186874A1 (en) * 2004-12-02 2006-08-24 The Board Of Trustees Of The University Of Illinois System and method for mechanical testing of freestanding microscale to nanoscale thin films
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060178519A1 (en) * 2004-12-23 2006-08-10 Venkataraman Sundaram Process for preparing tegaserod
US8652831B2 (en) 2004-12-30 2014-02-18 Sanofi-Aventis Deutschland Gmbh Method and apparatus for analyte measurement test time
WO2006074016A2 (en) 2004-12-30 2006-07-13 Fujifilm Dimatix, Inc. Ink jet printing
FR2880469B1 (fr) 2005-01-03 2007-04-27 Cit Alcatel Dispositif de fabrication d'un masque par gravure par plasma d'un substrat semiconducteur
US7324323B2 (en) * 2005-01-13 2008-01-29 Lucent Technologies Inc. Photo-sensitive MEMS structure
US20060158484A1 (en) * 2005-01-14 2006-07-20 Greywall Dennis S Thermal actuator for a MEMS device
US7540469B1 (en) 2005-01-25 2009-06-02 Sandia Corporation Microelectromechanical flow control apparatus
DE102005004365A1 (de) * 2005-01-31 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung
US7180078B2 (en) * 2005-02-01 2007-02-20 Lucent Technologies Inc. Integrated planar ion traps
DE102005004878B4 (de) 2005-02-03 2015-01-08 Robert Bosch Gmbh Mikromechanischer kapazitiver Drucksensor und entsprechendes Herstellungsverfahren
US7538032B2 (en) * 2005-06-23 2009-05-26 Teledyne Scientific & Imaging, Llc Low temperature method for fabricating high-aspect ratio vias and devices fabricated by said method
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7355317B2 (en) 2005-03-31 2008-04-08 Lucent Technologies Inc. Rocker-arm actuator for a segmented mirror
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
JP2006318702A (ja) * 2005-05-11 2006-11-24 Mitsubishi Electric Corp 電子放出源の製造方法
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7368305B2 (en) * 2005-06-10 2008-05-06 Wisconsin Alumni Research Foundation High aspect ratio micromechanical probe tips and methods of fabrication
CN100435272C (zh) * 2005-07-01 2008-11-19 北京大学 在感应耦合等离子体刻蚀中保护刻蚀结构的方法
JP4512533B2 (ja) 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
US8486198B2 (en) * 2005-08-04 2013-07-16 Aviza Technology Limited Method of processing substrates
GB0516054D0 (en) * 2005-08-04 2005-09-14 Trikon Technologies Ltd A method of processing substrates
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
EP1763075A1 (de) * 2005-09-13 2007-03-14 Irvine Sensors Corporation Methode für die präzise Vereinzelung von integrierten Schaltungen unter Verwendung von differentiellen Ätzraten
US7403322B2 (en) * 2005-09-13 2008-07-22 Lucent Technologies Inc. MEMS-based alignment of optical components
US7439093B2 (en) * 2005-09-16 2008-10-21 Dalsa Semiconductor Inc. Method of making a MEMS device containing a cavity with isotropic etch followed by anisotropic etch
US8084116B2 (en) 2005-09-30 2011-12-27 Alcatel Lucent Surfaces physically transformable by environmental changes
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US20070079866A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. System and method for making an improved thin film solar cell interconnect
US7253616B2 (en) * 2005-10-13 2007-08-07 Lucent Technologies Inc. Microelectromechanical magnetometer
WO2007070004A2 (en) 2005-12-14 2007-06-21 Silex Microsystems Ab Methods for making micro needles and applications thereof
DE102005059905A1 (de) 2005-12-15 2007-06-28 Robert Bosch Gmbh Mikromechanisches Bauelement und Herstellungsverfahren
DE102006001321B3 (de) * 2006-01-09 2007-07-26 Protron Mikrotechnik Gmbh Mikromechanischer Hochfrequenz-Schalter für koplanare Wellenleiter
DE102006002106B4 (de) * 2006-01-17 2016-03-03 Robert Bosch Gmbh Mikromechanischer Sensor mit perforationsoptimierter Membran sowie ein geeignetes Hestellungsverfahren
WO2007087900A1 (en) * 2006-02-02 2007-08-09 The European Community, Represented By The European Commission Process for controlling surface wettability
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7998431B2 (en) * 2006-04-10 2011-08-16 Alcatel Lucent Environmentally sensitive nanostructured surfaces
US8004021B2 (en) * 2006-04-21 2011-08-23 Bioscale, Inc. Microfabricated devices and method for fabricating microfabricated devices
DE102006028781A1 (de) 2006-06-23 2007-12-27 Robert Bosch Gmbh Verfahren zur Herstellung von porösen Mikronadeln und ihre Verwendung
US7989915B2 (en) * 2006-07-11 2011-08-02 Teledyne Licensing, Llc Vertical electrical device
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
US7764004B2 (en) 2006-08-15 2010-07-27 Alcatel-Lucent Usa Inc. Large area induced assembly of nanostructures
SG140538A1 (en) * 2006-08-22 2008-03-28 Lam Res Corp Method for plasma etching performance enhancement
US7485870B2 (en) * 2006-09-12 2009-02-03 Alcatel-Lucent Usa Inc. Pneumatic infrared detector
US7884530B2 (en) * 2006-09-14 2011-02-08 Alcatel-Lucent Usa Inc. Reversible actuation in arrays of nanostructures
US7932123B2 (en) 2006-09-20 2011-04-26 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
US7796267B2 (en) 2006-09-28 2010-09-14 Si-Ware Systems System, method and apparatus for a micromachined interferometer using optical splitting
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
DE102006052630A1 (de) * 2006-10-19 2008-04-24 Robert Bosch Gmbh Mikromechanisches Bauelement mit monolithisch integrierter Schaltung und Verfahren zur Herstellung eines Bauelements
EP2077577A4 (de) 2006-11-22 2010-03-24 Sumitomo Precision Prod Co Siliziumstruktur mit einer öffnung mit hohem seitenverhältnis, verfahren zur herstellung der siliziumstruktur, vorrichtung zur herstellung der siliziumstruktur, programm zur herstellung der siliziumstruktur und verfahren zum herstellen einer ätzmaske für die siliziumstruktur
US7999440B2 (en) * 2006-11-27 2011-08-16 Bioscale, Inc. Micro-fabricated devices having a suspended membrane or plate structure
US20080121042A1 (en) * 2006-11-27 2008-05-29 Bioscale, Inc. Fluid paths in etchable materials
US7861316B2 (en) * 2006-12-08 2010-12-28 Wisconsin Alumni Research Foundation Microscope probe having an ultra-tall tip
EP1932804B1 (de) 2006-12-11 2017-03-22 Mimotec S.A. Silizium-Metall-Mischteile und damit verbundene Herstellungsverfahren
US20080146034A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. Method for recess etching
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US7988247B2 (en) 2007-01-11 2011-08-02 Fujifilm Dimatix, Inc. Ejection of drops having variable drop size from an ink jet printer
JP5700750B2 (ja) 2007-01-17 2015-04-15 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 印刷ベースの組立により製作される光学システム
DE102007002832A1 (de) 2007-01-19 2008-07-24 Robert Bosch Gmbh Verfahren zur Herstellung einer Vorrichtung umfassend eine Anordnung von Mikronadeln auf einem Träger und nach diesem Verfahren herstellbare Vorrichtung
US7605054B2 (en) * 2007-04-18 2009-10-20 S.O.I.Tec Silicon On Insulator Technologies Method of forming a device wafer with recyclable support
US7980828B1 (en) 2007-04-25 2011-07-19 Sandia Corporation Microelectromechanical pump utilizing porous silicon
US7829462B2 (en) 2007-05-03 2010-11-09 Teledyne Licensing, Llc Through-wafer vias
US7758155B2 (en) 2007-05-15 2010-07-20 Eastman Kodak Company Monolithic printhead with multiple rows of inkjet orifices
JP2008286535A (ja) 2007-05-15 2008-11-27 Mitsutoyo Corp 真円度測定装置、真円度測定方法、及び真円度測定プログラム
US20080284835A1 (en) * 2007-05-15 2008-11-20 Panchawagh Hrishikesh V Integral, micromachined gutter for inkjet printhead
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US7851759B2 (en) * 2007-06-21 2010-12-14 Alcatel-Lucent Usa Inc. Infrared imaging apparatus
US7580175B2 (en) * 2007-06-21 2009-08-25 Alcatel-Lucent Usa Inc. Detector of infrared radiation having a bi-material transducer
DE102007035633B4 (de) 2007-07-28 2012-10-04 Protron Mikrotechnik Gmbh Verfahren zur Herstellung mikromechanischer Strukturen sowie mikromechanische Struktur
US20090033727A1 (en) * 2007-07-31 2009-02-05 Anagnostopoulos Constantine N Lateral flow device printhead with internal gutter
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
WO2009020129A1 (ja) 2007-08-08 2009-02-12 Ulvac, Inc. プラズマ処理方法及びプラズマ処理装置
JP5286710B2 (ja) * 2007-08-10 2013-09-11 セイコーエプソン株式会社 微細構造の形成方法及び流体噴射ヘッドの製造方法
US7782066B2 (en) * 2007-08-30 2010-08-24 Qimonda Ag Sensor, method for sensing, measuring device, method for measuring, filter component, method for adapting a transfer behavior of a filter component, actuator system and method for controlling an actuator using a sensor
US7752916B2 (en) 2007-08-31 2010-07-13 The Board Of Trustees Of The University Of Illinois Apparatus and method for material testing of microscale and nanoscale samples
DE102007047681B4 (de) 2007-10-05 2014-07-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monolithischer dielektrischer Spiegel
JP2009111164A (ja) * 2007-10-30 2009-05-21 Yamatake Corp 圧力センサ及びその製造方法
KR101178989B1 (ko) * 2007-10-30 2012-08-31 아즈빌주식회사 압력 센서 및 그 제조 방법
JP2009109347A (ja) * 2007-10-30 2009-05-21 Yamatake Corp 圧力センサ及びその製造方法
US8614395B1 (en) 2007-11-01 2013-12-24 Sandia Corporation Solar cell with back side contacts
US8329503B1 (en) 2007-11-01 2012-12-11 Sandia Corporation Photovoltaic solar concentrator
US9029681B1 (en) 2010-10-28 2015-05-12 Sandia Corporation Microsystem enabled photovoltaic modules and systems
US9287430B1 (en) 2007-11-01 2016-03-15 Sandia Corporation Photovoltaic solar concentrator
DE102007052661A1 (de) 2007-11-05 2009-05-07 Robert Bosch Gmbh Verfahren zur Herstellung von mikromechanischen Strukturen mit reliefartigem Seitenwandverlauf oder einstellbarem Neigungswinkel
JP2009141307A (ja) * 2007-11-15 2009-06-25 Fuji Electric Device Technology Co Ltd 半導体装置の製造方法
WO2009063408A1 (en) * 2007-11-16 2009-05-22 Nxp B.V. A biosensor device and a method of manufacturing the same
US7786584B2 (en) * 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
DE102008003452A1 (de) 2008-01-08 2009-07-09 Robert Bosch Gmbh Schutzsystem und Verfahren zur Vereinzelung von MEMS-Strukturen
US7870814B2 (en) * 2008-01-11 2011-01-18 Jonathan Lounsbury Gun stabilizer
ITTO20080045A1 (it) 2008-01-18 2009-07-19 St Microelectronics Srl Schiera di fotodiodi operanti in modalita' geiger reciprocamente isolati e relativo procedimento di fabbricazione
ITTO20080046A1 (it) 2008-01-18 2009-07-19 St Microelectronics Srl Schiera di fotodiodi operanti in modalita' geiger reciprocamente isolati e relativo procedimento di fabbricazione
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8552299B2 (en) 2008-03-05 2013-10-08 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
CN102446739B (zh) * 2008-03-21 2016-01-20 应用材料公司 基材蚀刻系统与制程的方法及设备
JP2009260284A (ja) * 2008-03-25 2009-11-05 Panasonic Corp 半導体素子、および半導体素子の製造方法
US20090242512A1 (en) * 2008-03-27 2009-10-01 Dalsa Semiconductor Inc. Deep reactive ion etching
US8585179B2 (en) 2008-03-28 2013-11-19 Eastman Kodak Company Fluid flow in microfluidic devices
JP5213496B2 (ja) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
WO2009126900A1 (en) 2008-04-11 2009-10-15 Pelikan Technologies, Inc. Method and apparatus for analyte detecting device
US8310128B2 (en) * 2008-05-07 2012-11-13 The Board Of Trustees Of The University Of Illinois High precision silicon-on-insulator MEMS parallel kinematic stages
US8486800B2 (en) * 2008-05-30 2013-07-16 Nxp B.V. Trench capacitor and method for producing the same
US7772123B2 (en) * 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
EP2306498A1 (de) 2008-06-17 2011-04-06 Ulvac, Inc. Verfahren zur herstellung eines mehrstufen-substrats
JP5448581B2 (ja) * 2008-06-19 2014-03-19 キヤノン株式会社 液体吐出ヘッド用基板の製造方法及び基板の加工方法
US20100001378A1 (en) * 2008-07-01 2010-01-07 Teledyne Scientific & Imaging, Llc Through-substrate vias and method of fabricating same
US8187972B2 (en) 2008-07-01 2012-05-29 Teledyne Scientific & Imaging, Llc Through-substrate vias with polymer fill and method of fabricating same
US7826065B1 (en) 2008-07-15 2010-11-02 Sandia Corporation Tuned optical cavity magnetometer
DE102008040522A1 (de) 2008-07-18 2010-01-21 Robert Bosch Gmbh Verfahren zur Herstellung einer mikromechanischen Struktur und mikromechanische Struktur
US7842923B2 (en) * 2008-07-28 2010-11-30 Alcatel-Lucent Usa Inc. Thermal actuator for an infrared sensor
DE102008040758B4 (de) 2008-07-28 2017-05-18 Robert Bosch Gmbh Mikromechanische Strukturen und Verfahren zur Herstellung von mikromechanischen Strukturen
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
DE102008037943B4 (de) 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
FR2935713B1 (fr) 2008-09-08 2010-12-10 Alchimer Procede de reparation de couches barrieres a la diffusion du cuivre sur substrat solide semi-conducteur ; kit de reparation pour la mise en oeuvre de ce procede
JP5646492B2 (ja) 2008-10-07 2014-12-24 エムシー10 インコーポレイテッドMc10,Inc. 伸縮可能な集積回路およびセンサアレイを有する装置
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8088667B2 (en) 2008-11-05 2012-01-03 Teledyne Scientific & Imaging, Llc Method of fabricating vertical capacitors in through-substrate vias
US8344503B2 (en) 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
US7935571B2 (en) * 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
CN102245944B (zh) * 2008-12-10 2015-03-25 株式会社爱发科 密封机构及处理装置
IT1392366B1 (it) * 2008-12-17 2012-02-28 St Microelectronics Rousset Fotodiodo operante in modalita' geiger con resistore di soppressione integrato e controllabile, schiera di fotodiodi e relativo procedimento di fabbricazione
US8263497B2 (en) * 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US20100297435A1 (en) * 2009-01-28 2010-11-25 Kaul Anupama B Nanotubes and related manufacturing processes
US9375169B2 (en) 2009-01-30 2016-06-28 Sanofi-Aventis Deutschland Gmbh Cam drive for managing disposable penetrating member actions with a single motor and motor and control system
EP2224469A3 (de) 2009-02-25 2015-03-25 Imec Verfahren zum Ätzen von 3-D-Strukturen in ein Halbleitersubstrat, einschließlich der Oberflächenbehandlung
DE102009002255A1 (de) 2009-04-07 2010-10-14 Robert Bosch Gmbh Verfahren zur Herstellung eines Durchkontakts in einem strukturierten Bauteil
IT1393781B1 (it) * 2009-04-23 2012-05-08 St Microelectronics Rousset Fotodiodo operante in modalita' geiger con resistore di soppressione integrato e controllabile ad effetto jfet, schiera di fotodiodi e relativo procedimento di fabbricazione
US7859350B1 (en) 2009-04-28 2010-12-28 Sandia Corporation Microfabricated ion frequency standard
US8231795B2 (en) 2009-05-01 2012-07-31 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Micromachined horn
KR101706915B1 (ko) 2009-05-12 2017-02-15 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
US8610100B2 (en) 2009-06-30 2013-12-17 Nokia Corporation Apparatus comprising nanowires
GB2471520B (en) 2009-07-03 2013-08-21 Microsaic Systems Plc An electrospray pneumatic nebuliser ionisation source
DE102009028037A1 (de) 2009-07-27 2011-02-03 Robert Bosch Gmbh Bauelement mit einer elektrischen Durchkontaktierung, Verfahren zur Herstellung eines Bauelementes und Bauelementsystem
DE102009028256B4 (de) 2009-08-05 2019-01-24 Robert Bosch Gmbh Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
CN102171380B (zh) 2009-08-12 2014-12-31 株式会社爱发科 溅射靶的制造方法
FR2949121A1 (fr) 2009-08-12 2011-02-18 Alchimer Electrolyte et procede d''electrodeposition de cuivre sur une couche barriere, et substrat semi-conducteur obtenu par un tel procede.
US8632873B2 (en) 2009-08-17 2014-01-21 Ramot At Tel-Aviv University Ltd. Aligned nanoarray and method for fabricating the same
CN101643904B (zh) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
US20110056812A1 (en) * 2009-09-08 2011-03-10 Kaul Anupama B Nano-electro-mechanical switches using three-dimensional sidewall-conductive carbon nanofibers and method for making the same
CN102031525B (zh) * 2009-09-29 2014-02-12 中微半导体设备(上海)有限公司 一种深硅通孔的刻蚀方法
US20110218756A1 (en) * 2009-10-01 2011-09-08 Mc10, Inc. Methods and apparatus for conformal sensing of force and/or acceleration at a person's head
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
DE102009045385B4 (de) 2009-10-06 2019-07-04 Robert Bosch Gmbh Verfahren zum Herstellen eines Durchkontaktes und entsprechendes mikromechanisches Bauelement
NL2005583C2 (en) 2009-10-26 2014-09-04 Mapper Lithography Ip Bv Modulation device and charged particle multi-beamlet lithography system using the same.
DE102009046461B4 (de) 2009-11-06 2018-06-21 Robert Bosch Gmbh Verfahren zur Herstellung verkappter mikroelektromechanischer Bauelemente
JP5723377B2 (ja) * 2009-11-09 2015-05-27 スリーエム イノベイティブ プロパティズ カンパニー 半導体のためのエッチングプロセス
CN102598223B (zh) * 2009-11-09 2015-03-25 3M创新有限公司 用于半导体的各向异性蚀刻的工艺
JP5203340B2 (ja) 2009-12-01 2013-06-05 東京エレクトロン株式会社 半導体装置の製造方法
TWI416624B (zh) * 2009-12-11 2013-11-21 Advanced Micro Fab Equip Inc An etching method for deep - through - hole
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
EP2513953B1 (de) 2009-12-16 2017-10-18 The Board of Trustees of the University of Illionis Elektrophysiologie unter verwendung konformer elektronischer vorrichtungen
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
WO2011073886A1 (en) 2009-12-18 2011-06-23 Koninklijke Philips Electronics N.V. Substrate for a semiconductor light emitting device
DE102010000864B4 (de) 2010-01-13 2017-11-02 Robert Bosch Gmbh Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
US8435798B2 (en) * 2010-01-13 2013-05-07 California Institute Of Technology Applications and methods of operating a three-dimensional nano-electro-mechanical resonator and related devices
DE102010001021B4 (de) 2010-01-19 2019-05-09 Robert Bosch Gmbh Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
EP2348503B1 (de) 2010-01-19 2015-03-11 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. Ultraschallsensor zum Erfassen und/ oder Abtasten von Objekten und entsprechendes Herstellungsverfahren
CN102484066B (zh) 2010-01-26 2014-11-19 株式会社爱发科 干式蚀刻法
DE102010001504B4 (de) 2010-02-02 2020-07-16 Robert Bosch Gmbh Eine Filtereinrichtung und ein Verfahren zur Herstellung einer Filtereinrichtung
DE102010001667A1 (de) 2010-02-08 2011-08-11 Robert Bosch GmbH, 70469 Herstellungsverfahren für eine poröse Mikronadelanordnung mit Rückseitenanschluss und entsprechende poröse Mikronadelanordnung
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
KR101837481B1 (ko) * 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US20110229687A1 (en) 2010-03-19 2011-09-22 Qualcomm Incorporated Through Glass Via Manufacturing Process
US8447148B1 (en) 2010-03-29 2013-05-21 Sandia Corporation Latching micro optical switch
IT1399690B1 (it) 2010-03-30 2013-04-26 St Microelectronics Srl Fotodiodo a valanga operante in modalita' geiger ad elevato rapporto segnale rumore e relativo procedimento di fabbricazione
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US8236611B1 (en) 2010-04-13 2012-08-07 Sandia Corporation Die singulation method and package formed thereby
US8461023B1 (en) 2010-04-13 2013-06-11 Sandia Corporation Die singulation method
US8965476B2 (en) 2010-04-16 2015-02-24 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US8698925B2 (en) 2010-04-21 2014-04-15 Intevac, Inc. Collimator bonding structure and method
TWI562195B (en) 2010-04-27 2016-12-11 Pilegrowth Tech S R L Dislocation and stress management by mask-less processes using substrate patterning and methods for device fabrication
WO2011154493A1 (en) 2010-06-11 2011-12-15 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US8361884B2 (en) 2010-06-22 2013-01-29 Infineon Technologies Ag Plasma dicing and semiconductor devices formed thereof
US8351053B2 (en) 2010-06-25 2013-01-08 The Board Of Trustees Of The University Of Illinois Apparatus and method for in situ testing of microscale and nanoscale samples
AT11920U3 (de) 2010-08-12 2012-03-15 Oesterreichische Akademie Der Wissenschaften Verfahren zur herstellung einer mems-vorrichtung mit hohem aspektverhältnis, sowie wandler und kondensator
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
US8430482B2 (en) 2010-09-29 2013-04-30 Lexmark International, Inc. Singulating ejection chips for micro-fluid applications
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
DE102010061795A1 (de) 2010-11-23 2012-05-24 Robert Bosch Gmbh Verfahren zum Erzeugen einer mikromechanischen Membranstruktur und MEMS-Bauelement
WO2012075033A2 (en) 2010-11-29 2012-06-07 President And Fellows Of Harvard College Environmentally responsive optical microstructured hybrid actuator assemblies and applications thereof
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US20120211805A1 (en) 2011-02-22 2012-08-23 Bernhard Winkler Cavity structures for mems devices
JP5685762B2 (ja) 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US8982440B2 (en) 2011-05-12 2015-03-17 Calient Technologies, Inc. Microelectromechanical system with balanced center of mass
US8705159B2 (en) 2011-05-12 2014-04-22 Calient Technologies, Inc. Microelectromechanical system with a center of mass balanced by a mirror substrate
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
EP2712491B1 (de) 2011-05-27 2019-12-04 Mc10, Inc. Flexible elektronische struktur
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
EP2713863B1 (de) 2011-06-03 2020-01-15 The Board of Trustees of the University of Illionis Anpassbare aktiv multiplexierte elektrodenanordnung mit hochdichter oberfläche zur elektrophysiologischen messung am gehirn
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US8975107B2 (en) 2011-06-16 2015-03-10 Infineon Techologies Ag Method of manufacturing a semiconductor device comprising a membrane over a substrate by forming a plurality of features using local oxidation regions
CN103620734B (zh) 2011-06-30 2017-02-15 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
US8450188B1 (en) 2011-08-02 2013-05-28 Micro Processing Technology, Inc. Method of removing back metal from an etched semiconductor scribe street
US8445361B1 (en) 2011-09-28 2013-05-21 Paul C. Lindsey, Jr. Method of dividing a semiconductor wafer having semiconductor and metal layers into separate devices
US9046690B2 (en) 2011-10-20 2015-06-02 Si-Ware Systems Integrated monolithic optical bench containing 3-D curved optical elements and methods of its fabrication
WO2013062687A1 (en) 2011-10-28 2013-05-02 Intevac, Inc. Backside-thinned image sensor using a12o3 surface passivation
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
DE102011086689B4 (de) * 2011-11-21 2017-02-16 Osram Oled Gmbh Verfahren zum Herstellen eines opto-elektronischen Bauelements
CN104472023B (zh) 2011-12-01 2018-03-27 伊利诺伊大学评议会 经设计以经历可编程转变的瞬态器件
DE102012200236B3 (de) * 2012-01-10 2013-02-21 Robert Bosch Gmbh Verfahren zur Strukturierung von Siliziumcarbid und SiC-Graben-MOSFET
US9058954B2 (en) 2012-02-20 2015-06-16 Georgia Tech Research Corporation Carbon nanotube field emission devices and methods of making same
GB2499816A (en) 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
KR20150004819A (ko) 2012-03-30 2015-01-13 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 표면에 상응하는 부속체 장착가능한 전자 장치
DE102012206531B4 (de) 2012-04-17 2015-09-10 Infineon Technologies Ag Verfahren zur Erzeugung einer Kavität innerhalb eines Halbleitersubstrats
US8748307B2 (en) 2012-08-31 2014-06-10 Infineon Technologies Ag Use of a protection layer to protect a passivation while etching a wafer
US9553021B2 (en) 2012-09-03 2017-01-24 Infineon Technologies Ag Method for processing a wafer and method for dicing a wafer
US8951915B2 (en) 2012-09-11 2015-02-10 Infineon Technologies Ag Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements
US8981533B2 (en) 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
WO2014093555A1 (en) 2012-12-11 2014-06-19 Massachusetts Institute Of Technology Reducing leakage current in semiconductor devices
DE102012024339B3 (de) 2012-12-13 2013-08-08 Otto-Von-Guericke-Universität Magdeburg Prüfvorrichtung zur Federnormalkraftmessung
CN103072939B (zh) * 2013-01-10 2016-08-03 北京金盛微纳科技有限公司 一种控温深硅刻蚀方法
US9153493B1 (en) 2013-01-16 2015-10-06 Micro Processing Technology, Inc. System for separating devices from a semiconductor wafer
US9620473B1 (en) 2013-01-18 2017-04-11 University Of Notre Dame Du Lac Quilt packaging system with interdigitated interconnecting nodules for inter-chip alignment
US9524900B2 (en) * 2013-03-07 2016-12-20 California Institute Of Technology Silicon-on-insulator microchannels for biological sensors
CN103117203B (zh) * 2013-03-08 2016-08-10 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
US8906803B2 (en) 2013-03-15 2014-12-09 Sandia Corporation Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate
US9391557B2 (en) 2013-03-15 2016-07-12 Sandia Corporation Solar tracking system
GB201309583D0 (en) 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
KR20160019944A (ko) 2013-06-13 2016-02-22 마이크로데믹스 인코퍼레이티드 금속 마이크로니들
FR3008544B1 (fr) 2013-07-09 2015-08-07 Commissariat Energie Atomique Procede de gravure pour la formation d'un support a flancs rentrants destine notamment au confinement de goutte pour auto-assemblage capillaire
JP6173086B2 (ja) * 2013-07-19 2017-08-02 キヤノン株式会社 シリコン基板のエッチング方法
ES2943498T3 (es) 2013-08-05 2023-06-13 Twist Bioscience Corp Genotecas sintetizadas de novo
US9488777B2 (en) 2013-09-11 2016-11-08 Oracle International Corporation Back-side etching and cleaving of substrates
US8906745B1 (en) 2013-09-12 2014-12-09 Micro Processing Technology, Inc. Method using fluid pressure to remove back metal from semiconductor wafer scribe streets
US9136136B2 (en) 2013-09-19 2015-09-15 Infineon Technologies Dresden Gmbh Method and structure for creating cavities with extreme aspect ratios
DE102013223490B4 (de) * 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
CN105374675B (zh) * 2013-12-03 2018-02-09 中微半导体设备(上海)有限公司 半导体结构的形成方法
US9018079B1 (en) * 2014-01-29 2015-04-28 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean
US9347846B2 (en) 2014-03-25 2016-05-24 Kionix, Inc. Capacitance-based pressure sensor including pressure vessel(s)
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
US10663630B2 (en) 2014-06-30 2020-05-26 3M Innovative Properties Company 360 degree privacy film
DE102014216195A1 (de) 2014-08-14 2016-02-18 Robert Bosch Gmbh Vorrichtung zum anisotropen Ätzen eines Substrats und Verfahren zum Betreiben einer Vorrichtung zum anisotropen Ätzen eines Substrats
US9541462B2 (en) 2014-08-29 2017-01-10 Kionix, Inc. Pressure sensor including deformable pressure vessel(s)
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
EP3012857A1 (de) 2014-10-21 2016-04-27 ams AG Verfahren zur Herstellung einer Öffnung mit glatter vertikaler Seitenwand in einem Halbleitersubstrat
CN105719965A (zh) * 2014-12-04 2016-06-29 北京北方微电子基地设备工艺研究中心有限责任公司 二氧化硅基片的刻蚀方法和刻蚀设备
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
WO2016126882A1 (en) 2015-02-04 2016-08-11 Twist Bioscience Corporation Methods and devices for de novo oligonucleic acid assembly
CA2975855A1 (en) 2015-02-04 2016-08-11 Twist Bioscience Corporation Compositions and methods for synthetic gene assembly
TWI687987B (zh) 2015-02-17 2020-03-11 愛爾蘭商滿捷特科技公司 填充蝕刻洞的製程
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9981239B2 (en) 2015-04-21 2018-05-29 Twist Bioscience Corporation Devices and methods for oligonucleic acid library synthesis
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
US9612224B2 (en) * 2015-05-29 2017-04-04 International Business Machines Corporation High density nano-array for sensing
US10677647B2 (en) 2015-06-01 2020-06-09 The Board Of Trustees Of The University Of Illinois Miniaturized electronic systems with wireless power and near-field communication capabilities
EP3304130B1 (de) 2015-06-01 2021-10-06 The Board of Trustees of the University of Illinois Alternativer ansatz zur uv-erfassung
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
GB2557529A (en) 2015-09-18 2018-06-20 Twist Bioscience Corp Oligonucleic acid variant libraries and synthesis thereof
WO2017053450A1 (en) 2015-09-22 2017-03-30 Twist Bioscience Corporation Flexible substrates for nucleic acid synthesis
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
FR3044165B1 (fr) 2015-11-23 2018-03-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'interconnexions par recourbement d'elements conducteurs sous un dispositif microelectronique tel qu'une puce
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
WO2017095958A1 (en) 2015-12-01 2017-06-08 Twist Bioscience Corporation Functionalized surfaces and preparation thereof
US20170186837A1 (en) * 2015-12-29 2017-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench capacitor with scallop profile
US10672620B2 (en) 2016-02-01 2020-06-02 King Abdullah University Of Science And Technology Hybrid mask for deep etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
JP6524419B2 (ja) * 2016-02-04 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR102411668B1 (ko) 2016-03-17 2022-06-20 니폰 제온 가부시키가이샤 플라즈마 에칭 방법
US10553358B2 (en) 2016-04-29 2020-02-04 The Regents Of The University Of California Electronic substrates and interposers made from nanoporous films
US10056297B1 (en) 2016-06-20 2018-08-21 Paul C. Lindsey, Jr. Modified plasma dicing process to improve back metal cleaving
GB201611652D0 (en) 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
CN109996876A (zh) 2016-08-22 2019-07-09 特韦斯特生物科学公司 从头合成的核酸文库
US11268927B2 (en) 2016-08-30 2022-03-08 Analog Devices International Unlimited Company Electrochemical sensor, and a method of forming an electrochemical sensor
US10620151B2 (en) 2016-08-30 2020-04-14 Analog Devices Global Electrochemical sensor, and a method of forming an electrochemical sensor
WO2018057526A2 (en) 2016-09-21 2018-03-29 Twist Bioscience Corporation Nucleic acid based data storage
US10872950B2 (en) 2016-10-04 2020-12-22 Nanohenry Inc. Method for growing very thick thermal local silicon oxide structures and silicon oxide embedded spiral inductors
US10510828B2 (en) 2016-10-04 2019-12-17 Nano Henry, Inc. Capacitor with high aspect radio silicon cores
DE102016220248A1 (de) * 2016-10-17 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
AU2017378492B2 (en) 2016-12-16 2022-06-16 Twist Bioscience Corporation Variant libraries of the immunological synapse and synthesis thereof
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11550939B2 (en) 2017-02-22 2023-01-10 Twist Bioscience Corporation Nucleic acid based data storage using enzymatic bioencryption
CA3056388A1 (en) 2017-03-15 2018-09-20 Twist Bioscience Corporation Variant libraries of the immunological synapse and synthesis thereof
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US11133190B2 (en) 2017-05-05 2021-09-28 Lawrence Livermore National Security, Llc Metal-based passivation-assisted plasma etching of III-v semiconductors
JP6899252B2 (ja) 2017-05-10 2021-07-07 株式会社ディスコ 加工方法
WO2018231864A1 (en) 2017-06-12 2018-12-20 Twist Bioscience Corporation Methods for seamless nucleic acid assembly
WO2018231872A1 (en) 2017-06-12 2018-12-20 Twist Bioscience Corporation Methods for seamless nucleic acid assembly
GB201709668D0 (en) 2017-06-16 2017-08-02 Spts Technologies Ltd Microneedles
US11276727B1 (en) 2017-06-19 2022-03-15 Rigetti & Co, Llc Superconducting vias for routing electrical signals through substrates and their methods of manufacture
DE102017210705A1 (de) 2017-06-26 2018-12-27 Robert Bosch Gmbh Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung
FR3069102A1 (fr) 2017-07-13 2019-01-18 Stmicroelectronics (Tours) Sas Procede de fabrication de puces isolees lateralement
DE102017213351A1 (de) 2017-08-02 2019-02-07 Robert Bosch Gmbh Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung mit zumindest einer chemischen oder elektrochemischen Detektiereinrichtung
SG11202002194UA (en) 2017-09-11 2020-04-29 Twist Bioscience Corp Gpcr binding proteins and synthesis thereof
KR20240024357A (ko) 2017-10-20 2024-02-23 트위스트 바이오사이언스 코포레이션 폴리뉴클레오타이드 합성을 위한 가열된 나노웰
JP6984342B2 (ja) 2017-11-22 2021-12-17 セイコーエプソン株式会社 物理量センサー、物理量センサーの製造方法、慣性計測ユニット、携帯型電子機器、電子機器、および移動体
DE102017222404A1 (de) 2017-12-11 2019-06-13 Blickfeld GmbH Zweiteiliger spiegel
JP6787304B2 (ja) 2017-12-19 2020-11-18 セイコーエプソン株式会社 物理量センサー、複合センサー、慣性計測ユニット、携帯型電子機器、電子機器、および移動体
JP7052345B2 (ja) * 2017-12-27 2022-04-12 セイコーエプソン株式会社 物理量センサー、物理量センサーの製造方法、複合センサー、慣性計測ユニット、携帯型電子機器、電子機器、および移動体
KR20200106067A (ko) 2018-01-04 2020-09-10 트위스트 바이오사이언스 코포레이션 Dna 기반 디지털 정보 저장
JP2019132690A (ja) 2018-01-31 2019-08-08 セイコーエプソン株式会社 物理量センサー、物理量センサーデバイス、複合センサーデバイス、慣性計測装置、移動体測位装置、携帯型電子機器、電子機器、移動体および物理量センサーの出力信号調整方法
US11022579B2 (en) 2018-02-05 2021-06-01 Analog Devices International Unlimited Company Retaining cap
EP3750010B1 (de) 2018-02-07 2022-01-19 Patek Philippe SA Genève Mikromechanisches uhrenbauteil
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
US11714232B2 (en) 2018-03-21 2023-08-01 Ecole polytechnique fédérale de Lausanne (EPFL) Optical coupling device
EP3814497A4 (de) 2018-05-18 2022-03-02 Twist Bioscience Corporation Polynukleotide, reagenzien und verfahren zur nukleinsäurehybridisierung
DE102018210482B4 (de) 2018-06-27 2022-07-07 Robert Bosch Gmbh Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
RU2691758C1 (ru) * 2018-08-17 2019-06-18 Федеральное государственное бюджетное учреждение науки Физико-технологический институт имени К.А. Валиева Российской академии наук (ФТИАН им К.А. Валиева РАН) Способ анизотропного плазменного травления кремниевых микроструктур в циклическом двухшаговом процессе окисление-травление
JP2020047875A (ja) 2018-09-21 2020-03-26 株式会社ディスコ ウェーハの加工方法
JP7192437B2 (ja) 2018-11-28 2022-12-20 セイコーエプソン株式会社 慣性センサー、電子機器および移動体
US20200194270A1 (en) 2018-12-13 2020-06-18 Asm Technology Singapore Pte Ltd Plasma chemical processing of wafer dies
DE102018132830A1 (de) 2018-12-19 2020-06-25 Blickfeld GmbH Spiegel
JP7215800B2 (ja) * 2019-02-19 2023-01-31 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法および半導体装置
CN113785057A (zh) 2019-02-26 2021-12-10 特韦斯特生物科学公司 用于抗体优化的变异核酸文库
CA3131689A1 (en) 2019-02-26 2020-09-03 Twist Bioscience Corporation Variant nucleic acid libraries for glp1 receptor
JP2020151796A (ja) * 2019-03-19 2020-09-24 株式会社リコー 振動素子基板の製造方法及び振動素子基板
AU2020298294A1 (en) 2019-06-21 2022-02-17 Twist Bioscience Corporation Barcode-based nucleic acid sequence assembly
GB201918333D0 (en) 2019-12-12 2020-01-29 Spts Technologies Ltd A semiconductor wafer dicing process
JP7382578B2 (ja) * 2019-12-27 2023-11-17 パナソニックIpマネジメント株式会社 プラズマ処理方法および素子チップの製造方法
US11513108B2 (en) 2020-01-14 2022-11-29 Mks Instruments, Inc. Method and apparatus for pulse gas delivery with concentration measurement
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
US11069509B1 (en) 2020-03-16 2021-07-20 Fei Company Method and system for backside planar view lamella preparation
US11735478B2 (en) 2020-05-05 2023-08-22 Ecole Polytechnique Federale De Lausanne (Epfl) System and method for removing scalloping and tapering effects in high aspect ratio through-silicon vias of wafers
DE102020206696A1 (de) * 2020-05-28 2021-12-02 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren und Steuergerät zum Herstellen eines Trägerelements zum Aufnehmen einer Probenflüssigkeit, Trägerelement, Trägermodul und Verfahren zum Verwenden eines Trägerelements
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same
DE102020211313B4 (de) 2020-09-09 2022-06-30 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung einer mikroelektromechanischen Struktur und mikroelektromechanische Struktur
US11361971B2 (en) 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
WO2022085424A1 (ja) 2020-10-19 2022-04-28 東京エレクトロン株式会社 基板処理方法および基板処理装置
GB202020022D0 (en) 2020-12-17 2021-02-03 Spts Technologies Ltd Method and apparatus
EP4020024A1 (de) 2020-12-22 2022-06-29 Paul Scherrer Institut Verfahren zur herstellung einer fächerförmigen optik mit hohem aspektverhältnis
DE102021200431A1 (de) 2021-01-19 2022-07-21 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Bilden eines Trenchgrabens in einer ersten Halbleiterschicht eines Mehrschichtsystems
FR3119047A1 (fr) 2021-01-21 2022-07-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Structure de micro-insert a armature en silicium
JP7320554B2 (ja) 2021-04-27 2023-08-03 株式会社アルバック エッチング方法
CN113800466B (zh) * 2021-09-23 2023-08-29 华东光电集成器件研究所 一种mems悬浮结构的深硅刻蚀方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579623A (en) * 1983-08-31 1986-04-01 Hitachi, Ltd. Method and apparatus for surface treatment by plasma
EP0200951A2 (de) * 1985-05-06 1986-11-12 International Business Machines Corporation Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas
WO1988009830A1 (fr) * 1987-06-01 1988-12-15 Commissariat A L'energie Atomique Procede de gravure par plasma gazeux
EP0363982A2 (de) * 1988-10-14 1990-04-18 Hitachi, Ltd. Trockenätzverfahren
EP0383570A2 (de) * 1989-02-15 1990-08-22 Hitachi, Ltd. Plasma-Ätzmethode und -Vorrichtung
JPH03129820A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
EP0497023A1 (de) * 1989-08-28 1992-08-05 Hitachi, Ltd. Verfahren zum anisotropischen Ätzen von dünnen Schichten

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
DE3613181C2 (de) * 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) * 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4797178A (en) * 1987-05-13 1989-01-10 International Business Machines Corporation Plasma etch enhancement with large mass inert gas
DE3940083A1 (de) * 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
JPH04303929A (ja) * 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) * 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5188254A (en) * 1992-04-21 1993-02-23 Evans Harold A Bag holding system for recyclables

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579623A (en) * 1983-08-31 1986-04-01 Hitachi, Ltd. Method and apparatus for surface treatment by plasma
EP0200951A2 (de) * 1985-05-06 1986-11-12 International Business Machines Corporation Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas
WO1988009830A1 (fr) * 1987-06-01 1988-12-15 Commissariat A L'energie Atomique Procede de gravure par plasma gazeux
EP0363982A2 (de) * 1988-10-14 1990-04-18 Hitachi, Ltd. Trockenätzverfahren
EP0383570A2 (de) * 1989-02-15 1990-08-22 Hitachi, Ltd. Plasma-Ätzmethode und -Vorrichtung
EP0497023A1 (de) * 1989-08-28 1992-08-05 Hitachi, Ltd. Verfahren zum anisotropischen Ätzen von dünnen Schichten
JPH03129820A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
MAHI ET AL: "The etching of silicon in diluted SF6 plasmas : correlation between the flux of incident species and the etching kinetics", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B., vol. 5, no. 3, June 1987 (1987-06-01), NEW YORK US, pages 657 - 666 *
PATENT ABSTRACTS OF JAPAN vol. 15, no. 340 (E - 1105) 28 August 1991 (1991-08-28) *
TIN ET AL: "Effects of RF bias on remote microwave plasma assisted etching of silicon in SF6", JOURNAL OF THE ELECTROCHEMICAL SOCIETY, vol. 138, no. 10, October 1991 (1991-10-01), MANCHESTER, NEW HAMPSHIRE US, pages 3094 - 3100 *

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658472A (en) * 1995-02-24 1997-08-19 International Business Machines Corporation Method for producing deep vertical structures in silicon substrates
EP0729175A1 (de) * 1995-02-24 1996-08-28 International Business Machines Corporation Verfahren zur Erzeugung von tiefen und vertikalen Strukturen in Silizium-Substraten
EP1357584A2 (de) * 1996-08-01 2003-10-29 Surface Technology Systems Plc Verfahren zur Oberflachensbehandlung von halbleitenden Substraten
EP0822584A2 (de) * 1996-08-01 1998-02-04 Surface Technology Systems Limited Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
EP0822582A2 (de) * 1996-08-01 1998-02-04 Surface Technology Systems Limited Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
EP0822584A3 (de) * 1996-08-01 1998-05-13 Surface Technology Systems Limited Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
US6051503A (en) * 1996-08-01 2000-04-18 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6261962B1 (en) 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
EP1357584A3 (de) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Verfahren zur Oberflachensbehandlung von halbleitenden Substraten
EP0822582B1 (de) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Verfahren zur Ätzung von Substraten
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
WO1999010922A1 (de) * 1997-08-21 1999-03-04 Robert Bosch Gmbh Verfahren zum anisotropen ätzen von silizium
US6284148B1 (en) 1997-08-21 2001-09-04 Robert Bosch Gmbh Method for anisotropic etching of silicon
US7141504B1 (en) 1998-07-23 2006-11-28 Surface Technology Systems Plc Method and apparatus for anisotropic etching
US7288785B2 (en) 2002-04-17 2007-10-30 Sophion Bioscience A/S Substrate and method for measuring the electro-physiological properties of cell membranes
EP1522883A1 (de) * 2003-10-10 2005-04-13 Japan Aviation Electronics Industry, Limited Bewegliche Kleinvorrichtung
US7003193B2 (en) 2003-10-10 2006-02-21 Japan Aviation Electronics Industry Limited Miniature movable device
US8524112B2 (en) 2007-12-21 2013-09-03 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
US9530666B2 (en) 2012-09-18 2016-12-27 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
DE102012216978A1 (de) 2012-09-21 2014-03-27 Robert Bosch Gmbh Verfahren zum Herstellen eines Detektionselements für eine Vorrichtung zur Detektion von Substanzen mittels Spektroskopie, insbesondere Raman-Spektroskopie, ein solches Detektionselement und eine solche Vorrichtung
US11110549B2 (en) 2017-12-26 2021-09-07 Disco Corporation Recess or through-hole forming method and electrode forming method
EP3764169A1 (de) 2019-07-10 2021-01-13 Patek Philippe SA Genève Verfahren zum abschnittsweisen satinieren einer uhrenkomponente aus silizium

Also Published As

Publication number Publication date
DE4241045C1 (de) 1994-05-26
JP4090492B2 (ja) 2008-05-28
US5501893A (en) 1996-03-26
JP2007129260A (ja) 2007-05-24
JPH07503815A (ja) 1995-04-20
EP0625285B1 (de) 2000-03-22
EP0625285A1 (de) 1994-11-23

Similar Documents

Publication Publication Date Title
WO1994014187A1 (de) Verfahren zum anisotropen ätzen von silicium
EP0865664B1 (de) Verfahren zum anisotropen plasmaätzen verschiedener substrate
DE19706682C2 (de) Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19736370C2 (de) Verfahren zum anisotropen Ätzen von Silizium
DE4317623C2 (de) Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
EP0015403B1 (de) Verfahren zum reaktiven Ionenätzen von Silicium
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
WO2000067307A1 (de) Verfahren zum plasmaätzen von silizium
EP1614145A2 (de) Siliziumsubstrat mit positiven tzprofilen mit definiertem b schungswinkel und verfahren zur herstellung
DE102013223490B4 (de) Verfahren zur Herstellung einer strukturierten Oberfläche
DE4241453C2 (de) Verfahren zum Plasmaätzen von Gräben in Silizium
DE2224468A1 (de) Verfahren zum aetzen von vorzugsweise glas- bzw. siliciumdioxydschichten
DE19841964A1 (de) Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
DE19504434C1 (de) Verfahren zur Herstellung siliziumhaltiger Masken
DE19945140B4 (de) Verfahren zur Herstellung einer Maskenschicht mit Öffnungen verkleinerter Breite
DE10300197A1 (de) Verfahren zur Strukturierung von Dünnfilmen
DE102012200236B3 (de) Verfahren zur Strukturierung von Siliziumcarbid und SiC-Graben-MOSFET
DE19910984C2 (de) Verfahren zur Herstellung von Polymerstrukturen auf einem Substrat mittels eines Ätzprozesses
EP3526812A1 (de) Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
DE19939317A1 (de) Verfahren zur Herstellung von Polymerstrukturen mittels eines Ätzprozesses
DE102004007167A1 (de) Verfahren zum Herstellen geätzter Strukturen
DD278002A1 (de) Verfahren zur erzielung eines extrem flachen boeschungsverlaufes strukturierter technologischer schichten

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

WWE Wipo information: entry into national phase

Ref document number: 1994900729

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 08284490

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 1994900729

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1994900729

Country of ref document: EP