WO1995033867A1 - Method and apparatus for producing thin films - Google Patents

Method and apparatus for producing thin films Download PDF

Info

Publication number
WO1995033867A1
WO1995033867A1 PCT/US1994/013641 US9413641W WO9533867A1 WO 1995033867 A1 WO1995033867 A1 WO 1995033867A1 US 9413641 W US9413641 W US 9413641W WO 9533867 A1 WO9533867 A1 WO 9533867A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
radicals
deposition
showerhead
Prior art date
Application number
PCT/US1994/013641
Other languages
French (fr)
Inventor
Robert F. Foster
Joseph T. Hillman
Rene E. Leblanc
Original Assignee
Materials Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Materials Research Corporation filed Critical Materials Research Corporation
Priority to CA002191457A priority Critical patent/CA2191457A1/en
Priority to DE69423371T priority patent/DE69423371T2/en
Priority to AU12611/95A priority patent/AU1261195A/en
Priority to EP99201027A priority patent/EP0936284B1/en
Priority to EP95903616A priority patent/EP0763147B1/en
Priority to JP8500805A priority patent/JPH10504604A/en
Publication of WO1995033867A1 publication Critical patent/WO1995033867A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Definitions

  • This invention relates generally to plasma-enhanced chemical vapor deposition (PECVD) for applying a film coating to a substrate, and more specifically to PECVD conducted at a low effective deposition temperature at the substrate surface. Even more specifically, the invention relates to deposition of titamum-containing films using low temperature CVD.
  • PECVD plasma-enhanced chemical vapor deposition
  • thin films containing metal and metalloid elements are often deposited upon the surface of a substrate, such as a semiconductor wafer. Thin films are deposited to provide conductive and ohmic contacts in the circuits and between the various devices of an IC. For example, a desired thin film might be applied to the exposed surface of a contact or via hole on a semiconductor wafer, with the film passing through the insulative layers on the wafer to provide plugs of conductive material for the purpose of making interconnections across the insulating layers.
  • CVD chemical vapor deposition
  • a thin film is deposited using chemical reactions between various deposition or reactant gases at the surface of the substrate.
  • reactant gases are pumped into proximity to a substrate inside a reaction chamber, and the gases subsequently react at the substrate surface resulting in one or more reaction by-products which form a film on the substrate surface. Any by ⁇ products remaining after the deposition are removed from the chamber.
  • CVD is a useful technique for depositing films
  • many of the traditional CVD processes are basically thermal processes and require temperatures in excess of 1000°C in order to obtain the necessary reactions. Such a deposition temperature is often far too high to be practically useful in IC fabrication due to the effects that high temperatures have on various other aspects and layers of the electrical devices making up the IC.
  • IC components are degraded by exposure to the high temperatures normally related to traditional thermal CVD processes.
  • the high temperatures normally related to traditional thermal CVD processes For example, at the device level of an IC, there are shallow diffusions of semiconductor dopants which form the junctions of the electrical devices within the IC.
  • the dopants are often initially diffused using heat during a diffusion step, and therefore, the dopants will continue to diffuse when the IC is subjected to a high temperature during CVD. Such further diffusion is undesirable because it causes the junction of the device to shift, and thus alters the resulting electrical characteristics of the IC. Therefore, for certain IC devices, exposing the substrate to processing temperatures of above 800°C is avoided, and the upper temperature limit may be as low as 650°C for other more temperature sensitive devices.
  • thermal CVD is performed after metal interconnection or wiring has been applied to the IC.
  • many IC's utilize aluminum as an interconnection metal.
  • various undesirable voids and extrusions occur in aluminum when it is subjected to high processing temperatures. Therefore, once interconnecting aluminum has been deposited onto an IC, the maximum temperature to which it can be exposed is approximately 500°C, and the preferred upper temperature limit is 400°C. Therefore, as may be appreciated, it is desirable during CVD processes to maintain low deposition temperatures whenever possible.
  • Titanium is typically used to provide ohmic contact between the silicon contacts of an IC device and a metal interconnection. Titanium may be deposited from TiBr 4 , TiC- 4 or Til, by using CVD methods such as unimolecular pyrolysis or hydrogen reduction.
  • CVD methods such as unimolecular pyrolysis or hydrogen reduction.
  • the temperatures necessary for these thermal processes are in excess of 1000°C, and such a deposition temperature is much to high to be practically useful in IC fabrication. Therefore, the deposition of titanium and titanium-containing films presents a problem in formation of integrated circuits.
  • Titanium may be sputtered, for example, over a silicon substrate after various contacts or via openings are cut into a level of the substrate.
  • the substrate might then be heated to about 800°C to allow the silicon and titanium to alloy and form a layer of titanium silicide (TiSy.
  • TiSy titanium silicide
  • the excess titanium is etched away from the top surface of the substrate leaving TiSi 2 at the bottom of each contact or via.
  • a metal interconnection is then deposited directly over the TiSi 2 .
  • Step coverage is defined as the ratio of film thickness on the bottom of a contact on a substrate wafer to the film thickness on the sides of the contact or the top surface of the substrate. Consequently, to sputter deposit a predetermined amount of titanium at the bottom of a contact or via, a larger amount of the sputtered titanium must be deposited on the top surface of the substrate or the sides of the contact. For example, in order to deposit a
  • a 600A to lOOOA film layer may have to be deposited onto the top surface of the substrate or the sides of the contact. Since the excess titanium has to be etched away, sputtering is wasteful and costly when depositing layers containing titanium.
  • the step coverage of the contact with sputtering techniques decreases as the aspect ratio of the contact or via increases.
  • the aspect ratio of a contact is defined as the ratio of contact depth to the width of the contact. Therefore, a thicker sputtered film must be deposited on the top or sides of a contact that is narrow and deep (high aspect ratio) in order to obtain a particular film thickness at the bottom of the contact than would be necessary with a shallow and wide contact (low aspect ratio). In other words, for smaller device dimensions in an IC, corresponding to high aspect ratio contacts and vias, sputtering is even more inefficient and wasteful.
  • the decreased step coverage during sputter deposition over smaller devices results in an increased amount of titanium that must be deposited, thus increasing the amount of titanium applied and etched away, increasing the titanium deposition time, and increasing the etching time that is necessary to remove excess titanium. Accordingly, as IC device geometries continue to shrink and aspect ratios increase, deposition of titanium- containing layers by sputtering becomes very costly.
  • a CVD process for depositing a titanium- containing film layer may be accomplished with nearly 100% step coverage. That is, the film thickness at the bottom of the contact would approximately equal the thickness on the top surface almost regardless of the aspect ratio of the contact or via being filled.
  • the temperatures necessary for such CVD processes are too high and would degrade other aspects of the IC. Consequently, it would be desirable to achieve titanium CVD at a temperature less than 800°C, and preferably less than 650°C. Further, it is generally desirable to reduce the deposition temperature for any CVD process which is utilized to deposit a film in IC fabrication.
  • PECVD plasma enhanced chemical vapor deposition
  • tungsten tungsten
  • a uniform supply of reactant gases must be supplied across the surface of the substrate and the spent gases and reaction by-products should be removed from the surface being coated.
  • prior art CVD processes have again performed with limited success. Specifically, in known CVD processes, turbulence in the flow of reaction gases inhibits the efficiency and uniformity of the coating process and aggravates the deposition and migration of contaminants within the reaction chamber.
  • tungsten hexafluoride (WF 6 ) is employed as a reactant gas.
  • Tungsten hexafluoride is very costly and thus, when reactant gas utilization efficiency is low, as it is in prior art CVD processes, the overall process costs are significantly increased. Accordingly, there is a need for CVD processes which have improved gas flow and reduced gas flow turbulence to more efficiently and more uniformly supply reaction gases to and remove reaction by-products from the surfaces of the substrate being coated.
  • the present invention addresses these objectives and the shortcomings of the various CVD and PECVD processes currently available. Further, the present invention, particularly addresses the difficulties associated with depositing titanium and titanium- containing films using CVD. Summary nf the Invention
  • the CVD apparatuses and methods of the present invention overcome or obviate the high temperature and gas flow drawbacks associated with many of the currently available thermal CVD and PECVD apparatuses and processes.
  • the present invention achieves deposition of a titanium- containing film at a substantially lower temperature as compared to traditional thermal CVD processes. Further, in doing so, the invention does not compromise the conformality of the resulting film layer, and makes efficient use of the activated and reactant gases while reducing gas turbulence at the substrate surface.
  • the low temperature deposition of the present invention is accomplished in two alternative methods.
  • the first method utilizes the upstream, remote generation of a plasma.
  • the plasma is pumped down to a substrate by a rotating susceptor and is extinguished as it travels to the substrate, so that predominantly activated gas radicals are present.
  • the gas radicals combine with unexcited reactant gases to deposit a film layer on the substrate by CVD techniques.
  • the pumping of the rotating susceptor minimizes gas particle recirculations and collisions to yield a useful percentage of radicals.
  • the second method utilizes an RF showerhead design which yields a concentrated plasma very close to the substrate surface. All of the gases, both plasma and reactant gases, are passed through the RF showerhead electrode and are excited. Since the susceptor acts as another electrode, the RF showerhead and the susceptor form a parallel plate electrode configuration. With the RF electrode method, the plasma gases utilized in the chemical vapor deposition at the substrate contains a mixture of both ions and radicals which contribute energy to the surface reaction.
  • one CVD process of the present invention utilizes a plasma source to generate, upstream of a substrate wafer, a gas plasma containing various excited particles of the gas, including charged ions and excited, charge-neutral radicals, as well as free electrons.
  • the excited particles of the plasma gas, and predominantly the excited radical particles are brought to the surface before they have had a chance to combine to form neutral molecules.
  • the excited radicals chemically react with one or more reactant gases to form a thin film on a substrate.
  • the excited radicals supply energy to the surface reaction such that CVD may be used in accordance with the principles of the present invention at temperatures substantially lower than those required with traditional CVD methods.
  • the upstream method of the present invention utilizes predominantly charge-neutral, activated radicals at the substrate surface which yield conformal, uniform films.
  • the lifetime of such activated gas radicals is short as they seek to recombine into a low energy, stable molecular structure.
  • the present invention provides efficient use of the activated gas radicals by bringing the radicals to the substrate surface before a significant number of them are able to recombine to form the original, stable gas molecules.
  • the present invention utilizes a rotating susceptor which supports and rotates the substrate and creates a downward pumping action in the direction of the substrate. The rotating susceptor pumps the radicals to the substrate surface.
  • a reactant gas or gases are introduced into the deposition region above the substrate surface to mix with the activated gas radicals.
  • the downward pumping action of the rotating susceptor simultaneously draws the mixture of radicals and reactant gases toward the substrate surface.
  • the mixture of radicals and reactant gases flows radially outward from the center of the substrate in a substantially uniform laminar flow pattern and the excited radicals react with the reactant gas particles in a surface reaction which results in a film layer being deposited upon the substrate surface.
  • the activated radicals supply energy to the surface reaction and thereby reduce the required energy, such as thermal energy, that is necessary for the chemical reaction to take place at the substrate surface. Therefore, the deposition takes place at a substantially lower temperature than the temperature required by traditional CVD processes.
  • the deposition of a titanium- containing layer using the present invention may be accomplished at 600 °C or below versus 1000°C for some traditional thermal CVD processes.
  • the unique pumping action and laminar flow of gases created by the rotatmg susceptor ensures a useful density of radicals at the substrate surface.
  • a gas flow of between 500 to 50,000 seem (standard cubic centimeters per minute), a susceptor rotation-rate of 0 to 1,000 rpm, a reaction chamber pressure between .5 and 10 Torr, and a reactant gas flow rate between 1 to 20 seem the present invention has yielded thin films from CVD techniques at temperatures below 650°C.
  • the upstream plasma may be excited using either an RF signal or a microwave signal. Accordingly, the invention has been found to yield desirable results when the plasma is excited at frequencies as high as 2.54 GHz and as low as 13.56 kHz.
  • the laminar pattern created by the rotating susceptor minimizes gas particle recirculations and subsequent radical recombinations at the substrate surface, and therefore, there are more activated radicals available at the substrate surface for the low temperature CVD process. Additionally, in the method of the present invention, increasing the rotation rate of the susceptor increases the deposition rate at the substrate surface. Due to the unique combination of activated radicals and the laminar flow produced by the pumping action of the rotating susceptor, the deposition rate of the present invention increases beyond what might be achieved solely due to the increase in molecular reactants at the substrate surface resulting from an increased pumping action.
  • the plasma gas is delivered proximate the surface of the substrate utilizing a gas-dispersing showerhead which is biased with RF energy to act as an electrode.
  • a susceptor supporting a substrate acts as another parallel electrode.
  • the RF showerhead/electrode generates a concentrated plasma very close to the surface of the substrate while a gas delivery cylinder attached to the showerhead ensures uniform gas flow to the plasma.
  • the proximity of the plasma to the substrate ensures an ample density of activated radicals and ions for the surface reaction. That is, a combination of both gas radicals and gas ions is utilized in the RF showerhead/electrode method.
  • the showerhead/electrode Utilizing the showerhead/electrode, a spacing of less than 1" between the generated plasma and the substrate is possible yielding desirable CVD films. Furthermore, the RF showerhead/electrode method keeps the plasma concentrated below the showerhead and close to the substrate for efficient deposition.
  • the RF showerhead has been utilized at RF frequencies from
  • titanium-containing films such as pure titanium (Ti), titanium nitride (TiN) and/or titanium suicide (TiSij) films onto a substrate utilizing plasma containing radicals and ions of hydrogen and nitrogen and/or disassociated titanium tetrachloride (TiC- 4 ) and ammonia (NH 3 ).
  • a diluent such as argon might be mixed with the plasma gas.
  • different plasma gases besides H 2 , N 2 and NH 3 might be used in accordance with the principles of the present invention to supply radicals and ions to the surface reaction according to the present invention.
  • the invention has been found useful for depositing titanium films over aluminum layers on a substrate.
  • Deposition temperatures in accordance with the invention are low enough that the aluminum layer is not damaged by reflow during the deposition.
  • the invention has been found useful for producing selective deposition of titanium over a substrate having a field oxide (silicon oxide) layer patterned with vias into a lower silicon layer. Under certain conditions, it has been found that titanium deposits only on the silicon layers in the vias without sigificant deposition on the field oxide.
  • field oxide silicon oxide
  • the plasma may be created using energy from various energy sources including microwave and radio frequency (RF) sources.
  • RF radio frequency
  • One hardware embodiment utilizes a showerhead/electrode which is biased with RF energy to create a plasma.
  • One possible upstream plasma embodiment utilizes a commercially available plasma source with an RF coil surrounding a plasma region.
  • Still another embodiment utilizes an upstream microwave plasma source which remotely excites a plasma with microwave energy. The remote plasma is then pumped along a tube whereby activated radicals are formed. After exiting the tube and entering the deposition chamber, the radicals are mixed with reactant gases and drawn to the substrate surface by the rotating susceptor.
  • Fig. 1 is a side view and partial cross-section of one embodiment of an upstream plasma-enhanced deposition chamber used to practice the methods of the present invention using microwave energy.
  • Fig. IA is a view of an alternative embodiment of an upstream plasma-enhanced deposition chamber using microwave energy.
  • Fig. 2 is a side view and partial cross-section of one embodiment of a deposition chamber used to practice the methods of the present invention using an RF showerhead/electrode.
  • Fig. 2 A is a more detailed view of the configuration of Fig. 2.
  • Fig. 2B is an alternative embodiment of the configuration of Fig. 2.
  • Fig. 3 is a side view and partial cross-section of a second embodiment of an upstream plasma-enhanced deposition chamber using RF energy.
  • Figs. 4A and 4B are Arrhenius function graphs of the necessary activation energy for deposition with and without the upstream activated radicals of the present invention, respectively.
  • Fig. 5 are graphs of deposition rate increase as a function of rotation rate increase with and without the upstream activated radicals, respectively.
  • Fig. 6 is a photomicrograph showing selective deposition of titanium films onto vias patterned in a silicon oxide layer overlying a silicon substrate.
  • the present invention includes both methods and apparatuses for accomplishing low temperature CVD utilizing activated gas radicals and/or activated gas radicals and ions.
  • a rotating susceptor is used in accordance with the present invention which rotates a substrate inside of a deposition chamber and draws activated gas radicals down to the surface of the substrate. The radicals and reactant gases take part in a surface reaction on the substrate to deposit a film.
  • the activated, charge-neutral radicals and charged ions contribute energy to the surface reaction such that the film is deposited upon the substrate surface in a chemical vapor technique at substantially lower temperatures than are possible with thermal CVD techniques. Also, because the ions and radicals are activated by the plasma, less thermal energy is required to complete the surface reaction.
  • radicals are present at the substrate surface to participate in the low temperature surface reaction.
  • the laminar gas flow created by the susceptor reduces collisions and the subsequent recombinations of the activated radicals into stable molecules so that a useful density of the radicals are delivered to the substrate surface to take place in the surface reaction and subsequent film formation.
  • the plasma may be generated very close to the substrate, as discussed further hereinbelow, thus enhancing the efficient use of the activated ions and radicals.
  • the present invention yields a CVD technique that may be accomplished at very low temperatures compared with the traditional thermal CVD techniques thus making it practical for integrated circuit fabrication requiring low deposition temperatures.
  • Fig. 1 shows one embodiment of an upstream plasma source with a rotating susceptor for practicing the upstream plasma-enhanced CVD of the present invention.
  • the embodiment of Fig. 1 utilizes a microwave plasma source for generating an upstream reactant gas plasma from which the necessary activated radicals are drawn.
  • a reactor 5 includes a chamber housing 10 enclosing a reaction space 12.
  • the housing 10 may be controllably vacuumed to a desired internal deposition pressure for practicing the invention.
  • Plasma gases to be excited such as, for example, hydrogen gas (Hj), nitrogen gas (Nj), and/or ammonia (NH 3 ) are introduced into space 12 through a quartz tube 14.
  • Plasma tube 14 is L-shaped and has a long portion 16 which extends generally horizontally until it reaches a 90° bend 15. After the 90° bend 15, a small straight section 18 extends vertically downward and has an outlet end 19 which opens into space 12.
  • Housing 10 also contains a rotating susceptor 20 which rotates on a shaft 21 coupled to a motor (not shown), such that the speed of the rotation may be adjusted.
  • Susceptor 20 supports a substrate 22 in the reaction space 10.
  • a temperature control device (not shown) is coupled to susceptor 20 which is used to heat substrate 22 to the desired temperature.
  • An example of a suitable reactor, including a rotating susceptor, for practicing the methods of the invention is the
  • a microwave energy source 24 is coupled to plasma tube 14 through a microwave waveguide 26.
  • the waveguide 26 propagates microwave energy 27 from source 24 to tube 14 to define an excitation region 28 within the tube 14.
  • Plasma gases are introduced into tube 14 at end 13 and travel along the length of the tube 14 passing through region 28, wherein the microwave energy 22 is absorbed by the gases to excite the gases to form a plasma.
  • the plasma generated in tube 14 contains various activated particles including ions and activated, charge-neutral radicals.
  • H ⁇ hydrogen gas
  • H + hydrogen ions
  • Nj nitrogen gas
  • NH 3 NH 3
  • a second recombination may occur according to equation 3.
  • the second recombination yields inactive, stable hydrogen gas molecules which will not contribute reaction energy to the surface reaction. Therefore, it is important to deliver the activated radicals to a surface 23 of substrate 22 before they recombine.
  • the hydrogen radicals H* and any other remaining gas particles of the plasma travel around the 90° bend 15 of the tube 14 and are drawn downwardly along vertical section 18 and out into the reaction space 12 through outlet 19 by the rotation of susceptor 20.
  • Rotating susceptor 20 generates a downward pumping action in the direction of substrate 22.
  • the pumping action creates a laminar flow of gases over the wafer surface 23 as illustrated by arrows 29.
  • susceptor 20 is operated to achieve matched gas flow conditions.
  • rate of gas flow in a downward direction indicated by Q-1 equals the rate of gas flow in a horizontal direction designated by Q-2.
  • Q-1 the rate of gas flow in a downward direction indicated by Q-1
  • Q-2 the rate of gas flow in a horizontal direction designated by Q-2.
  • the plasma gas reaching the substrate 22 contain a large percentage of radicals, and preferably 80% or more activated radicals by composition.
  • a high radical composition requires drawing the plasma gas down to the substrate 22 with minimal recombinations.
  • Maximum utilization of radicals is accomplished by the laminar flow created by the rotating susceptor 20. It has been determined through experimentation that the laminar flow pattern of the susceptor 20 minimizes the recirculations of the gas reactants and particularly minimizes recirculation of the activated gas radicals at the substrate surface 23.
  • the minimized recirculation in turn, minimizes gas phase collisions of the activated radicals, and hence, reduces the rate at which the radicals recombine to form stable molecules. That is, the amount of recombination of H* into H 2 according to equation 3 above is reduced. As a result, there is a greater density of useful activated radicals available at the substrate surface 23 to supply energy to the chemical surface reaction and to reduce the thermal energy required in the chemical vapor deposition of the film. Thereby, the present invention effectively reduces the deposition temperature.
  • the reactant gases are introduced such as through a vertically adjustable showerhead 30 shown in Fig. 1.
  • a titanium tetrahalide gas such as titanium tetrachloride (TiCL,), titanium tetrabromide (TiBr 4 ), or titanium tetraiodide (Til,), and preferably TiCL, is introduced.
  • TiCL titanium tetrachloride
  • TiBr 4 titanium tetrabromide
  • TiBr 4 titanium tetraiodide
  • TiCL titanium tetraiodide
  • H 2 might be excited into a plasma and TiCl 4 might be introduced into the reaction space 12.
  • a mixture of H* and TiCL might then occur in space 12 generally above susceptor 20 and substrate 22.
  • susceptor 20 would draw the mixture down to substrate surface 23 in a laminar flow and the activated H* and TiCl 4 should react at surface 23 to deposit a thin film on the substrate 22.
  • Hydrogen radicals H* should supply energy to the surface reaction according to equation 4.
  • titanium nitride Ti
  • nitrogen N ⁇
  • NH 3 ammonia gas
  • the N* radicals will eventually combine into nitrogen molecules (Nz) unless quickly drawn down to the surface of the substrate 23.
  • titanium suicide might also be deposited according to the principles of the present invention.
  • silane gas SiH,
  • TiCL titanium-containing gas
  • tungsten may be deposited using the apparatus of Fig. 1 and the method described. Examples of chemical reactions for producing titanium nitride and titanium suicide are given below in equations 5 and 6, respectively.
  • the microwave plasma deposition apparatus of Fig. 1 was used to deposit a layer of tungsten and several tests were made to determine the viability of the method. Hydrogen was passed through quartz tube 14. An excited plasma was ignited in the vicinity of region 28 and travelled downstream through tube 14 into reaction space 12. As the plasma travelled along quartz tube 14, it was extinguished downstream of the microwave excitation region 28 indicating that recombination of the excited plasma particles had occurred, such as according to equation 2 above to yield additional hydrogen radicals. The hydrogen radicals were subsequently drawn down to substrate surface 23 by rotating susceptor 20. Simultaneously, tungsten hexafluoride (WF 6 ) was introduced through a gas port 29. A deposition reaction occurred according to Equation 7, below, to deposit a layer of tungsten onto substrate 22.
  • WF 6 tungsten hexafluoride
  • tungsten deposition rate was measured as a function of substrate temperature. The measurements were made both with the microwave power turned off and no plasma and with the microwave power turned on to create a plasma and hydrogen radicals. The data measured is shown plotted in Figs. 4A and 4B as a logorithmic Arrhenius function, i.e. , plotted as In (k) versus 1/T, where k is the reaction rate constant and T is absolute temperature.
  • Figs. 4A and 4B were as follows:
  • a Microwave Power 900 Watts
  • the activation energy, E Tha was calculated.
  • E. 67.1 kJ/mole-degree K.
  • E, 63.2 kJ/mole-degree K the activation energy necessary for the deposition process.
  • the decrease in activation energy E, between the plasma and non-plasma deposition processes, indicates that activated hydrogen radicals are reaching the substrate surface and participating in the surface reaction according to the principles of the present invention.
  • the decreased activation energy necessary when utilizing the activated radicals results in a decrease in the deposition temperature necessary for the CVD process. As discussed above, a lower deposition temperature is desirable for integrated circuit fabrication of temperature-sensitive circuits requiring deposition temperatures below 650°C.
  • the deposition rate of tungsten was also plotted as a function of the susceptor rotation rate or substrate rotation rate.
  • Fig. 5 illustrates that the deposition rate for the thermal process increased with increasing rotation rate as expected. This is due to the fact that the molecular reactants are being pumped to the rotating substrate surface at a higher rate.
  • the deposition rate increased much more dramatically as the rotation rate increased. That is, there is an effect beyond the basic pumping of reactants caused by the rotating substrate which produces the increased deposition rate.
  • the laminar gas flow pattern provided by the rotating susceptor minimizes the gas phase collisions, and thus reduces the rate at which the necessary activated hydrogen radicals H* recombine to form hydrogen molecules H 2 .
  • the efficient delivery of radicals to the substrate surface in the upstream method of the present invention is an important advancement in plasma- enhanced CVD. A majority of the activated radicals are carried to the substrate surface to take place in the surface deposition reaction. Therefore, not only do the activated radicals contribute energy and lower the deposition temperature, but also the high density of radicals delivered to the substrate by the laminar gas flow of susceptor 20 further reduces the deposition temperature below the impractically high temperatures of thermal CVD techniques.
  • Fig. IA shows an alternative CVD configuration which utilizes an upstream microwave source to generate activated gas radicals.
  • a reactor 100 includes a chamber housing 102 enclosing reaction space 104. Like reactor 5 of Fig. 1, the housing may be controllably vacuumed to a desired internal deposition pressure. Plasma gases are introduced into a vertical quartz tube 106.
  • a microwave wave guide structure 108 is coupled to quartz tube 106. Wave guide structure 108 includes a horizontal section 110 which includes a microwave source 112. An angled waveguide section 114 connects horizontal section 110 to a vertical waveguide section 116.
  • Quartz tube 106 extends through an opening (not shown) in the angled section 114 and extends through section 114 and vertical section 116 whereupon it extends through a top cover plate 15 of housing 102. Quartz tube 106 extends through plate 118 and terminates at an outlet end 120 above a gas dispersing showerhead 122.
  • showerhead 122 is attached to a quartz insulator ring 124 which connects the showerhead 122 to the cover 115 of reactor housing 102.
  • a reactant gas halo or dispersion ring 128 which has a plurality of openings for dispersing reactant gas.
  • a source line 130 is connected to ring 128 for delivering a reactant gas such as TiCL, to the ring 128.
  • the microwave source 112 within wave guide section 110 may be a magnetron or any other suitable source which generates energy at microwave frequencies.
  • a coaxial waveguide adapter (not shown) might be attached onto one end of horizontal waveguide section 110 to generate the necessary microwave energy.
  • the upstream microwave plasma source and reactor 100 of Fig. IA operates somewhat similarly to reactor 5 in Fig. 1. That is, a plasma gas such as hydrogen, nitrogen and/or ammonia is introduced into quartz tube 106 and travels along the quartz tube 106 and through the microwave waveguide structure 108 such that the gases are excited into a plasma within a section or area of tube 106.
  • a rotating susceptor 132 supports a substrate 134 below showerhead 122 and halo 128. Similar to the rotating susceptor of Fig. 1, susceptor 132 is coupled to a temperature control device (not shown) which heats substrate 134 to a desired temperature.
  • susceptor 132 is coupled by shaft 134 to a motor (not shown) such that the rotation of susceptor 132 may be set as desired.
  • the rotating susceptor pumps the activated radicals from end 120 of quartz tube 106 and from reactant gas from ring 128 through showerhead 122 to react and deposit a film layer onto substrate 134.
  • the majority of activated plasma particles reaching substrate 134 are activated radicals which contribute energy to the surface reaction to achieve low temperature CVD.
  • the remaining non-utilized gases are exhausted through an exhaust port 138.
  • Fig. 2 shows a preferred embodiment of a CVD reactor for achieving low temperature deposition using activated radicals and ions in accordance with the principles of the present invention.
  • the reactor 40 includes a deposition chamber housing 42 and housing cover 43 which defines a reaction space 44. Housing 42 also encloses a rotating susceptor 46 which supports a substrate 48 in space 44. Similar to the reactor of Fig. 1, reactor 40 may be selectively evacuated to various different internal pressures, while susceptor 46 is coupled to adjustable heat and rotational controls for heating and rotating substrate 48 at various temperatures and speeds, respectively.
  • a cylinder assembly 50 Extending downwardly from the top of housing 42 is a cylinder assembly 50 which is attached to a showerhead 52.
  • showerhead 52 is suspended above substrate 48.
  • the gases to be excited into a plasma are introduced through a gas injection ring 54 into cylinder assembly 50 through a plurality of ring holes 56.
  • Ring 54 is connected to a plasma gas supply by line 55.
  • showerhead 52 is coupled to an RF power source 57 by feedline assembly 58 which extends through cylinder assembly 50 to showerhead 52.
  • Cylinder assembly includes a cylinder 51, and insulator ring 60 which separates cylinder 51 and showerhead 52 for reasons discussed hereinbelow.
  • cylinder 51 is electrically grounded.
  • the RF energy biases showerhead/electrode 52 so that it acts as an electrode and has an associated RF field.
  • showerhead/electrode 52 is preferably approximately 0.25 inches thick and contains approximately 300-600 dispersion holes 62.
  • the gases introduced through plasma gas injection ring 54 flow downwardly in cylinder 51.
  • the RF field created by the biased showerhead/electrode 52 excites the gases so that a plasma is created below the lower surface 53 of showerhead/electrode 52.
  • the showerhead dispersion holes 62 are dimensioned somewhat smaller than the gas dispersion holes of traditional gas showerheads to prevent creation of a plasma in the holes 62 which results in deposition in the holes and subsequent bombardment of the substrate 48.
  • the smaller holes 62 of the showerhead 52 prevent formation of a plasma above showerhead 52 inside of cylinder 51 thus concentrating the plasma below showerhead/electrode 52 and close to substrate 48.
  • the showerhead holes 62 in a preferred embodiment, are dimensioned to be approximately 1/32 of an inch wide.
  • Cylinder 51 preferably has the same diameter as showerhead/electrode 52 to spread the plasma and reactant gases over the entire showerhead 52.
  • the reactant gases such as TiCL
  • a ring 66 which is generally concentric with ring 54 and is connected to a reactant gas source by line 64.
  • the gas flow from injector rings 54 and 66 develops within the length of the cylinder 51 as the gases travel to the showerhead/electrode 52.
  • the velocity profile of the incoming plasma gases passing through showerhead 52 is fully developed before it reaches the rotating substrate 48.
  • the showerhead/electrode 52 is spaced between 0.25 to 4 inches from the substrate 48 to ensure that the plasma is close to the substrate 48.
  • the spacing is under 1 inch and in a preferred embodiment is approximately 20 millimeters.
  • the pressure drop across the showerhead/electrode 52 flattens out the velocity profile of the gases. That is, the gas tends to have the same velocity at the center of the showerhead/electrode 52 as around the periphery. This is desirable for uniform deposition of a film on substrate surface 49.
  • the plasma gases pass through showerhead/electrode 52 and are excited into a plasma proximate the bottom side 53 of showerhead/electrode 52.
  • an RF plasma may be excited with RF energy as low as 450 KHz and as high as 13.56 MHz and the invention does not seem to be particularly frequency sensitive.
  • Fig. 2 the unique use of showerhead/electrode 52 in very close proximity to substrate 48 produces a concentrated plasma with a large density of useful gas radicals and ions proximate the substrate surface 49.
  • a rotation rate of 0 rpm although not drastically affecting the deposition rate, lowers the uniformity of the reactant and plasma gas flow and the subsequent deposition.
  • a substrate rotation rate between 0 and 2,000 rpm might be utilized with the deposition configuration utilizing an RF showerhead/electrode.
  • a susceptor rotation rate of approximately 100 rpm has proven to be sufficient for deposition. While it is preferable to utilize only radicals in the upstream plasma generation methods, both radicals and ions are present during the deposition using RF showerhead/electrode 52. That is, both ions and radicals supply energy to the surface reaction. While it is generally not desirable to use only ions due to their tendency to stick to contact and via surfaces and produce non-conformal films, some ion bombardment of the substrate 48 is beneficial because it supplies additional energy to the growing film layer on the surface 49 of the substrate 48. However, too much ion bombardment of substrate 48 may damage the integrated circuit devices of the substrate 48 and may lead to poor film conformality. Therefore, the deposition parameters and showerhead spacing are chosen as illustrated herein to achieve a useful mixture of radicals and ions. As discussed above, for the configuration of Fig. 2, the spacing is under 1 inch and preferably approximately 20mm.
  • the reactant gases such as TiCL
  • the reactant gases are introduced into cylinder 51 through another gas ring 66.
  • the reactant gases travel down the length of cylinder 51 and are also excited by the RF field created by showerhead/electrode 52, as they pass through the openings 62 of showerhead 52.
  • the reactant gas travels to the surface of substrate 48 along with the radicals and ions of the excited plasma.
  • the radicals, ions and excited reactant gas particles react at the surface of substrate 48 to deposit a film such as a titanium-containing film, upon substrate 48.
  • the gas mixture sfreamlines 65 emanating from showerhead 52 are close to the substrate 48 to provide efficient deposition and reduce the amount of gas mixture which bypasses the substrate 48. That is, the boundary layer of gas, which is defined as the volume or space below the gas streamlines 65 which is stagnant or non-moving with respect to the susceptor 46, is very small. Therefore, a large percentage of the radicals, ions and reactant gas particles are being utilized in the surface reaction, and accordingly, the efficiency of the CVD process and the deposition rate are increased.
  • the showerhead/electrode 52 acting as an RF electrode, a more uniform plasma is generated at substrate 48, therefore enhancing the uniformity of radical and ion density at the substrate 48 and the uniformity of the deposited film.
  • the deposition rate reaches a maximum when the rotation rate is matched to the incoming plasma and reactant gas flow, i.e., matched gas flow. Accordingly, it is desirable to achieve matched flow when susceptor 46 rotates.
  • Fig. 2 A discloses an RF showerhead/electrode configuration similar to the configuration of Fig. 2 except in greater detail. Wherever possible similar reference numerals will be utilized between Figs. 2 and 2A.
  • the configuration of Fig. 2 A is similar to a structure disclosed within pending U. S. patent application Serial No. 08/166,745 the disclosure of which is fully incorporated herein by reference.
  • Fig. 2A there is shown in break-away a portion of CVD deposition chamber housing 42, to which is mounted the RF showerhead/electrode apparatus 142 used to practice the low temperature deposition of the present invention.
  • the showerhead/electrode 52 includes an RF line stem 144 mounted thereto.
  • the RF line stem 144 is one of several components making up the RF feedline assembly 58.
  • the RF feedline assembly 58 also acts as a heat pipe to conduct heat away from showerhead/electrode 52 as is also discussed further hereinbelow.
  • line stem 144 is machined concentrically into and is integral with upper surface 146 of showerhead/electrode 52 to increase the RF signal conduction and heat conduction efficiency.
  • RF line 148 comprises line stem 144 and an additional length of tubing 150 welded thereto to achieve the desired overall length of the RF line 148.
  • the weld is represented at 149.
  • showerhead/electrode 52 and integral line stem 144 are made of Nickel-200, while RF line tubing 150 is made of a highly conductive material such as 6061-T6 aluminum.
  • other materials can be used for the RF line 150, such as nickel 200.
  • the RF line 148 is made of aluminum coated with nickel to prevent an RF plasma from forming within said cylinder 51 of the cylinder assembly 50 during the plasma-enhanced CVD reactions of the present invention.
  • the showerhead/electrode is approximately 0.25 inches thick.
  • showerhead/electrode 52 is perforated with a pattern of gas dispersion holes 62 to distribute the reactant and plasma gases evenly during CVD processing.
  • upstanding RF line stem 144 is provided with a circumferential shoulder flange 152 adjacent and parallel to showerhead/electrode 52.
  • the flange 152 is spaced above showerhead/electrode upper surface 146 and permits the gas dispersion hole pattern to extend beneath the shoulder flange 152, thereby minimizing gas flow disturbances.
  • the flange 152 aids in the conduction of the RF energy along line 148 to showerhead/electrode 52, assists in cooling showerhead/electrode 52, and provides mechanical support for ceramic isolator tubes 154, 156.
  • An alternative embodiment of the showerhead electrode configuration eliminates the flange 152 as shown in Fig. 2B.
  • the RF showerhead/electrode apparatus 142 of Fig. 2 A further includes first and second ceramic isolator tubes 154, 156, respectively, which are concentric with and surround at least a portion of RF line 148.
  • ceramic isolator tubes 154, 156 are supported by circumferential shoulder flange 152.
  • Tubes 154, 156 are preferably formed of alumina (99.7% Al 2 O 3 ) which is readily commercially available such as from Coors Ceramics of Golden, Colorado.
  • One function of these isolator tubes 154, 156 is to prevent RF plasma from forming around the RF line 148 during CVD processing by isolating the RF line 148 from the plasma and reactant gases in the cylinder assembly 50.
  • the isolator tubes 154, 156 operate to prevent the formation of such a plasma inside of the cylinder assembly 50. Additionally, and as described more fully below, the isolator tubes 154, 156 aid in preventing electrical shorting between gas distributor cover 158 (which is at ground potential) and RF line 148 at the location where RF line 148 passes through gas distributor cover 158. Gas distributor cover 158 is mounted to housing 42 by means of a plurality of screws 150. As shown in the Fig.
  • gas injection rings or halos such as rings 54, 66 (shown in phantom) are located slightly below gas distributor cover 158 and supply the CVD reaction and plasma gases to the inside of cylinder assembly 50.
  • Gas injection rings 54, 66 may be two of a plurality of concentric rings for introducing numerous reactant gases.
  • a seal prevents vacuum leaks at the location where RF line 148 passes through gas distributor cover 158. This is accomplished by means of a shaft seal and a flange seal.
  • a ceramic seal plate 160 is pressed downwardly by two stainless steel clamps 162.
  • Clamps 162 are biased against distributor cover 158 by spring washer/screw assemblies 164 to obtain a predetermined downward force on the seal components to insure proper sealing, to accommodate tolerance stacks in the seal components, and to take up dimensional changes due to thermal expansion which may occur during CVD processing.
  • Seal plate 160 presses downwardly on a stainless steel ferrule 166 which in turn presses down on an O-ring 168 seated in ceramic seal body 170. The downward force exerted by clamps 162 on seal plate 160 also forces seal body 170 downwardly against gas distributor cover 158, which compresses the O-ring 172 located between seal body 170 and gas distributor cover 158.
  • seal body 170 has a downwardly extending annular flange 174 which surrounds RF line 148 over the entire length of it which passes through gas distributor cover 158.
  • the lower end 176 of annular flange 174 extends downwardly to a point where it meets ceramic isolator tube 154.
  • the outer ceramic isolator tube 156 extends further upward than isolator tube 154, such that there is no direct line between gas distributor cover 158 and RF line 148. This prevents arcing when the RF line 148 is used to power showerhead/electrode 52.
  • the RF line 148 also functions as a heat pipe structure. With respect to heat pipe structures, such devices are known per se, and in the present invention, the heat pipe structure is used to carry off heat from the showerhead/electrode 52 generated by radiant energy from the heated susceptor 46, as well as by the RF energy applied to the showerhead/electrode.
  • the center space 178 of RF line 148 is provided with a felt or other suitable capillary wicking material liner (not shown). Space 178 is sealed with a liquid (e.g., acetone) therein under its own vapor pressure that enters the pores of the capillary material wetting all internal surfaces of RF line 148.
  • the liquid at that point boils and enters a vapor state.
  • the liquid in the wicking material picks up the latent heat of vaporization and the vapor, which then is at a higher pressure, moves inside the sealed pipe to a cooler location where it condenses and re-enters the liner.
  • the vapor gives up its latent heat of vaporization and moves heat from the "input" to the "output" end of the heat pipe structure.
  • heat may be moved along a heat pipe at a rate of approximately 500 mph.
  • the "input" end of the heat pipe structure is the end which is affixed to showerhead/electrode 52.
  • the “output” end is the upper end shown in the Fig. 2A which has a liquid-cooling jacket 180 sealed around it. The seal is effected by O- ring shaft seals 182 and 183.
  • Cooling jacket 180 is preferably a polymeric material and is provided with TEFLON compression fittings 184 and 185 which connect TEFLON tubing 186 to cooling jacket 180.
  • RF line 148 also includes a cap 188 which is welded in place and has a fill tube 190 for filling the internal space 178 with the desired fluid.
  • a suitable commercially available heat pipe may be obtained from Thermocore Inc., of Lancaster, PA.
  • an aluminum cylinder 51 is utilized to vary the showerhead/electrode substrate spacing(s).
  • showerhead/electrode 52 is fastened to cylinder 51 by means of screws 192, which are preferably made of a material that does not corrode in the presence of an RF plasma.
  • screws 192 are preferably made of a material that does not corrode in the presence of an RF plasma.
  • One such material is Hastelloy C-22, which is a trade name of Hanes International, of Kokomo, IN. Suitable screws made of this material are available from Pinnacle Mfg. of Tempe, AZ.
  • Quartz ring 60 electrically isolates showerhead/electrode 52 from aluminum cylinder 51.
  • a suitable quality quartz for ring 60 is Quartz TO8-E available from Hereaus Amersil in Tempe, Arizona.
  • Screws 192 which are at ground potential, are isolated from the showerhead/electrode 52 by two interlocking ceramic isolator sleeves 194 and 196. Quartz is used for isolator ring 60 because of its significant resistance to thermal shock. This can be important since the RF showerhead/electrode 52 below quartz ring 60 becomes heated to a higher temperature, and more rapidly than aluminum cylinder 51 above quartz ring 60, thus inducing thermal shock and stress in ring 60. Screws 198, which may be made of the same material as screws 192, are utilized to affix aluminum cylinder 51 to housing 42. As discussed above, various length cylinders 51 might be utilized to vary the showerhead/electrode-to-substrate spacing. It is preferable that the length of cylinder 51 be chosen to position showerhead/electrode 52 within 1 inch of susceptor 46.
  • RF energy is conducted to showerhead/electrode 52 by RF feedline assembly 58 comprising stem 144 and tube 150.
  • Isolator tubes 154, 156 are needed to electrically isolate and prevent arcing between tubing 150 and any parts of the metal housing 42, including distributor cover 158.
  • the apparatus includes a seal around tubing 150 at the location where it passes through distributor cover 158, as described hereinabove and shown in Fig. 2A.
  • RF energy is supplied through a shielded RF supplying cable 200 which is connected to an RF power source 57 (not shown in Fig. 2 A) and has a UHF connector 202 at one end. Connector 202 mates with another UHF connector 204, which in turn is coupled via a length of 12 gauge wire 206 to a stainless steel shaft collar 208 mounted at the upper end of RF line 148. With this arrangement there is minimal resistance to the flow of RF current. The segment of RF line 148 which is exposed above shaft collar 208 is isolated from the grounded metal shielding 210 by a polymer cap 212. The apparatus is believed to be capable of delivering 250-300 watts of RF power at 450 KHz to 13.56 MHz.
  • Fig. 2B shows an alternative embodiment of the RF showerhead/electrode configuration utilized to practice the present invention.
  • CVD apparatus 220 of Fig. 2B operates similarly to the apparatuses shown in
  • Figs. 2 and 2A that is, an RF showerhead/electrode 222 is biased by an RF feedline assembly 224 while plasma and reactant gases are pumped through a cylinder assembly 226 to a substrate 228 on susceptor 230.
  • Fig. 2B eliminates the metal cylinder 51 and insulator ring 60 of
  • Fig. 2B utilizes a housing, such as one similar to housing 42 of Fig. 2, which includes a housing cover 232 and includes an RF supply assembly 234, a heat pipe assembly 236 with cooling jacket 237 and associated fluid supply lines and a gas distributor cover 239 with a sealing assembly 241 all generally similar to the respective components of Fig. 2.
  • the cylinder assembly 226 does not include a metal cylinder 51 and insulator ring 60 as shown in Fig. 2. Rather, a cylinder 238 made of an insulating material such as quartz surrounds the RF feed line assembly 224. Cylinder 238 is preferably formulated out of a high quality quartz such as Quartz T08-E available from Hereaus Amersil, as mentioned above. Quartz cylinder 238 is supported by a nickel showerhead/electrode 222, made of a conductive metal such as Nickel-200, without the use of screws or other fasteners that are utilized within the embodiments of Figs. 2 and 2A. Specifically, a stepped bore 240 is formed within housing cover 232 to receive an upper end 242 of cylinder 238.
  • O-rings 243, 244 are placed at the interface between stepped bore 240 and cylinder 238 to form a seal at the interface.
  • annular notch 248 is formed in cylinder 238 to receive a peripheral edge 250 of the showerhead/electrode 222.
  • the notch 248 of cylinder 238 rests upon the peripheral edge 250 of showerhead/electrode 222.
  • showerhead/electrode 222 includes a stem 252 which is attached to RF line tubing 254, such as by a weld at 255, to form a unitary RF line 256.
  • RF line 256 is frictionally held and supported at its top end by collar 258 similar to collar 208 of Fig. 2A.
  • the RF line supports showerhead/electrode 222 above susceptor 230.
  • showerhead/electrode 222 supports the cylinder 238 within the cylinder assembly 226 by abutting against cylinder 238 at notch 248 and holding it in bore 240.
  • the interface between showerhead/electrode peripheral edge 250 and cylinder notch 248 is sealed by a compressed O-ring 258 which is compressed between shelf 248 and a similar corresponding annular notch 260 formed in peripheral edge 250 of the showerhead/electrode 222.
  • a plurality of gas halos or rings 262, 264 introduce the necessary plasma and reactant gases into cylinder 238.
  • showerhead/electrode 222 has also been modified.
  • showerhead/electrode 222 includes a stem 252 without a flange. Instead, a slight ridge 266 is formed around stem 252, and as shown in Fig. 2A, ridge 266 supports a generally circular ceramic tray 268 which is formed from a ceramic material, such as alumina (99.7% Al 2 O 3 ), similar to the ceramic isolator sleeves 154, 156 shown in Fig. 2A.
  • Ceramic tray 268 is supported by ridge 266, and in turn, supports isolator sleeves 270, 271.
  • Isolator sleeves 270, 271 are also preferably made of a ceramic insulator material similar to that used for sleeves 154, 156 of Fig. 2A.
  • the holes of showerhead/electrode 22 are approximately 1/32 (0.0313) inches in diameter to prevent the formation of a plasma inside cylinder 238 and to confine the plasma generally below the showerhead/electrode 222 and above the susceptor 230.
  • the embodiment of Fig. 2B utilizes quartz cylinder 238 and eliminates the metal attachment screws proximate showerhead/electrode 222 which helps to prevent the formation of a plasma within cylinder 238 and to prevent arcing between the RF line 256 and showerhead/electrode 222 and any of the surrounding metal.
  • SUBSTITUTE SHEET (RULE 25) 272 may be placed atop gas distributor cover 239 to prevent contact by an operator, because the gas distributor cover 239 becomes very hot during operation.
  • a layer of titanium nitride was deposited upon a substrate wafer at approximately a temperature of 400° C. This is substantially lower than the substrate temperature which is ordinarily required for thermal CVD processes to take place, which may be well over 1,000°C.
  • a layer of titanium nitride was deposited using ammonia gas (NH 3 ) and nitrogen gas (N ⁇ with the parameters listed below and the results shown in Table 1.
  • the configuration of the present invention utilizes plasma gas flows between 500 and 5,000 seem (50 to 500 seem for NH 3 ) while a reactant gas flow, such as TiCl 4 , between .5 and 10 seem is desired.
  • the reaction space 44 should be evacuated between .5 to 10 Torr.
  • TiCL (seem) 10 NH 3 (seem) 500 N 2 (seem) 500 RF Power (watts) 250 @ 450 KHz
  • Wafers 1-3 were silicon, while wafers 4-6 were thermal oxide wafers having a thin layer of silicon dioxide on the surface. This was done to ensure that the process of the present invention may be utilized in a broad range of CVD applications for both silicon wafers and oxide wafers.
  • Each of the substrate wafers of Table 1 were also given an RF plasma ammonia (NH 3 ) anneal in the apparatus of Fig. 2 at 250 Watts for approximately 120 seconds with a gas concentration of 5,000 seem of NH 3 at a pressure of 5 Torr. The rotation rate of the susceptor during the anneal was approximately 100 rpm.
  • the NH 3 RF plasma improves the film quality of the deposited TiN film as discussed further hereinbelow.
  • the RF plasma electrode/showerhead configuration in accordance with the principles of the present invention, may be utilized to deposit a titanium nitride (TiN) layer on a substrate utilizing both nitrogen gas (Nz) and hydrogen gas
  • Wafers 1 and 2 of Table No. 2 were silicon, while the remaining wafers 3-10 were thermal oxide.
  • Wafers 6-10 received a 250 Watt RF plasma anneal for 120 seconds at an NH 3 gas rate of 5,000 seem, at an internal pressure of 3 Torr (wafer 6 was done at 5 Torr), and a susceptor rotation rate of 100 rpm.
  • Table No. 3 illustrates the results of deposition runs utilizing a substrate temperature of 450°C, but mamtaining the same gas and deposition parameters as were used in the deposition runs of Table No. 2.
  • Wafer 1 and 2 were silicon while wafers 3-8 were thermal oxide.
  • the results are as follows with wafers 6-8 of Table No. 3 receiving a 120 second RF plasma ammonia anneal at 5000 seem, 5 Torr and a 100 rpm rotation rate with a power level of 250 Watts. TABLE NO. 3
  • Wafer 1 was silicon and wafers 2-7 were thermal oxide.
  • Wafers 1-4 in Table No. 4 were not annealed, while wafers 5-7 were annealed using a similar RF plasma NH 3 anneal process and the parameters used for the deposition runs referenced in Table No. 3.
  • titanium nitride may be deposited with the present invention, it may also be desirable to deposit simply a layer of pure titanium.
  • a titanium layer might be deposited upon a silicon wafer which then reacts with the titanium to form a film of titanium suicide (TiSi ⁇ .
  • the present invention may also be used to deposit a layer of titanium.
  • Table No. 6 sets forth the results and parameters of a deposition run which resulted in a deposited film of approximately 84% titanium on a thermal oxide wafer at 650°C. This was an excellent result for such low temperature chemical vapor deposition.
  • the deposition run of Table 6 was performed according to the following deposition parameters, with the RF showerhead/electrode configuration of Fig. 2.
  • Deposition time (sec) 120 (wafer 7 for 180 sec)
  • Wafers 1-3 and 7-9 of Table 7 were silicon while the remaining wafers were thermal oxide. None of the wafers of Table No. 7 received an RF plasma anneal of NH 3 .
  • TiN titanium nitride
  • NH 3 ammonia gas
  • a mixture of H 2 and N 2 gases is used.
  • Low temperature deposition of titanium nitride using TiCL,, N 2 and H 2 is desirable because it reduces contaminants within the reaction chamber that are formed by the chemical reactions of TiCL, and NH 3 . More specifically, TiCL, reacts with NH 3 at temperatures below 120°C to form a yellow powdery adduct, and to prevent the adduct from fo ⁇ riing it was necessary in the past to heat the reaction chamber walls to at least 150°C.
  • Table 11 shows additional runs made with the increased H 2 flow and increased deposition pressure. Deposition Parameters for Table No.11
  • Deposition time (sec) 300 (wafers 9-12 600 seconds)
  • the change in deposition pressure from 1 Torr to 5 Torr produced a more stable and symmetric plasma. Additionally, the increased hydrogen flow with the addition of a small flow of argon increased the stability of the plasma flow as well as the plasma intensity. An argon flow of 0-10 slm is preferable.
  • Wafers 1-2 were silicon, while wafers 3-10 were thermal oxide.
  • Wafers 11 and 12 were borophospho-silicate glass, available from Thin Films, Inc. of Freemont, California. None of the wafers of either Table 10 or 11 were annealed with a NH 3 plasma anneal.
  • Wafers 11 and 12 had field oxide (silicon oxide) top layers, patterened with silicon contacts (i.e., vias through the field oxide to a lower silicon layer).
  • Selective deposition was observed in wafer number 11 after processing in the manner described above.
  • Fig. 6 shows deposition at the bottoms of silicon contacts (vias), but no deposition onto the oxide field.
  • Selective deposition has been repeated and independently verified using the identified parameters.
  • a selective deposition process can be used in place of multiple process steps to form vias.
  • Selective deposition may be a result of different nucleation times for silicon and silicon oxide — nucleation occurs rapidly on silicon, but only after approximately 30 seconds on silicon oxide. Although the process applied to wafer 11 ran for longer than the normal 30 second nucleation time of silicon oxide, nucleation apparently did not occur over silicon oxide, possibily due to an instability in the plasma. High process pressures appear to be important for producing the selective effect.
  • Table 12 shows additional deposition runs at a susceptor temperature of 450°C. Deposition Parameters for Table No. 12
  • Wafers 1-4 were silicon, wafer 5 was thermal oxide while wafers 6 and 7 were an aluminum alloy containing aluminum silicon and copper.
  • Runs 6 and 7 of Table 12 illustrate the viability of depositing a titanium-containing film on aluminum using the present invention.
  • the deposition runs of Table 12 utilized a lower flow of reactant gas than the runs of Table 11, i.e., 5 seem of TiCL,.
  • Table 13 The deposition runs of Table 13 were made at further reduced TiCL, flow rates. All of the wafers of Table 13 were thermal oxide. None of the wafers of Table 12 or 13 were annealed with an NH, RF anneal.
  • Deposition time (sec) 300 wafers 1 and 2 at 180 and 240, respectively.
  • Titanium films have ben deposited utilizing the parameters and apparatuses discussed above at rates ranging from 30 A/min. measured by mass gain and by wave dispersive X-ray fluorescence (WDXRF). It has been found that the deposition rate is directly proportional to the deposition temperature and to the TiCL, partial pressure. Film resistivity increases from 120 to 150 ⁇ -cm as the deposition temperature is decreased from 550° C to 450° C. Titanium films deposited at 550°C onto thermally grown oxide were analyzed by Rutherford Back
  • RBS Scatter Spectroscopy
  • AES Auger Electron Spectroscopy
  • Films were also deposited at 550° C onto non-deglazed silicon substrates. These films were analyzed by RBS and found to have formed a silicide during the deposition process. No post deposition anneal had been performed. The stoichiometry of the in-situ silicided titanium is TiSi 2 but 0.5 % chloride was detected. AES depth profiling confirmed the stoichiometry of the in-situ silicide, as well as the bulk chlorine content of 0.5%. The AES profiles indicate a low level of oxygen in the silicide, but there is no evidence of an oxygen peak at the silicon/TiSi 2 interface. This indicates that the native oxide has been removed by the CVD-Ti process.
  • Titanium films were deposited at 550° C onto patterned borophospho-silicate glass (BPSG) in order to observe film conformality. All contacts were I ⁇ m to 0.35 ⁇ m (aspect ratios varied from 1.0 to 2.9). The titanium films were found to be conformal for all aspect ratios. Film thicknesses of up to 1500 A were deposited and cross sections were observed by a scanning electron microscope (SEM). There was no evidence of overhang formation at the contact openings. Overhang formation is a fundamental problem with line of sight deposition processes such as sputtering. This problem has been well documented for both conventional and collimated sputtering, and the conformal nature of the CVD-Ti process represents a significant advantage over sputtering technology.
  • SEM scanning electron microscope
  • a comparison of the electrical properties obtained with CVD-Ti and sputtered-Ti was made using the electrical test structures described above. Contact resistance measurements were made using Kelvin structures with contact sizes which varied from 0.35 ⁇ m to 0.60 ⁇ m. In order to deposit 100 A of titanium at the bottoms of the 0.35 ⁇ m contacts, 900 A of sputtered-Ti was deposited compared to 200 A of CVD-Ti. The CVD-Ti and sputtered-Ti films provided equivalent contact resistance for all contact sizes. However, the smaller contacts had a much higher probe yield with the CVD-Ti contact layer. For 0.35 ⁇ m contacts the yield for the CVD-Ti contact layer was double that of the sputtered-Ti layer.
  • the improvement in yield indicates that the CVD-Ti process provides more uniform and repeatable results over the surface of the wafer, and suggests that the process may overcome minor contact to contact variations that are created by the contact etch and contact cleaning processes. This assertion is supported by the AES results reported above which showed that no residual native oxide was detected at the silicon/TiSi 2 interface after CVD-Ti and in-situ silicidation.
  • titanium films have been deposited by chemical vapor deposition at temperatures of 450° C to 550° C.
  • the titanium is fully converted to TiSi 2 during the deposition process for depositions onto silicon surfaces.
  • Depositions were conformal with no evidence of titanium overhangs at contact openings.
  • Contact resistance and junction leakage measurements indicate that the CVD-Ti process provides equivalent electrical performance to sputtered-Ti for low aspect ratio features.
  • the CVD-Ti process provides superior contact resistance and yield.
  • the improvement in electrical performance is due to the conformal nature of the CVD-Ti, the removal of the residual native oxide from the contact bottom, and formation of a uniform TiSi 2 layer at the contact bottom.
  • FIG. 3 shows another embodiment of a deposition chamber with an upstream RF plasma source which might be utilized to generate the necessary radicals for an upstream plasma low temperature PECVD process utilizing a rotating susceptor as discussed and disclosed hereinabove with respect to the upstream plasma generation utilized by the configuration of Fig. 1.
  • a deposition chamber 280 is attached to an RF plasma source 282.
  • a suitable source is a commercially available RF source available from Prototech Research, Inc. , of Tempe, Arizona.
  • RF plasma source 282 includes a housing 284 which forms a plasma generating region 286 therein.
  • the plasma gases to be excited, such as H 2 , N 2 , and/or NH 3 are introduced through gas input lines 287, 288 and gas rings 289, 290, respectively.
  • the plasma gases are excited by an RF field generated by RF coil 292 which is connected to an RF source 294.
  • RF energy of, for example, approximately 13.56 MHz is delivered to the gases within region 286 to create a gas plasma containing free electrons, ions and radicals of the plasma gas.
  • gas particles combine until preferably an abundance of radicals remain.
  • the radicals are drawn down through a deposition region 296.
  • the reactant gases, such as TiCL, are introduced into the deposition region 296 by a vertically adjustable gas showerhead 298, and the reactant gases and activated radicals are drawn down to substrate 300 by rotating susceptor 302 and combine to form a film layer on substrate 300.
  • a film such as a titanium-containing film, may be deposited at substantially lower temperatures than achieved with traditional thermal CVD processes.

Abstract

To deposit a film on a substrate (22) by plasma-enhanced chemical vapor deposition at temperatures substantially lower than conventional thermal CVD temperatures, a substrate is placed within a reaction chamber (12) and a first gas is excited upstream of the substrate to generate activated radicals of the first gas. A second gas is supplied proximate the substrate to mix with the activated radicals of the first gas and the mixture produces a surface reaction at the substrate to deposit a film. Rotation of the substrate draws the gas mixture down to the substrate surface in a laminar flow (29) to reduce recirculation and radical recombination. Another method utilizes a gas-dispersing showerhead (298) that is biased with RF energy to form an electrode which generates activated radicals and ions in a concentrated plasma close to the substrate surface.

Description

Method and apparatus for producing thin films
FIELD OF THE INVENTION
This invention relates generally to plasma-enhanced chemical vapor deposition (PECVD) for applying a film coating to a substrate, and more specifically to PECVD conducted at a low effective deposition temperature at the substrate surface. Even more specifically, the invention relates to deposition of titamum-containing films using low temperature CVD.
BACKGROUND OF THE INVENTION
In the formation of integrated circuits (IC's), thin films containing metal and metalloid elements are often deposited upon the surface of a substrate, such as a semiconductor wafer. Thin films are deposited to provide conductive and ohmic contacts in the circuits and between the various devices of an IC. For example, a desired thin film might be applied to the exposed surface of a contact or via hole on a semiconductor wafer, with the film passing through the insulative layers on the wafer to provide plugs of conductive material for the purpose of making interconnections across the insulating layers.
One well known process for depositing thin metal films is chemical vapor deposition (CVD) in which a thin film is deposited using chemical reactions between various deposition or reactant gases at the surface of the substrate. In CVD, reactant gases are pumped into proximity to a substrate inside a reaction chamber, and the gases subsequently react at the substrate surface resulting in one or more reaction by-products which form a film on the substrate surface. Any by¬ products remaining after the deposition are removed from the chamber. While CVD is a useful technique for depositing films, many of the traditional CVD processes are basically thermal processes and require temperatures in excess of 1000°C in order to obtain the necessary reactions. Such a deposition temperature is often far too high to be practically useful in IC fabrication due to the effects that high temperatures have on various other aspects and layers of the electrical devices making up the IC.
Particularly, certain aspects of IC components are degraded by exposure to the high temperatures normally related to traditional thermal CVD processes. For example, at the device level of an IC, there are shallow diffusions of semiconductor dopants which form the junctions of the electrical devices within the IC. The dopants are often initially diffused using heat during a diffusion step, and therefore, the dopants will continue to diffuse when the IC is subjected to a high temperature during CVD. Such further diffusion is undesirable because it causes the junction of the device to shift, and thus alters the resulting electrical characteristics of the IC. Therefore, for certain IC devices, exposing the substrate to processing temperatures of above 800°C is avoided, and the upper temperature limit may be as low as 650°C for other more temperature sensitive devices.
Furthermore, such temperature limitations may become even more severe if thermal CVD is performed after metal interconnection or wiring has been applied to the IC. For example, many IC's utilize aluminum as an interconnection metal. However, various undesirable voids and extrusions occur in aluminum when it is subjected to high processing temperatures. Therefore, once interconnecting aluminum has been deposited onto an IC, the maximum temperature to which it can be exposed is approximately 500°C, and the preferred upper temperature limit is 400°C. Therefore, as may be appreciated, it is desirable during CVD processes to maintain low deposition temperatures whenever possible.
Consequently, the upper temperature limit to which a substrate must be exposed precludes the use of some traditional thermal CVD processes which might otherwise be very useful in fabricating IC's. A good example of one such useful process is the chemical vapor deposition of titanium. Titanium is typically used to provide ohmic contact between the silicon contacts of an IC device and a metal interconnection. Titanium may be deposited from TiBr4, TiC-4 or Til, by using CVD methods such as unimolecular pyrolysis or hydrogen reduction. However, the temperatures necessary for these thermal processes are in excess of 1000°C, and such a deposition temperature is much to high to be practically useful in IC fabrication. Therefore, the deposition of titanium and titanium-containing films presents a problem in formation of integrated circuits. There are low temperature physical techniques available for depositing titanium on temperature sensitive substrates. Sputtering is one such technique involving the use of a target of layer material and an ionized plasma.
To sputter deposit a film, the target is electrically biased and ions from the plasma are attracted to the target to bombard the target and dislodge target material particles. The particles then deposit themselves cumulatively as a film upon the substrate. Titanium may be sputtered, for example, over a silicon substrate after various contacts or via openings are cut into a level of the substrate. The substrate might then be heated to about 800°C to allow the silicon and titanium to alloy and form a layer of titanium silicide (TiSy. After the deposition of the titanium layer, the excess titanium is etched away from the top surface of the substrate leaving TiSi2 at the bottom of each contact or via. A metal interconnection is then deposited directly over the TiSi2.
While physical sputtering provides deposition of a titanium film at a lower temperature, sputtering processes have various drawbacks. Sputtering normally yields very poor step coverage. Step coverage is defined as the ratio of film thickness on the bottom of a contact on a substrate wafer to the film thickness on the sides of the contact or the top surface of the substrate. Consequently, to sputter deposit a predetermined amount of titanium at the bottom of a contact or via, a larger amount of the sputtered titanium must be deposited on the top surface of the substrate or the sides of the contact. For example, in order to deposit a
200 A film at the bottom of a contact using sputtering, a 600A to lOOOA film layer may have to be deposited onto the top surface of the substrate or the sides of the contact. Since the excess titanium has to be etched away, sputtering is wasteful and costly when depositing layers containing titanium.
Furthermore, the step coverage of the contact with sputtering techniques decreases as the aspect ratio of the contact or via increases. The aspect ratio of a contact is defined as the ratio of contact depth to the width of the contact. Therefore, a thicker sputtered film must be deposited on the top or sides of a contact that is narrow and deep (high aspect ratio) in order to obtain a particular film thickness at the bottom of the contact than would be necessary with a shallow and wide contact (low aspect ratio). In other words, for smaller device dimensions in an IC, corresponding to high aspect ratio contacts and vias, sputtering is even more inefficient and wasteful. The decreased step coverage during sputter deposition over smaller devices results in an increased amount of titanium that must be deposited, thus increasing the amount of titanium applied and etched away, increasing the titanium deposition time, and increasing the etching time that is necessary to remove excess titanium. Accordingly, as IC device geometries continue to shrink and aspect ratios increase, deposition of titanium- containing layers by sputtering becomes very costly.
On the other hand, using a CVD process for depositing a titanium- containing film layer may be accomplished with nearly 100% step coverage. That is, the film thickness at the bottom of the contact would approximately equal the thickness on the top surface almost regardless of the aspect ratio of the contact or via being filled. However, as discussed above, the temperatures necessary for such CVD processes are too high and would degrade other aspects of the IC. Consequently, it would be desirable to achieve titanium CVD at a temperature less than 800°C, and preferably less than 650°C. Further, it is generally desirable to reduce the deposition temperature for any CVD process which is utilized to deposit a film in IC fabrication.
One approach which has been utilized in CVD processes to lower the reaction temperature is to ionize one or more of the reactant gases. Such a technique is generally referred to as plasma enhanced chemical vapor deposition (PECVD). While it has been possible with such an approach to somewhat lower the deposition temperatures, the high sticking coefficient of the ionized plasma particles degrades the step coverage of the film. That is, ions of the reactant gases are highly reactive and have a tendency to contact and stick to the walls of the vias or contacts in the substrate. The ion particles do not migrate downwardly to the bottom surface of the contact where the coating is desired but rather non-conformally coat the sides of the contact. This results in increased material usage, deposition times and etch times. Therefore, PECVD using ionized reactant gases has not been a completely adequate solution to lowering traditional high CVD temperatures and achieving good step coverage and film informality.
Additionally, when using a CVD process to apply a film, it is desirable to uniformly deposit the film. To do so, such as to apply a uniform film of tungsten (W), for example, a uniform supply of reactant gases must be supplied across the surface of the substrate and the spent gases and reaction by-products should be removed from the surface being coated. In this respect, prior art CVD processes have again performed with limited success. Specifically, in known CVD processes, turbulence in the flow of reaction gases inhibits the efficiency and uniformity of the coating process and aggravates the deposition and migration of contaminants within the reaction chamber. In tungsten CVD processes, tungsten hexafluoride (WF6) is employed as a reactant gas. Tungsten hexafluoride is very costly and thus, when reactant gas utilization efficiency is low, as it is in prior art CVD processes, the overall process costs are significantly increased. Accordingly, there is a need for CVD processes which have improved gas flow and reduced gas flow turbulence to more efficiently and more uniformly supply reaction gases to and remove reaction by-products from the surfaces of the substrate being coated.
Therefore, CVD processes which may be accomplished at lower effective temperatures are desired. It is further desirable to have a low temperature deposition which provides good step coverage. It is still further desirable to have a PECVD process which produces uniform film thickness and effective utilization of reactant gases. Accordingly, the present invention addresses these objectives and the shortcomings of the various CVD and PECVD processes currently available. Further, the present invention, particularly addresses the difficulties associated with depositing titanium and titanium- containing films using CVD. Summary nf the Invention
The CVD apparatuses and methods of the present invention overcome or obviate the high temperature and gas flow drawbacks associated with many of the currently available thermal CVD and PECVD apparatuses and processes. Specifically, the present invention achieves deposition of a titanium- containing film at a substantially lower temperature as compared to traditional thermal CVD processes. Further, in doing so, the invention does not compromise the conformality of the resulting film layer, and makes efficient use of the activated and reactant gases while reducing gas turbulence at the substrate surface.
The low temperature deposition of the present invention is accomplished in two alternative methods. The first method utilizes the upstream, remote generation of a plasma. The plasma is pumped down to a substrate by a rotating susceptor and is extinguished as it travels to the substrate, so that predominantly activated gas radicals are present. The gas radicals combine with unexcited reactant gases to deposit a film layer on the substrate by CVD techniques. The pumping of the rotating susceptor minimizes gas particle recirculations and collisions to yield a useful percentage of radicals.
The second method utilizes an RF showerhead design which yields a concentrated plasma very close to the substrate surface. All of the gases, both plasma and reactant gases, are passed through the RF showerhead electrode and are excited. Since the susceptor acts as another electrode, the RF showerhead and the susceptor form a parallel plate electrode configuration. With the RF electrode method, the plasma gases utilized in the chemical vapor deposition at the substrate contains a mixture of both ions and radicals which contribute energy to the surface reaction.
More specifically, one CVD process of the present invention utilizes a plasma source to generate, upstream of a substrate wafer, a gas plasma containing various excited particles of the gas, including charged ions and excited, charge-neutral radicals, as well as free electrons. The excited particles of the plasma gas, and predominantly the excited radical particles are brought to the surface before they have had a chance to combine to form neutral molecules. The excited radicals chemically react with one or more reactant gases to form a thin film on a substrate. The excited radicals supply energy to the surface reaction such that CVD may be used in accordance with the principles of the present invention at temperatures substantially lower than those required with traditional CVD methods.
To prevent the particle sticking and the reduced layer conformality associated with traditional PECVD using ionized particles, the upstream method of the present invention utilizes predominantly charge-neutral, activated radicals at the substrate surface which yield conformal, uniform films. However, the lifetime of such activated gas radicals is short as they seek to recombine into a low energy, stable molecular structure. As mentioned above, the present invention provides efficient use of the activated gas radicals by bringing the radicals to the substrate surface before a significant number of them are able to recombine to form the original, stable gas molecules. For efficient delivery of the radicals, the present invention utilizes a rotating susceptor which supports and rotates the substrate and creates a downward pumping action in the direction of the substrate. The rotating susceptor pumps the radicals to the substrate surface.
A reactant gas or gases are introduced into the deposition region above the substrate surface to mix with the activated gas radicals. The downward pumping action of the rotating susceptor simultaneously draws the mixture of radicals and reactant gases toward the substrate surface. At the substrate surface, the mixture of radicals and reactant gases flows radially outward from the center of the substrate in a substantially uniform laminar flow pattern and the excited radicals react with the reactant gas particles in a surface reaction which results in a film layer being deposited upon the substrate surface.
The activated radicals supply energy to the surface reaction and thereby reduce the required energy, such as thermal energy, that is necessary for the chemical reaction to take place at the substrate surface. Therefore, the deposition takes place at a substantially lower temperature than the temperature required by traditional CVD processes. For example, the deposition of a titanium- containing layer using the present invention may be accomplished at 600 °C or below versus 1000°C for some traditional thermal CVD processes.
The unique pumping action and laminar flow of gases created by the rotatmg susceptor ensures a useful density of radicals at the substrate surface. For example, by using a gas flow of between 500 to 50,000 seem (standard cubic centimeters per minute), a susceptor rotation-rate of 0 to 1,000 rpm, a reaction chamber pressure between .5 and 10 Torr, and a reactant gas flow rate between 1 to 20 seem, the present invention has yielded thin films from CVD techniques at temperatures below 650°C. The upstream plasma may be excited using either an RF signal or a microwave signal. Accordingly, the invention has been found to yield desirable results when the plasma is excited at frequencies as high as 2.54 GHz and as low as 13.56 kHz.
The laminar pattern created by the rotating susceptor minimizes gas particle recirculations and subsequent radical recombinations at the substrate surface, and therefore, there are more activated radicals available at the substrate surface for the low temperature CVD process. Additionally, in the method of the present invention, increasing the rotation rate of the susceptor increases the deposition rate at the substrate surface. Due to the unique combination of activated radicals and the laminar flow produced by the pumping action of the rotating susceptor, the deposition rate of the present invention increases beyond what might be achieved solely due to the increase in molecular reactants at the substrate surface resulting from an increased pumping action. That is, increasing the rotation rate of the susceptor accomplishes more than merely drawing reactant gases toward the substrate at a higher rate; it further minimizes recombination of radicals thus providing more available radicals at the substrate surface. This enhancement in the delivery of radicals to the substrate surface is an important advancement in PECVD processes. It allows the majority of the radicals formed upstream or remotely from the substrate to be carried to the substrate surface so that they take place in the surface deposition reaction without a large amount of radical recombination loss. This enhancement and the subsequent increased energy at the surface reaction, in turn, allows the reaction to take place at even lower deposition temperatures.
For the RF electrode plasma generation method of the present invention, the plasma gas is delivered proximate the surface of the substrate utilizing a gas-dispersing showerhead which is biased with RF energy to act as an electrode. A susceptor supporting a substrate acts as another parallel electrode. The RF showerhead/electrode generates a concentrated plasma very close to the surface of the substrate while a gas delivery cylinder attached to the showerhead ensures uniform gas flow to the plasma. The proximity of the plasma to the substrate ensures an ample density of activated radicals and ions for the surface reaction. That is, a combination of both gas radicals and gas ions is utilized in the RF showerhead/electrode method. Utilizing the showerhead/electrode, a spacing of less than 1" between the generated plasma and the substrate is possible yielding desirable CVD films. Furthermore, the RF showerhead/electrode method keeps the plasma concentrated below the showerhead and close to the substrate for efficient deposition. The RF showerhead has been utilized at RF frequencies from
13.56 MHz to as low as 450 KHz.
While the present invention may be utilized with a number of different plasma gases and reactant gases, the invention has been found to be particularly useful for depositing titanium-containing films, such as pure titanium (Ti), titanium nitride (TiN) and/or titanium suicide (TiSij) films onto a substrate utilizing plasma containing radicals and ions of hydrogen and nitrogen and/or disassociated titanium tetrachloride (TiC-4) and ammonia (NH3). A diluent such as argon might be mixed with the plasma gas. Further, different plasma gases besides H2, N2 and NH3 might be used in accordance with the principles of the present invention to supply radicals and ions to the surface reaction according to the present invention.
In a specific embodiment, the invention has been found useful for depositing titanium films over aluminum layers on a substrate. Deposition temperatures in accordance with the invention are low enough that the aluminum layer is not damaged by reflow during the deposition.
In another specific embodiment, the invention has been found useful for producing selective deposition of titanium over a substrate having a field oxide (silicon oxide) layer patterned with vias into a lower silicon layer. Under certain conditions, it has been found that titanium deposits only on the silicon layers in the vias without sigificant deposition on the field oxide.
In accordance with various hardware embodiments of the invention, the plasma may be created using energy from various energy sources including microwave and radio frequency (RF) sources. One hardware embodiment utilizes a showerhead/electrode which is biased with RF energy to create a plasma. One possible upstream plasma embodiment utilizes a commercially available plasma source with an RF coil surrounding a plasma region. Still another embodiment utilizes an upstream microwave plasma source which remotely excites a plasma with microwave energy. The remote plasma is then pumped along a tube whereby activated radicals are formed. After exiting the tube and entering the deposition chamber, the radicals are mixed with reactant gases and drawn to the substrate surface by the rotating susceptor.
The invention and the particular advantages and features of the present invention will now be described in detail below with reference to the accompanying drawings. Brief Description of the Drawings
Fig. 1 is a side view and partial cross-section of one embodiment of an upstream plasma-enhanced deposition chamber used to practice the methods of the present invention using microwave energy.
Fig. IA is a view of an alternative embodiment of an upstream plasma-enhanced deposition chamber using microwave energy. Fig. 2 is a side view and partial cross-section of one embodiment of a deposition chamber used to practice the methods of the present invention using an RF showerhead/electrode.
Fig. 2 A is a more detailed view of the configuration of Fig. 2.
Fig. 2B is an alternative embodiment of the configuration of Fig. 2.
Fig. 3 is a side view and partial cross-section of a second embodiment of an upstream plasma-enhanced deposition chamber using RF energy.
Figs. 4A and 4B are Arrhenius function graphs of the necessary activation energy for deposition with and without the upstream activated radicals of the present invention, respectively.
Fig. 5 are graphs of deposition rate increase as a function of rotation rate increase with and without the upstream activated radicals, respectively.
Fig. 6 is a photomicrograph showing selective deposition of titanium films onto vias patterned in a silicon oxide layer overlying a silicon substrate. Detailed Description of the Invention
The present invention includes both methods and apparatuses for accomplishing low temperature CVD utilizing activated gas radicals and/or activated gas radicals and ions. Proper use of the activated ions and radicals, and a resultant low temperature CVD method, requires a useful density of radicals and/or ions at the substrate surface. A rotating susceptor is used in accordance with the present invention which rotates a substrate inside of a deposition chamber and draws activated gas radicals down to the surface of the substrate. The radicals and reactant gases take part in a surface reaction on the substrate to deposit a film. The activated, charge-neutral radicals and charged ions contribute energy to the surface reaction such that the film is deposited upon the substrate surface in a chemical vapor technique at substantially lower temperatures than are possible with thermal CVD techniques. Also, because the ions and radicals are activated by the plasma, less thermal energy is required to complete the surface reaction.
Preferably, in the upstream plasma generation, predominantly radicals are present at the substrate surface to participate in the low temperature surface reaction. The laminar gas flow created by the susceptor reduces collisions and the subsequent recombinations of the activated radicals into stable molecules so that a useful density of the radicals are delivered to the substrate surface to take place in the surface reaction and subsequent film formation. With the RF showerhead/electrode method, the plasma may be generated very close to the substrate, as discussed further hereinbelow, thus enhancing the efficient use of the activated ions and radicals. The present invention yields a CVD technique that may be accomplished at very low temperatures compared with the traditional thermal CVD techniques thus making it practical for integrated circuit fabrication requiring low deposition temperatures. Furthermore, the inventive method achieves improved step coverage and film conformality over sputter deposition techniques and other CVD techniques. The invention may be utilized to deposit various different films by a low temperature CVD; however, it is particularly useful in depositing titanium-containing films such as titanium nitride (TiN) at low temperatures and especially pure titanium metal. Fig. 1 shows one embodiment of an upstream plasma source with a rotating susceptor for practicing the upstream plasma-enhanced CVD of the present invention. The embodiment of Fig. 1 utilizes a microwave plasma source for generating an upstream reactant gas plasma from which the necessary activated radicals are drawn. A reactor 5 includes a chamber housing 10 enclosing a reaction space 12. The housing 10 may be controllably vacuumed to a desired internal deposition pressure for practicing the invention. Plasma gases to be excited, such as, for example, hydrogen gas (Hj), nitrogen gas (Nj), and/or ammonia (NH3) are introduced into space 12 through a quartz tube 14. Plasma tube 14 is L-shaped and has a long portion 16 which extends generally horizontally until it reaches a 90° bend 15. After the 90° bend 15, a small straight section 18 extends vertically downward and has an outlet end 19 which opens into space 12.
Housing 10 also contains a rotating susceptor 20 which rotates on a shaft 21 coupled to a motor (not shown), such that the speed of the rotation may be adjusted. Susceptor 20 supports a substrate 22 in the reaction space 10. A temperature control device (not shown) is coupled to susceptor 20 which is used to heat substrate 22 to the desired temperature. An example of a suitable reactor, including a rotating susceptor, for practicing the methods of the invention is the
Rotating Disk Reactor available from Materials Research Corporation (MRC) of
Phoenix, Arizona.
A microwave energy source 24 is coupled to plasma tube 14 through a microwave waveguide 26. The waveguide 26 propagates microwave energy 27 from source 24 to tube 14 to define an excitation region 28 within the tube 14. Plasma gases are introduced into tube 14 at end 13 and travel along the length of the tube 14 passing through region 28, wherein the microwave energy 22 is absorbed by the gases to excite the gases to form a plasma. The plasma generated in tube 14 contains various activated particles including ions and activated, charge-neutral radicals. For example, if hydrogen gas (H^ is introduced into tube 14, a hydrogen plasma containing free electrons (e), hydrogen ions (H+) and charge-neutral, activated hydrogen radicals (H*) is produced, while nitrogen gas (Nj) yields electrons, nitrogen ions (N) and activated radicals (N*). Ammonia gas (NH3) might also be utilized to produce radicals of hydrogen H* and nitrogen N*. However, as discussed in greater detail below, NH3 reacts with some reactant gases, such as (TiCL,), to form an undesired adduct. Therefore, preferably pure H2 and/or N2 are excited and utilized to achieve low temperature CVD.
Utilizing hydrogen (H^ as the plasma gas, generation of the plasma results in generation of radicals H* as well as ionization as follows:
H2 → 2H+ + 2e" (ionization) (EQ1)
As the excited gas plasma travels along the horizontal section of tube 34, recombination occurs according to equation 2 below as the plasma is extinguished, and additional hydrogen radicals H* are created through a combination of hydrogen ions and free electrons.
H+ + e" → H* (recombination) (EQ2)
As time progresses, a second recombination may occur according to equation 3. The second recombination yields inactive, stable hydrogen gas molecules which will not contribute reaction energy to the surface reaction. Therefore, it is important to deliver the activated radicals to a surface 23 of substrate 22 before they recombine.
H* + H* → H2 (EQ3)
The hydrogen radicals H* and any other remaining gas particles of the plasma travel around the 90° bend 15 of the tube 14 and are drawn downwardly along vertical section 18 and out into the reaction space 12 through outlet 19 by the rotation of susceptor 20. Rotating susceptor 20 generates a downward pumping action in the direction of substrate 22. The pumping action creates a laminar flow of gases over the wafer surface 23 as illustrated by arrows 29.
Preferably, susceptor 20 is operated to achieve matched gas flow conditions. In a matched gas flow, the rate of gas flow in a downward direction indicated by Q-1 equals the rate of gas flow in a horizontal direction designated by Q-2. When these two gas flow rates are equal, matched flow occurs. An additional discussion of matched flow is disclosed in the pending application entitled "A Method For Chemical Vapor Deposition Of Titanium-Nitride Films At Low Temperatures", Serial No. 08/131,900, filed October 5, 1993, which application is incorporated herein by reference.
For an efficient CVD reaction according to the principles of the present invention, it is desirable that the plasma gas reaching the substrate 22 contain a large percentage of radicals, and preferably 80% or more activated radicals by composition. Such a high radical composition requires drawing the plasma gas down to the substrate 22 with minimal recombinations. Maximum utilization of radicals is accomplished by the laminar flow created by the rotating susceptor 20. It has been determined through experimentation that the laminar flow pattern of the susceptor 20 minimizes the recirculations of the gas reactants and particularly minimizes recirculation of the activated gas radicals at the substrate surface 23. The minimized recirculation, in turn, minimizes gas phase collisions of the activated radicals, and hence, reduces the rate at which the radicals recombine to form stable molecules. That is, the amount of recombination of H* into H2 according to equation 3 above is reduced. As a result, there is a greater density of useful activated radicals available at the substrate surface 23 to supply energy to the chemical surface reaction and to reduce the thermal energy required in the chemical vapor deposition of the film. Thereby, the present invention effectively reduces the deposition temperature.
When gas radicals are introduced into space 12, the reactant gases are introduced such as through a vertically adjustable showerhead 30 shown in Fig. 1. For example, to deposit a titanium-containing film, a titanium tetrahalide gas such as titanium tetrachloride (TiCL,), titanium tetrabromide (TiBr4), or titanium tetraiodide (Til,), and preferably TiCL,, is introduced. For a pure titanium layer, H2 might be excited into a plasma and TiCl4 might be introduced into the reaction space 12. A mixture of H* and TiCL, might then occur in space 12 generally above susceptor 20 and substrate 22. The pumping action of susceptor 20 would draw the mixture down to substrate surface 23 in a laminar flow and the activated H* and TiCl4 should react at surface 23 to deposit a thin film on the substrate 22. Hydrogen radicals H* should supply energy to the surface reaction according to equation 4.
4H* + TiCL, → Ti + 4HC1 (EQ4) The reaction should yield a film of titanium (Ti) upon the substrate surface 23 and hydrochloric acid (HCl) which might be removed through the appropriate exhaust port 32. The energy contributed to the reaction of equation 4 by the activated radicals should achieve a CVD film at reduced deposition temperatures.
While the example of the invention described hereinabove might yield a layer of pure titanium upon the substrate 22, various other material layers might also be deposited according to the principles of the present invention containing titanium or containing other desirable elements. For example, titanium nitride (Ti ) might be deposited by introducing hydrogen (H2) and nitrogen (N^) into the plasma-generating tube 14 to yield H* and N* radicals. Further, ammonia gas (NH3) may be excited and disassociated into a plasma containing H* and N* radicals. Similar to the recombination of the hydrogen gas plasma particles, the N* radicals will eventually combine into nitrogen molecules (Nz) unless quickly drawn down to the surface of the substrate 23. As a further example, titanium suicide (TiSi2) might also be deposited according to the principles of the present invention. In such a case, silane gas (SiH,) might be introduced with the titanium-containing gas (e.g. TiCL,) into the reaction space 12.
Additionally, tungsten (W) may be deposited using the apparatus of Fig. 1 and the method described. Examples of chemical reactions for producing titanium nitride and titanium suicide are given below in equations 5 and 6, respectively.
TiCL, + N* + 4H* → TiN + 4HC1 (EQ5)
TiCL. + 2SiH, + 4H* → TiSi2 + 4HC1 + 4H2 (EQ6) The microwave plasma deposition apparatus of Fig. 1 was used to deposit a layer of tungsten and several tests were made to determine the viability of the method. Hydrogen was passed through quartz tube 14. An excited plasma was ignited in the vicinity of region 28 and travelled downstream through tube 14 into reaction space 12. As the plasma travelled along quartz tube 14, it was extinguished downstream of the microwave excitation region 28 indicating that recombination of the excited plasma particles had occurred, such as according to equation 2 above to yield additional hydrogen radicals. The hydrogen radicals were subsequently drawn down to substrate surface 23 by rotating susceptor 20. Simultaneously, tungsten hexafluoride (WF6) was introduced through a gas port 29. A deposition reaction occurred according to Equation 7, below, to deposit a layer of tungsten onto substrate 22.
WF6 + 6H* → W + 6HF (EQ7)
To verify that hydrogen radicals were actually reaching the substrate surface 23 and contributing to the CVD process, an activation energy comparison was made. Specifically, the tungsten deposition rate was measured as a function of substrate temperature. The measurements were made both with the microwave power turned off and no plasma and with the microwave power turned on to create a plasma and hydrogen radicals. The data measured is shown plotted in Figs. 4A and 4B as a logorithmic Arrhenius function, i.e. , plotted as In (k) versus 1/T, where k is the reaction rate constant and T is absolute temperature. The process and deposition parameters for both the non-plasma and plasma depositions illustrated by Figs. 4A and 4B, respectively, were as follows:
H2 rate = 2,000 seem
WF6 rate = 225 seem
Pressure = 4 Torr
Rotation rate of susceptor = 30 RPM
A Microwave Power = 900 Watts
From the experiments, and the resulting Arrhenius functions, the activation energy, E„ was calculated. For the thermal process, that is, with the microwave power turned off, E. = 67.1 kJ/mole-degree K. However, when the microwave power was turned on to create a plasma, the activation energy necessary for the deposition process was only E, = 63.2 kJ/mole-degree K. The decrease in activation energy E, between the plasma and non-plasma deposition processes, indicates that activated hydrogen radicals are reaching the substrate surface and participating in the surface reaction according to the principles of the present invention. The decreased activation energy necessary when utilizing the activated radicals results in a decrease in the deposition temperature necessary for the CVD process. As discussed above, a lower deposition temperature is desirable for integrated circuit fabrication of temperature-sensitive circuits requiring deposition temperatures below 650°C.
The deposition rate of tungsten was also plotted as a function of the susceptor rotation rate or substrate rotation rate. Fig. 5 illustrates that the deposition rate for the thermal process increased with increasing rotation rate as expected. This is due to the fact that the molecular reactants are being pumped to the rotating substrate surface at a higher rate. However, for the upstream radical- assisted process of the present invention, the deposition rate increased much more dramatically as the rotation rate increased. That is, there is an effect beyond the basic pumping of reactants caused by the rotating substrate which produces the increased deposition rate. With the upstream plasma method of the present invention, it was determined that the laminar gas flow pattern provided by the rotating susceptor minimizes the gas phase collisions, and thus reduces the rate at which the necessary activated hydrogen radicals H* recombine to form hydrogen molecules H2. The efficient delivery of radicals to the substrate surface in the upstream method of the present invention is an important advancement in plasma- enhanced CVD. A majority of the activated radicals are carried to the substrate surface to take place in the surface deposition reaction. Therefore, not only do the activated radicals contribute energy and lower the deposition temperature, but also the high density of radicals delivered to the substrate by the laminar gas flow of susceptor 20 further reduces the deposition temperature below the impractically high temperatures of thermal CVD techniques.
Fig. IA shows an alternative CVD configuration which utilizes an upstream microwave source to generate activated gas radicals. A reactor 100 includes a chamber housing 102 enclosing reaction space 104. Like reactor 5 of Fig. 1, the housing may be controllably vacuumed to a desired internal deposition pressure. Plasma gases are introduced into a vertical quartz tube 106. A microwave wave guide structure 108 is coupled to quartz tube 106. Wave guide structure 108 includes a horizontal section 110 which includes a microwave source 112. An angled waveguide section 114 connects horizontal section 110 to a vertical waveguide section 116. Quartz tube 106 extends through an opening (not shown) in the angled section 114 and extends through section 114 and vertical section 116 whereupon it extends through a top cover plate 15 of housing 102. Quartz tube 106 extends through plate 118 and terminates at an outlet end 120 above a gas dispersing showerhead 122. Showerhead 122 is attached to a quartz insulator ring 124 which connects the showerhead 122 to the cover 115 of reactor housing 102. Also disposed above showerhead 122 and adjacent the outlet end 120 of quartz tube 106 is a reactant gas halo or dispersion ring 128 which has a plurality of openings for dispersing reactant gas. A source line 130 is connected to ring 128 for delivering a reactant gas such as TiCL, to the ring 128.
The microwave source 112 within wave guide section 110 may be a magnetron or any other suitable source which generates energy at microwave frequencies. For example, a coaxial waveguide adapter (not shown) might be attached onto one end of horizontal waveguide section 110 to generate the necessary microwave energy.
The upstream microwave plasma source and reactor 100 of Fig. IA operates somewhat similarly to reactor 5 in Fig. 1. That is, a plasma gas such as hydrogen, nitrogen and/or ammonia is introduced into quartz tube 106 and travels along the quartz tube 106 and through the microwave waveguide structure 108 such that the gases are excited into a plasma within a section or area of tube 106. A rotating susceptor 132 supports a substrate 134 below showerhead 122 and halo 128. Similar to the rotating susceptor of Fig. 1, susceptor 132 is coupled to a temperature control device (not shown) which heats substrate 134 to a desired temperature. Furthermore, susceptor 132 is coupled by shaft 134 to a motor (not shown) such that the rotation of susceptor 132 may be set as desired. The rotating susceptor pumps the activated radicals from end 120 of quartz tube 106 and from reactant gas from ring 128 through showerhead 122 to react and deposit a film layer onto substrate 134. Preferably, the majority of activated plasma particles reaching substrate 134 are activated radicals which contribute energy to the surface reaction to achieve low temperature CVD. The remaining non-utilized gases are exhausted through an exhaust port 138.
While the laminar gas flow of a rotating susceptor in combination with an upstream plasma source yields desirable radical densities, a method of low temperature CVD of titanium has also been achieved using a gas dispersing showerhead biased as an RF electrode in order to generate a plasma of ions and radicals close to the substrate such that both ions and radicals contribute to the low temperature surface reactions. Accordingly, Fig. 2 shows a preferred embodiment of a CVD reactor for achieving low temperature deposition using activated radicals and ions in accordance with the principles of the present invention. Referring to Fig. 2, the reactor 40 includes a deposition chamber housing 42 and housing cover 43 which defines a reaction space 44. Housing 42 also encloses a rotating susceptor 46 which supports a substrate 48 in space 44. Similar to the reactor of Fig. 1, reactor 40 may be selectively evacuated to various different internal pressures, while susceptor 46 is coupled to adjustable heat and rotational controls for heating and rotating substrate 48 at various temperatures and speeds, respectively.
Extending downwardly from the top of housing 42 is a cylinder assembly 50 which is attached to a showerhead 52. Showerhead 52 is suspended above substrate 48. The gases to be excited into a plasma are introduced through a gas injection ring 54 into cylinder assembly 50 through a plurality of ring holes 56. Ring 54 is connected to a plasma gas supply by line 55. Showerhead 52 is coupled to an RF power source 57 by feedline assembly 58 which extends through cylinder assembly 50 to showerhead 52. Cylinder assembly includes a cylinder 51, and insulator ring 60 which separates cylinder 51 and showerhead 52 for reasons discussed hereinbelow. In one embodiment of the reactor 40, cylinder 51 is electrically grounded. The RF energy biases showerhead/electrode 52 so that it acts as an electrode and has an associated RF field. Showerhead/electrode 52 is preferably approximately 0.25 inches thick and contains approximately 300-600 dispersion holes 62. The gases introduced through plasma gas injection ring 54 flow downwardly in cylinder 51. The RF field created by the biased showerhead/electrode 52 excites the gases so that a plasma is created below the lower surface 53 of showerhead/electrode 52. Preferably, the showerhead dispersion holes 62 are dimensioned somewhat smaller than the gas dispersion holes of traditional gas showerheads to prevent creation of a plasma in the holes 62 which results in deposition in the holes and subsequent bombardment of the substrate 48. Furthermore, the smaller holes 62 of the showerhead 52 prevent formation of a plasma above showerhead 52 inside of cylinder 51 thus concentrating the plasma below showerhead/electrode 52 and close to substrate 48. The showerhead holes 62, in a preferred embodiment, are dimensioned to be approximately 1/32 of an inch wide. Cylinder 51 preferably has the same diameter as showerhead/electrode 52 to spread the plasma and reactant gases over the entire showerhead 52.
The reactant gases, such as TiCL, are introduced through a ring 66 which is generally concentric with ring 54 and is connected to a reactant gas source by line 64. The gas flow from injector rings 54 and 66 develops within the length of the cylinder 51 as the gases travel to the showerhead/electrode 52.
Utilizing the rotating susceptor 46, the cylinder 51, and showerhead/electrode 52, it is preferable for the velocity profile of the incoming plasma gases passing through showerhead 52 to be fully developed before it reaches the rotating substrate 48. The showerhead/electrode 52 is spaced between 0.25 to 4 inches from the substrate 48 to ensure that the plasma is close to the substrate 48.
Preferably, the spacing is under 1 inch and in a preferred embodiment is approximately 20 millimeters. As the gases pass through the showerhead/electrode
52, the pressure drop across the showerhead/electrode 52 flattens out the velocity profile of the gases. That is, the gas tends to have the same velocity at the center of the showerhead/electrode 52 as around the periphery. This is desirable for uniform deposition of a film on substrate surface 49. The plasma gases pass through showerhead/electrode 52 and are excited into a plasma proximate the bottom side 53 of showerhead/electrode 52. As mentioned above, it has been found that an RF plasma may be excited with RF energy as low as 450 KHz and as high as 13.56 MHz and the invention does not seem to be particularly frequency sensitive.
If susceptor 46 is rotated with the deposition configuration of Fig.
2, the pumping effect of the rotating susceptor 46 takes place below the showerhead/electrode 52. In the embodiment of the present invention as shown in
Fig. 2, the unique use of showerhead/electrode 52 in very close proximity to substrate 48 produces a concentrated plasma with a large density of useful gas radicals and ions proximate the substrate surface 49. With the RF showerhead/electrode configuration of Fig. 2, it has been discovered that there does not seem to be a noticable enhancement gained in rotating the susceptor 46 faster than approximately 100 rpm. It was also found, however, that a rotation rate of 0 rpm, although not drastically affecting the deposition rate, lowers the uniformity of the reactant and plasma gas flow and the subsequent deposition. Generally, a substrate rotation rate between 0 and 2,000 rpm might be utilized with the deposition configuration utilizing an RF showerhead/electrode.
As illustrated further hereinbelow, a susceptor rotation rate of approximately 100 rpm has proven to be sufficient for deposition. While it is preferable to utilize only radicals in the upstream plasma generation methods, both radicals and ions are present during the deposition using RF showerhead/electrode 52. That is, both ions and radicals supply energy to the surface reaction. While it is generally not desirable to use only ions due to their tendency to stick to contact and via surfaces and produce non-conformal films, some ion bombardment of the substrate 48 is beneficial because it supplies additional energy to the growing film layer on the surface 49 of the substrate 48. However, too much ion bombardment of substrate 48 may damage the integrated circuit devices of the substrate 48 and may lead to poor film conformality. Therefore, the deposition parameters and showerhead spacing are chosen as illustrated herein to achieve a useful mixture of radicals and ions. As discussed above, for the configuration of Fig. 2, the spacing is under 1 inch and preferably approximately 20mm.
The reactant gases, such as TiCL,, are introduced into cylinder 51 through another gas ring 66. The reactant gases travel down the length of cylinder 51 and are also excited by the RF field created by showerhead/electrode 52, as they pass through the openings 62 of showerhead 52. The reactant gas travels to the surface of substrate 48 along with the radicals and ions of the excited plasma. The radicals, ions and excited reactant gas particles react at the surface of substrate 48 to deposit a film such as a titanium-containing film, upon substrate 48.
Because of the close spacing of the showerhead/electrode 52 from substrate 48 in combination with cylinder 51, the gas mixture sfreamlines 65 emanating from showerhead 52 are close to the substrate 48 to provide efficient deposition and reduce the amount of gas mixture which bypasses the substrate 48. That is, the boundary layer of gas, which is defined as the volume or space below the gas streamlines 65 which is stagnant or non-moving with respect to the susceptor 46, is very small. Therefore, a large percentage of the radicals, ions and reactant gas particles are being utilized in the surface reaction, and accordingly, the efficiency of the CVD process and the deposition rate are increased.
With the showerhead/electrode 52 acting as an RF electrode, a more uniform plasma is generated at substrate 48, therefore enhancing the uniformity of radical and ion density at the substrate 48 and the uniformity of the deposited film. In the RF showerhead/electrode configurations of Figs. 2, 2A and 2B the deposition rate reaches a maximum when the rotation rate is matched to the incoming plasma and reactant gas flow, i.e., matched gas flow. Accordingly, it is desirable to achieve matched flow when susceptor 46 rotates.
Fig. 2 A discloses an RF showerhead/electrode configuration similar to the configuration of Fig. 2 except in greater detail. Wherever possible similar reference numerals will be utilized between Figs. 2 and 2A. The configuration of Fig. 2 A is similar to a structure disclosed within pending U. S. patent application Serial No. 08/166,745 the disclosure of which is fully incorporated herein by reference.
In Fig. 2A, there is shown in break-away a portion of CVD deposition chamber housing 42, to which is mounted the RF showerhead/electrode apparatus 142 used to practice the low temperature deposition of the present invention. It will be appreciated by persons skilled in the art that certain features to be described may pertain to one or more, but less than all, embodiments of the invention. In Fig. 2A, the showerhead/electrode 52 includes an RF line stem 144 mounted thereto. As will be discussed in further detail, the RF line stem 144 is one of several components making up the RF feedline assembly 58. The RF feedline assembly 58 also acts as a heat pipe to conduct heat away from showerhead/electrode 52 as is also discussed further hereinbelow. Preferably, line stem 144 is machined concentrically into and is integral with upper surface 146 of showerhead/electrode 52 to increase the RF signal conduction and heat conduction efficiency. RF line 148 comprises line stem 144 and an additional length of tubing 150 welded thereto to achieve the desired overall length of the RF line 148. The weld is represented at 149. Preferably, showerhead/electrode 52 and integral line stem 144 are made of Nickel-200, while RF line tubing 150 is made of a highly conductive material such as 6061-T6 aluminum. However, it will be appreciated by persons skilled in the art that other materials can be used for the RF line 150, such as nickel 200. In one embodiment, the RF line 148 is made of aluminum coated with nickel to prevent an RF plasma from forming within said cylinder 51 of the cylinder assembly 50 during the plasma-enhanced CVD reactions of the present invention. Preferably, the showerhead/electrode is approximately 0.25 inches thick.
Showerhead/electrode 52 is perforated with a pattern of gas dispersion holes 62 to distribute the reactant and plasma gases evenly during CVD processing. As shown in Fig. 2A, upstanding RF line stem 144 is provided with a circumferential shoulder flange 152 adjacent and parallel to showerhead/electrode 52. The flange 152 is spaced above showerhead/electrode upper surface 146 and permits the gas dispersion hole pattern to extend beneath the shoulder flange 152, thereby minimizing gas flow disturbances. Furthermore, the flange 152 aids in the conduction of the RF energy along line 148 to showerhead/electrode 52, assists in cooling showerhead/electrode 52, and provides mechanical support for ceramic isolator tubes 154, 156. An alternative embodiment of the showerhead electrode configuration eliminates the flange 152 as shown in Fig. 2B.
The RF showerhead/electrode apparatus 142 of Fig. 2 A further includes first and second ceramic isolator tubes 154, 156, respectively, which are concentric with and surround at least a portion of RF line 148. As shown, ceramic isolator tubes 154, 156 are supported by circumferential shoulder flange 152. Tubes 154, 156 are preferably formed of alumina (99.7% Al2O3) which is readily commercially available such as from Coors Ceramics of Golden, Colorado. One function of these isolator tubes 154, 156 is to prevent RF plasma from forming around the RF line 148 during CVD processing by isolating the RF line 148 from the plasma and reactant gases in the cylinder assembly 50. As may be appreciated, it is desirable to prevent the formation of any plasma within the cylinder assembly 50 in order to concentrate the plasma below showerhead/electrode 52. Therefore, the isolator tubes 154, 156 operate to prevent the formation of such a plasma inside of the cylinder assembly 50. Additionally, and as described more fully below, the isolator tubes 154, 156 aid in preventing electrical shorting between gas distributor cover 158 (which is at ground potential) and RF line 148 at the location where RF line 148 passes through gas distributor cover 158. Gas distributor cover 158 is mounted to housing 42 by means of a plurality of screws 150. As shown in the Fig. 2A, gas injection rings or halos such as rings 54, 66 (shown in phantom) are located slightly below gas distributor cover 158 and supply the CVD reaction and plasma gases to the inside of cylinder assembly 50. Gas injection rings 54, 66 may be two of a plurality of concentric rings for introducing numerous reactant gases. A seal prevents vacuum leaks at the location where RF line 148 passes through gas distributor cover 158. This is accomplished by means of a shaft seal and a flange seal. As shown in the Figure 2A, a ceramic seal plate 160 is pressed downwardly by two stainless steel clamps 162. Clamps 162 are biased against distributor cover 158 by spring washer/screw assemblies 164 to obtain a predetermined downward force on the seal components to insure proper sealing, to accommodate tolerance stacks in the seal components, and to take up dimensional changes due to thermal expansion which may occur during CVD processing. Seal plate 160 presses downwardly on a stainless steel ferrule 166 which in turn presses down on an O-ring 168 seated in ceramic seal body 170. The downward force exerted by clamps 162 on seal plate 160 also forces seal body 170 downwardly against gas distributor cover 158, which compresses the O-ring 172 located between seal body 170 and gas distributor cover 158. It should be noted that seal body 170 has a downwardly extending annular flange 174 which surrounds RF line 148 over the entire length of it which passes through gas distributor cover 158. The lower end 176 of annular flange 174 extends downwardly to a point where it meets ceramic isolator tube 154. As shown, the outer ceramic isolator tube 156 extends further upward than isolator tube 154, such that there is no direct line between gas distributor cover 158 and RF line 148. This prevents arcing when the RF line 148 is used to power showerhead/electrode 52.
The RF line 148 also functions as a heat pipe structure. With respect to heat pipe structures, such devices are known per se, and in the present invention, the heat pipe structure is used to carry off heat from the showerhead/electrode 52 generated by radiant energy from the heated susceptor 46, as well as by the RF energy applied to the showerhead/electrode. The center space 178 of RF line 148 is provided with a felt or other suitable capillary wicking material liner (not shown). Space 178 is sealed with a liquid (e.g., acetone) therein under its own vapor pressure that enters the pores of the capillary material wetting all internal surfaces of RF line 148. By applying heat at any point along the length of the RF line, the liquid at that point boils and enters a vapor state. When that happens, the liquid in the wicking material picks up the latent heat of vaporization and the vapor, which then is at a higher pressure, moves inside the sealed pipe to a cooler location where it condenses and re-enters the liner. Thus, the vapor gives up its latent heat of vaporization and moves heat from the "input" to the "output" end of the heat pipe structure. As a general frame of reference, heat may be moved along a heat pipe at a rate of approximately 500 mph. With reference to the specific configuration utilized in Fig. 2A, the "input" end of the heat pipe structure is the end which is affixed to showerhead/electrode 52. The "output" end is the upper end shown in the Fig. 2A which has a liquid-cooling jacket 180 sealed around it. The seal is effected by O- ring shaft seals 182 and 183. Cooling jacket 180 is preferably a polymeric material and is provided with TEFLON compression fittings 184 and 185 which connect TEFLON tubing 186 to cooling jacket 180. A suitable cooling liquid, such as water, flows through tubing 186 and cooling jacket 180 to carry heat away from RF line 148. This permits direct contact of the cooling liquid with the RF line 148 for efficient conduction of heat from the line 148. Additionally, with this configuration, at no time is the CVD reactor chamber exposed to the possibility of an internal coolant leak, nor is there any corrosive effect on metal tubing by RF carrying liquid. As stated, the fluid which passes through TEFLON tubing 186 and carries the heat away from the RF line 148 may be water, although a variety of fluids can be used depending on the heat to be conducted away from the line 148. RF line 148 also includes a cap 188 which is welded in place and has a fill tube 190 for filling the internal space 178 with the desired fluid. A suitable commercially available heat pipe may be obtained from Thermocore Inc., of Lancaster, PA.
As shown in Fig. 2A, an aluminum cylinder 51 is utilized to vary the showerhead/electrode substrate spacing(s). Showerhead/electrode 52 is fastened to cylinder 51 by means of screws 192, which are preferably made of a material that does not corrode in the presence of an RF plasma. One such material is Hastelloy C-22, which is a trade name of Hanes International, of Kokomo, IN. Suitable screws made of this material are available from Pinnacle Mfg. of Tempe, AZ. Quartz ring 60 electrically isolates showerhead/electrode 52 from aluminum cylinder 51. A suitable quality quartz for ring 60 is Quartz TO8-E available from Hereaus Amersil in Tempe, Arizona. Screws 192, which are at ground potential, are isolated from the showerhead/electrode 52 by two interlocking ceramic isolator sleeves 194 and 196. Quartz is used for isolator ring 60 because of its significant resistance to thermal shock. This can be important since the RF showerhead/electrode 52 below quartz ring 60 becomes heated to a higher temperature, and more rapidly than aluminum cylinder 51 above quartz ring 60, thus inducing thermal shock and stress in ring 60. Screws 198, which may be made of the same material as screws 192, are utilized to affix aluminum cylinder 51 to housing 42. As discussed above, various length cylinders 51 might be utilized to vary the showerhead/electrode-to-substrate spacing. It is preferable that the length of cylinder 51 be chosen to position showerhead/electrode 52 within 1 inch of susceptor 46.
RF energy is conducted to showerhead/electrode 52 by RF feedline assembly 58 comprising stem 144 and tube 150. Isolator tubes 154, 156 are needed to electrically isolate and prevent arcing between tubing 150 and any parts of the metal housing 42, including distributor cover 158. Furthermore, the apparatus includes a seal around tubing 150 at the location where it passes through distributor cover 158, as described hereinabove and shown in Fig. 2A.
RF energy is supplied through a shielded RF supplying cable 200 which is connected to an RF power source 57 (not shown in Fig. 2 A) and has a UHF connector 202 at one end. Connector 202 mates with another UHF connector 204, which in turn is coupled via a length of 12 gauge wire 206 to a stainless steel shaft collar 208 mounted at the upper end of RF line 148. With this arrangement there is minimal resistance to the flow of RF current. The segment of RF line 148 which is exposed above shaft collar 208 is isolated from the grounded metal shielding 210 by a polymer cap 212. The apparatus is believed to be capable of delivering 250-300 watts of RF power at 450 KHz to 13.56 MHz.
Fig. 2B shows an alternative embodiment of the RF showerhead/electrode configuration utilized to practice the present invention. The
CVD apparatus 220 of Fig. 2B operates similarly to the apparatuses shown in
Figs. 2 and 2A. That is, an RF showerhead/electrode 222 is biased by an RF feedline assembly 224 while plasma and reactant gases are pumped through a cylinder assembly 226 to a substrate 228 on susceptor 230. However, the embodiment of Fig. 2B eliminates the metal cylinder 51 and insulator ring 60 of
Figs. 2 and 2 A while preventing electrical arcing inside of the cylinder assembly
226 proximate the RF line and preventing the undesired formation of plasma within the cylinder assembly 226 when the showerhead 222 is biased as an electrode. The embodiment of Fig. 2B utilizes a housing, such as one similar to housing 42 of Fig. 2, which includes a housing cover 232 and includes an RF supply assembly 234, a heat pipe assembly 236 with cooling jacket 237 and associated fluid supply lines and a gas distributor cover 239 with a sealing assembly 241 all generally similar to the respective components of Fig. 2.
However, the cylinder assembly 226 does not include a metal cylinder 51 and insulator ring 60 as shown in Fig. 2. Rather, a cylinder 238 made of an insulating material such as quartz surrounds the RF feed line assembly 224. Cylinder 238 is preferably formulated out of a high quality quartz such as Quartz T08-E available from Hereaus Amersil, as mentioned above. Quartz cylinder 238 is supported by a nickel showerhead/electrode 222, made of a conductive metal such as Nickel-200, without the use of screws or other fasteners that are utilized within the embodiments of Figs. 2 and 2A. Specifically, a stepped bore 240 is formed within housing cover 232 to receive an upper end 242 of cylinder 238. O-rings 243, 244 are placed at the interface between stepped bore 240 and cylinder 238 to form a seal at the interface. At the lower end 246 of cylinder 238, an annular notch 248 is formed in cylinder 238 to receive a peripheral edge 250 of the showerhead/electrode 222. The notch 248 of cylinder 238 rests upon the peripheral edge 250 of showerhead/electrode 222. Showerhead/electrode 222 includes a stem 252 which is attached to RF line tubing 254, such as by a weld at 255, to form a unitary RF line 256. RF line 256 is frictionally held and supported at its top end by collar 258 similar to collar 208 of Fig. 2A. The RF line, in turn, supports showerhead/electrode 222 above susceptor 230. Showerhead/electrode 222, in turn, supports the cylinder 238 within the cylinder assembly 226 by abutting against cylinder 238 at notch 248 and holding it in bore 240. The interface between showerhead/electrode peripheral edge 250 and cylinder notch 248 is sealed by a compressed O-ring 258 which is compressed between shelf 248 and a similar corresponding annular notch 260 formed in peripheral edge 250 of the showerhead/electrode 222. Similar to the embodiments of Figs. 2 and 2A, a plurality of gas halos or rings 262, 264 introduce the necessary plasma and reactant gases into cylinder 238. The embodiment of Fig. 2B eliminates the need for metal screws to attach the cylinder 238 to the housing cover 232 and the showerhead/electrode 222 to the cylinder 238. This further reduces the possibility of arcing inside of cylinder 238 because of the reduced metal proximate the biased RF showerhead/electrode 222. Furthermore, it is not necessary to utilize ceramic isolator sleeves at the showerhead peripheral edge 250.
Accordingly, the RF showerhead/electrode 222 has also been modified. Showerhead/electrode 222 includes a stem 252 without a flange. Instead, a slight ridge 266 is formed around stem 252, and as shown in Fig. 2A, ridge 266 supports a generally circular ceramic tray 268 which is formed from a ceramic material, such as alumina (99.7% Al2O3), similar to the ceramic isolator sleeves 154, 156 shown in Fig. 2A. Ceramic tray 268 is supported by ridge 266, and in turn, supports isolator sleeves 270, 271. Isolator sleeves 270, 271 are also preferably made of a ceramic insulator material similar to that used for sleeves 154, 156 of Fig. 2A. As with the embodiments used to practice the present invention which are discussed above, preferably the holes of showerhead/electrode 22 are approximately 1/32 (0.0313) inches in diameter to prevent the formation of a plasma inside cylinder 238 and to confine the plasma generally below the showerhead/electrode 222 and above the susceptor 230. The embodiment of Fig. 2B utilizes quartz cylinder 238 and eliminates the metal attachment screws proximate showerhead/electrode 222 which helps to prevent the formation of a plasma within cylinder 238 and to prevent arcing between the RF line 256 and showerhead/electrode 222 and any of the surrounding metal. A layer of insulation
SUBSTITUTE SHEET (RULE 25) 272 may be placed atop gas distributor cover 239 to prevent contact by an operator, because the gas distributor cover 239 becomes very hot during operation.
Numerous deposition runs have been made utilizing the RF electrode/showerhead configuration of Figs. 2 and 2A to illustrate the viability of the present invention. Specifically, a layer of titanium nitride was deposited upon a substrate wafer at approximately a temperature of 400° C. This is substantially lower than the substrate temperature which is ordinarily required for thermal CVD processes to take place, which may be well over 1,000°C. For example, a layer of titanium nitride was deposited using ammonia gas (NH3) and nitrogen gas (N^ with the parameters listed below and the results shown in Table 1. The configuration of the present invention utilizes plasma gas flows between 500 and 5,000 seem (50 to 500 seem for NH3) while a reactant gas flow, such as TiCl4, between .5 and 10 seem is desired. The reaction space 44 should be evacuated between .5 to 10 Torr.
Deposition Parameters for Table No. 1
TiCL, (seem) 10 NH3 (seem) 500 N2 (seem) 500 RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 1 Susceptor Rotation Rate (rpm) 100 Substrate Temp. (°C) 400
TABLE NO. 1
WAFER NO.
Results and Additional 1 2 3 4 5 6 Deposition Parameters
TiN layer thickness (A) 800 698 608 545 723 910
Deposition Rate (A/min) 400 348 304 272 241 303
Layer Resistivity (μΩ -cm) 1519 1194 970 940 1021 1284
Deposition Time (sec) 120 120 120 120 180 180
Susceptor Temp (°C) 414 471 457 461 462 475
Wafers 1-3 were silicon, while wafers 4-6 were thermal oxide wafers having a thin layer of silicon dioxide on the surface. This was done to ensure that the process of the present invention may be utilized in a broad range of CVD applications for both silicon wafers and oxide wafers. Each of the substrate wafers of Table 1 were also given an RF plasma ammonia (NH3) anneal in the apparatus of Fig. 2 at 250 Watts for approximately 120 seconds with a gas concentration of 5,000 seem of NH3 at a pressure of 5 Torr. The rotation rate of the susceptor during the anneal was approximately 100 rpm. The NH3 RF plasma improves the film quality of the deposited TiN film as discussed further hereinbelow. The RF plasma electrode/showerhead configuration, in accordance with the principles of the present invention, may be utilized to deposit a titanium nitride (TiN) layer on a substrate utilizing both nitrogen gas (Nz) and hydrogen gas
(H2) instead of ammonia gas (NH3). The various film results and deposition parameters for the H2 and N2 low temperature deposition of TiN are given below in Table Nos. 2, 3, 4 and 5, at increasing deposition temperatures for increasing table numbers.
Deposition Parameters for Table No. 2
TiCL, (seem) 10
H2 (seem) 500
N2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 1
Susceptor Rotation Rate (rpm) 100
Substrate Temp. (C°) 400 Deposition Time (seconds) 180
TABLE NO. 2
Results and TiN layer Deposition Layer Susceptor
Additional thickness (A) Rate (A/min) Resistivity Temp (°C)
Parameters (μΩ -cm)
WAFER NO.
1 825 275 1530 470
2 1023 341 26864 480
3 1221 407 4118 488
4 1262 421 3108 470
5 1227 409 855 470
6 1224 408 4478 460
7 1141 380 3982 460
8 1348 449 4658 460
9 1400 487 3449 460
10 1106 389 4501 460 Wafers 1 and 2 of Table No. 2 were silicon, while the remaining wafers 3-10 were thermal oxide. Wafers 6-10 received a 250 Watt RF plasma anneal for 120 seconds at an NH3 gas rate of 5,000 seem, at an internal pressure of 3 Torr (wafer 6 was done at 5 Torr), and a susceptor rotation rate of 100 rpm.
Table No. 3 illustrates the results of deposition runs utilizing a substrate temperature of 450°C, but mamtaining the same gas and deposition parameters as were used in the deposition runs of Table No. 2. Wafer 1 and 2 were silicon while wafers 3-8 were thermal oxide. The results are as follows with wafers 6-8 of Table No. 3 receiving a 120 second RF plasma ammonia anneal at 5000 seem, 5 Torr and a 100 rpm rotation rate with a power level of 250 Watts. TABLE NO. 3
WAFER NO.
Results and 1 2 3 4 5 6 7 8
Additional
Parameters
TiN layer 996 1009 1064 1488 1562 1444 1381 1306 thickness
(A)
Deposition 332 336 355 496 521 481 454 435
Rate
(A/min)
Layer 640 607 666 815 821 7121 5812 6363 Resistivity (μΩ -cm)
Susceptor 518 519 521 524 521 522 524 523 Temp (°C) The low temperature TiN deposition was repeated with the substrate temperature at 500°C and the results are tabulated according to Table No. 4 below.
Wafer 1 was silicon and wafers 2-7 were thermal oxide.
TABLE NO. 4
WAFER NO.
Results and 1 2 3 4 5 6 7
Additional
Parameters
TiN layer 990 1086 1034 1092 1004 1001 1004 thickness
(A)
Deposition 330 362 345 364 335 334 335
Rate
(A/min)
Layer 578 687 700 786 1892 1840 1886 Resistivity (μΩ -cm)
Susceptor 579 590 597 595 591 593 594 Temp (°C)
Wafers 1-4 in Table No. 4 were not annealed, while wafers 5-7 were annealed using a similar RF plasma NH3 anneal process and the parameters used for the deposition runs referenced in Table No. 3.
Similarly with a substrate temperature of 600°C, the CVD process of the present invention was used to deposit TiN with the results shown in Table No. 5 below, with wafers 1 and 2 being silicon and wafers 3-8 being thermal oxide. TABLE Q. S
WAFER NO.
Results and 1 2 3 4 5 6 7 8
Additional
Parameters
TiN layer 657 822 740 768 767 765 773 910 thickness
(A)
Deposition 219 274 247 263 256 255 258 303
Rate
(A/min)
Layer 391 254 432 543 471 949 973 2710 Resistivity (μΩ -cm)
Susceptor 650 650 650 650 650 650 650 650 Temp (°C)
Again, an RF plasma NH3 anneal was performed on substrate wafers 6-8 of Table No. 5 similar to the anneal step of tables 3 and 4 except at a pressure of 1 Torr instead of 5 Torr. Therefore, the deposition of TiN using the low temperature CVD process of the present invention may be accomplished at various temperatures lower than the temperatures necessary for traditional thermal CVD.
While titanium nitride may be deposited with the present invention, it may also be desirable to deposit simply a layer of pure titanium. For example, a titanium layer might be deposited upon a silicon wafer which then reacts with the titanium to form a film of titanium suicide (TiSi^. To this end, the present invention may also be used to deposit a layer of titanium.
Table No. 6 below sets forth the results and parameters of a deposition run which resulted in a deposited film of approximately 84% titanium on a thermal oxide wafer at 650°C. This was an excellent result for such low temperature chemical vapor deposition. The deposition run of Table 6 was performed according to the following deposition parameters, with the RF showerhead/electrode configuration of Fig. 2.
Deposition Parameters for Table No. 6
TiCL, (seem) 10
H2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 1
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 2700
Substrate Temperature (°C) 565
TABLE NO. 6
WAFER NO.
Results and 1
Additional
Parameters
Ti layer 1983 thickness
(A)
Deposition 44
Rate
(A/min)
Layer 929 Resistivity (μΩ -cm)
Susceptor 651 Temp (°C)
The substrate wafer of Table No. 6 was not annealed. Additional Ti-layer deposition runs were made according to the Table No. 7 parameters below with the following results shown in Table No. 7: Deposition Parameters for Table No. 7
TiCL, (seem) 10
H2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) .85
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 120 (wafer 7 for 180 sec)
Substrate Temperature (°C) 565
Susceptor Temperature (°C) 650
TABLE NO. 7
Results and TiN layer Deposition Rate Layer Resistivity
Additional thickness (A) (A/min) (μΩ-cm)
Parameters
WAFER NO.
1 134.8 67.4 2116.1
2 466.2 233.1 1767.8
3 209.2 104.6 761.8
4 100.8 50.4 —
5 194.04 97.0 —
6 154.98 77.5 —
7 115.92 38.6 1001.4
8 114.7 57.3 371.6
9 152.5 76.2 321.6
10 39.06 19.5 —
11 41.6 20.6 —
12 50.4 25.2 —
Wafers 1-3 and 7-9 of Table 7 were silicon while the remaining wafers were thermal oxide. None of the wafers of Table No. 7 received an RF plasma anneal of NH3.
Since a benefit of chemical vapor deposition of titanium-containing films is improved step coverage and film conformality over the physical deposition techniques, several of the film layers deposited according to the present invention were tested to measure conformality and step coverage. The layers tested for conformality and step coverage were deposited according to the parameters of
Table No. 8 with the results shown in Table No. 8 below. The film conformality and step coverage of the film layers deposited according to the parameters below were very good.
Deposition Parameters for Conformality and Step Coverage Deposition Runs of Table 8
TiCl (seem) 10
H2 (seem) 500
N2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reactor Chamber Pressure (Torr) 1
Susceptor Rotation rate (rpm) 100
Substrate Temperature (°C) 450
Susceptor Temperature (°C) 520
TABLE NO. 8
WAFER NO.
Results and 1 2
Additional
Parameters
TiN layer 586 2423 thickness
(A)
Deposition 362 304
Rate
(A/min)
Layer — — Resistivity (μΩ -cm)
Susceptor 520 520 Temp (°C) None of the wafers used in Table 8 and tested for step coverage were annealed with an RF plasma of NH3.
As illustrated above a layer of titanium nitride (TiN) may be deposited in accordance with the principles of the present invention without utilizing ammonia gas (NH3). Instead, a mixture of H2 and N2 gases is used. Low temperature deposition of titanium nitride using TiCL,, N2 and H2 is desirable because it reduces contaminants within the reaction chamber that are formed by the chemical reactions of TiCL, and NH3. More specifically, TiCL, reacts with NH3 at temperatures below 120°C to form a yellow powdery adduct, and to prevent the adduct from foπriing it was necessary in the past to heat the reaction chamber walls to at least 150°C. Since it is now possible to deposit a layer of titanium nitride at low temperatures using TiCL,, N2, and H2 chemistry instead of NH3, it is no longer necessary to remove a deposited adduct or to heat the reaction chamber walls, thus greatly reducing the cost of CVD systems.
According to the deposition parameters of Table No. 9, a layer of titanium nitride was deposited upon several thermal oxide substrates using a reaction chamber with unheated walls and a gas mixture of H2/N2. After the deposition of the films, the reaction chamber was inspected and there was no evidence of a yellow adduct found. None of the wafers of Table No. 9 were annealed with an RF NH3 anneal. Parameters for Adduct Test of Table No. 9
TiCL, (seem) 10
N2 (seem) 500
H2 (seem) 500
RF Power (watts) 250 <g> 450 KHz
Reaction Chamber Pressure (Torr) 1
Susceptor Rotation rate (rpm) 100
Substrate Temp. (°C) 450
Deposition time (sec) 95
Susceptor Temperature (°C) approximately 520
TABLE NO. 9
Results and TiN layer Deposition Layer Susceptor
Additional thickness (A) Rate (A/min) Resistivity Temp (°C)
Parameters (μΩ -cm)
WAFER NO.
1 94 58 2164 525
2 132 83 2218 523
3 127 80 1377 520
4 143 90 660 520
5 143 90 764 520
6 160 101 905 523
7 162 102 738 521
8 162 102 830 520
9 195 123 689 519
10 204 129 702 523
Further deposition runs were made utilizing the configuration of Fig. 2 wherein the plasma and reactant gas flows were adjusted, as well as the internal deposition pressure of the reaction space 44. For example, the deposition runs shown in Fig. 10 utilized a higher flow rate of H2 and an increased deposition pressure from 1 Torr to 5 Torr. Further, Argon was mixed with the H2 for some of the deposition runs. Parameters for Table 10
TiCL, (seem) 10
H2 (seem) 5,000 (wafers 1-4); 3,750 (wafers 5-9)
Argon (slm) 0.5 (wafers 5-9)
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation rate (rpm) 100
Deposition time (sec) 300 (600 for wafer 9)
Substrate Temp. ('C) 565
Susceptor Temperature (°C) approximately 650
TABLE 10
Results and TiN layer Deposition Rate Layer
Additional thickness (A) (A/min) Resistivity
Parameters (μΩ -cm)
WAFER NO.
1 798 159.0 53.84
2 1076 215.0 32.66
3 43.4 9.1 216.1
4 89.5 17.9 377.1
5 912.2 182.5 89.23
6 1082 216.5 25.7
7 656.5 131.3 212.7
8 577.1 115.4 211.3
9 1302 130.2 170.1
In Table 10, the flow of H2 was increased to 5,000 seem for wafers 1-4 and to 3,750 seem for wafers 5-9. The deposition pressure was increased to 5 Torr. For wafers 5-9, a flow of 0.5 standard liters per minute (slm) of Argon was utilized with the H2 as a diluent. In Table 10, wafers 1-2 and 5-6 were silicon, while wafers 3-4 and 7-9 were thermal oxide.
Table 11 shows additional runs made with the increased H2 flow and increased deposition pressure. Deposition Parameters for Table No.11
TiCL, (seem) 10
H2 (seem) 3,750
Argon (slm) 0.5
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 300 (wafers 9-12 600 seconds)
Substrate Temperature (°C) 565
Susceptor Temperature (°C) 650
TABLE NO. 11
Results and TiN layer Deposition Rate Layer Resistivity
Additional thickness (A) (A/min) (μΩ-cm)
Parameters
WAFER NO.
1 889.6 177.9 54.03
2 399.4 79.9 35.71
3 510.3 102.1 233.4
4 458.6 91.7 274.1
5 466.2 93.2 281.0
6 385.6 77.1 280.1
7 347.8 69.6 545.1
8 263.3 52.7 489.1
9 792.5 79.3 314.1
10 948.8 94.9 203.5
11 749.7 75. —
12 714.4 71.4 —
The change in deposition pressure from 1 Torr to 5 Torr produced a more stable and symmetric plasma. Additionally, the increased hydrogen flow with the addition of a small flow of argon increased the stability of the plasma flow as well as the plasma intensity. An argon flow of 0-10 slm is preferable. Wafers 1-2 were silicon, while wafers 3-10 were thermal oxide. Wafers 11 and 12 were borophospho-silicate glass, available from Thin Films, Inc. of Freemont, California. None of the wafers of either Table 10 or 11 were annealed with a NH3 plasma anneal.
Wafers 11 and 12 had field oxide (silicon oxide) top layers, patterened with silicon contacts (i.e., vias through the field oxide to a lower silicon layer). Selective deposition was observed in wafer number 11 after processing in the manner described above. Fig. 6 shows deposition at the bottoms of silicon contacts (vias), but no deposition onto the oxide field. Selective deposition has been repeated and independently verified using the identified parameters. A selective deposition process can be used in place of multiple process steps to form vias. Selective deposition may be a result of different nucleation times for silicon and silicon oxide — nucleation occurs rapidly on silicon, but only after approximately 30 seconds on silicon oxide. Although the process applied to wafer 11 ran for longer than the normal 30 second nucleation time of silicon oxide, nucleation apparently did not occur over silicon oxide, possibily due to an instability in the plasma. High process pressures appear to be important for producing the selective effect.
Table 12 shows additional deposition runs at a susceptor temperature of 450°C. Deposition Parameters for Table No. 12
TiCL, (seem) 5
H2 (seem) 3,750
Argon (slm) 0.3
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 180
Substrate Temperature (°C) approximately 400 °C
Susceptor Temperature (°C) 450
TABLE NO. 12
WAFER NO.
Results and 1 2 3 4 5 6 7
Additional
Parameters
TiN layer 242 222 210 241 168 136 150 thickness
(A)
Deposition 80.7 74.0 70.0 80.3 56.0 45.3 50.0
Rate
(A/min)
Layer 66.0 554.0 494.0 714.0 484.0 0.1 0.1 Resistivity (μΩ -cm)
Wafers 1-4 were silicon, wafer 5 was thermal oxide while wafers 6 and 7 were an aluminum alloy containing aluminum silicon and copper. Runs 6 and 7 of Table 12 illustrate the viability of depositing a titanium-containing film on aluminum using the present invention. The deposition runs of Table 12 utilized a lower flow of reactant gas than the runs of Table 11, i.e., 5 seem of TiCL,.
Good adhesion between the aluminum and titanium layers was obtained by minimizing the corrosion of the aluminum layer. Corrosion is largely a result of exposure of the aluminum layer to chlorine ions (Cl) released from titanium tetrachloride (TiCL,) during deposition. By reducing the flow rate of titanium tetrachloride, the corrosion of the aluminum layer is reduced and adhesion is improved. Reduced titanium tetrachloride flow also reduces the deposition rate, allowing dissociated titanium atoms additional time to locate stable sites in the underlying aluminum layer. This additional time is particularly needed due to the low thermal energy and reduced thermal motion of the titanium atoms at reduced process temperatures.
The deposition runs of Table 13 were made at further reduced TiCL, flow rates. All of the wafers of Table 13 were thermal oxide. None of the wafers of Table 12 or 13 were annealed with an NH, RF anneal.
Deposition Parameters for Table No. 13
TiCL, (seem) wafers 1-2, 4 seem; 3-4, 3 seem; 5-6, 2 seem; and wafer 7 at 1 seem
H2 (seem) 3,750
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 300 (wafers 1 and 2 at 180 and 240, respectively)
Substrate Temperature (°C) approximately 400°C
Susceptor Temperature (°C) 450
TABLE NO. 13
WAFER NO.
Results and 1 2 3 4 5 6 7
Additional
Parameters
TiN layer 89 132 158 149 158 166 107 thickness
(A)
Deposition 30 33 32 32 32 33 21
Rate
(A/min)
Layer 259 239 199 199 190 208 482 Resistivity (μΩ -cm)
Discussion of Results from Deposition Runs
Titanium films have ben deposited utilizing the parameters and apparatuses discussed above at rates ranging from 30 A/min. measured by mass gain and by wave dispersive X-ray fluorescence (WDXRF). It has been found that the deposition rate is directly proportional to the deposition temperature and to the TiCL, partial pressure. Film resistivity increases from 120 to 150 μΩ -cm as the deposition temperature is decreased from 550° C to 450° C. Titanium films deposited at 550°C onto thermally grown oxide were analyzed by Rutherford Back
Scatter Spectroscopy (RBS) and found to be elemental titanium. The only impurity that is detectable by RBS is oxygen. Auger Electron Spectroscopy (AES) depth profiling was performed to identify low level contamination. The AES profiles indicate a bulk chloride content of 0.1 % . Chloride was also measured by
WDXRF, which indicated a bulk concentration of 0.45%.
Films were also deposited at 550° C onto non-deglazed silicon substrates. These films were analyzed by RBS and found to have formed a silicide during the deposition process. No post deposition anneal had been performed. The stoichiometry of the in-situ silicided titanium is TiSi2 but 0.5 % chloride was detected. AES depth profiling confirmed the stoichiometry of the in-situ silicide, as well as the bulk chlorine content of 0.5%. The AES profiles indicate a low level of oxygen in the silicide, but there is no evidence of an oxygen peak at the silicon/TiSi2 interface. This indicates that the native oxide has been removed by the CVD-Ti process.
Titanium films were deposited at 550° C onto patterned borophospho-silicate glass (BPSG) in order to observe film conformality. All contacts were Iμm to 0.35μm (aspect ratios varied from 1.0 to 2.9). The titanium films were found to be conformal for all aspect ratios. Film thicknesses of up to 1500 A were deposited and cross sections were observed by a scanning electron microscope (SEM). There was no evidence of overhang formation at the contact openings. Overhang formation is a fundamental problem with line of sight deposition processes such as sputtering. This problem has been well documented for both conventional and collimated sputtering, and the conformal nature of the CVD-Ti process represents a significant advantage over sputtering technology.
A comparison of the electrical properties obtained with CVD-Ti and sputtered-Ti was made using the electrical test structures described above. Contact resistance measurements were made using Kelvin structures with contact sizes which varied from 0.35μm to 0.60μm. In order to deposit 100 A of titanium at the bottoms of the 0.35μm contacts, 900 A of sputtered-Ti was deposited compared to 200 A of CVD-Ti. The CVD-Ti and sputtered-Ti films provided equivalent contact resistance for all contact sizes. However, the smaller contacts had a much higher probe yield with the CVD-Ti contact layer. For 0.35μm contacts the yield for the CVD-Ti contact layer was double that of the sputtered-Ti layer. The improvement in yield indicates that the CVD-Ti process provides more uniform and repeatable results over the surface of the wafer, and suggests that the process may overcome minor contact to contact variations that are created by the contact etch and contact cleaning processes. This assertion is supported by the AES results reported above which showed that no residual native oxide was detected at the silicon/TiSi2 interface after CVD-Ti and in-situ silicidation.
A more severe comparison of the two contact layers was made using chains of 10,000 contacts. Again the results were similar for the larger contacts. However at 0.35μm The CVD contact layer produced superior results. The CVD- Ti contact layer provides contact chain resistance values that are two orders of magnitude lower than those obtained with the sputtered-Ti layer. Furthermore, the probe yield for the CVD-TI layer was five times higher than that for the sputtered layer. Leakage current measurements for CVD-Ti and sputtered-Ti were similar. This indicates that the in-situ silicidation provided by the CVD-Ti process does not cause junction damage. This is confirmed by SEM cross sections which were performed on the samples after completing the electrical measurements. The cross sections confirm that the silicide formed during the CVD-Ti process at the bottoms of the contacts is uniform.
In conclusion, titanium films have been deposited by chemical vapor deposition at temperatures of 450° C to 550° C. The titanium is fully converted to TiSi2 during the deposition process for depositions onto silicon surfaces. Depositions were conformal with no evidence of titanium overhangs at contact openings. Contact resistance and junction leakage measurements indicate that the CVD-Ti process provides equivalent electrical performance to sputtered-Ti for low aspect ratio features. For higher aspect ratio features the CVD-Ti process provides superior contact resistance and yield. The improvement in electrical performance is due to the conformal nature of the CVD-Ti, the removal of the residual native oxide from the contact bottom, and formation of a uniform TiSi2 layer at the contact bottom.
Figure 3 shows another embodiment of a deposition chamber with an upstream RF plasma source which might be utilized to generate the necessary radicals for an upstream plasma low temperature PECVD process utilizing a rotating susceptor as discussed and disclosed hereinabove with respect to the upstream plasma generation utilized by the configuration of Fig. 1. Specifically, a deposition chamber 280 is attached to an RF plasma source 282. A suitable source is a commercially available RF source available from Prototech Research, Inc. , of Tempe, Arizona. RF plasma source 282 includes a housing 284 which forms a plasma generating region 286 therein. The plasma gases to be excited, such as H2, N2, and/or NH3 are introduced through gas input lines 287, 288 and gas rings 289, 290, respectively. Within region 286, the plasma gases are excited by an RF field generated by RF coil 292 which is connected to an RF source 294. RF energy of, for example, approximately 13.56 MHz is delivered to the gases within region 286 to create a gas plasma containing free electrons, ions and radicals of the plasma gas. As the excited gases are drawn down the length of plasma-generating region 286, gas particles combine until preferably an abundance of radicals remain. The radicals are drawn down through a deposition region 296. The reactant gases, such as TiCL,, are introduced into the deposition region 296 by a vertically adjustable gas showerhead 298, and the reactant gases and activated radicals are drawn down to substrate 300 by rotating susceptor 302 and combine to form a film layer on substrate 300. The substrate 300 heated as discussed above and similar pressures, susceptor rotation rates and gas flow rates for the examples discussed above might be utilized with the RF plasma source of Fig. 3. Accordingly, a film, such as a titanium-containing film, may be deposited at substantially lower temperatures than achieved with traditional thermal CVD processes.
While the present invention has been illustrated by the description of embodiments thereof, and while the embodiments have been described in considerable detail, it is not the intention of Applicants to restrict or in any way limit the scope of the appended claims to such detail. Additional advantages and modifications will readily appear to those skilled in the art. For example, the low temperature CVD technique of the present invention may be utilized to deposit other films besides the titanium-containing films discussed in extensive detail herein. Furthermore, activated radicals of gases other than H2, N2 and/or NH3 might also be utilized to lower the deposition temperature. The invention in its broader aspects is therefore not limited to the specific details, representative apparatus and method, and illustrative example shown and described. Accordingly, departures may be made from such details without departing from the spirit or scope of Applicants' general inventive concept. What is claimed is:

Claims

1. A method of depositing a film on a substrate located in a chemical vapor deposition reaction chamber by plasma enhanced chemical vapor deposition comprising: providing a substrate inside a chemical vapor deposition reaction chamber; supplying a first gas into said reaction chamber and exciting said first gas to form activated radicals of the first gas, the radicals existing proximate the substrate; supplying a second gas into said reaction chamber above the substrate to mix with the first gas radicals; rotating said substrate to draw a mixture of the first gas radicals and the second gas to a surface of the substrate such that the first gas radicals react with the second gas in a surface reaction at the substrate surface to deposit a film on the substrate surface.
2. The method of claim 1, wherein the step of exciting the first gas includes exciting said first gas with an RF energy source to generate said radicals.
3. The method of claim 2 further comprising: directing said first gas through a gas-dispensing showerhead with a plurality of openings above said substrate; biasing said showerhead with said RF energy source to make the showerhead an RF electrode with an associated RF field; passing said first gas through the openings of said showerhead and through said RF field to excite the first gas to form radicals and ions which react at the substrate surface with said second gas to deposit a film.
4. The method of claim 3 further comprising: passing said first gas through a cylinder coupled to the showerhead above the substrate to establish a predetermined first gas flow before passing the first gas through the showerhead, whereby to produce a uniform flow of radicals and ions to said substrate.
5. The method of claim 3, further comprising positioning the showerhead approximately 1 inch or less from the substrate.
6. The method of claim 1 further comprising exciting said second gas such that the gas mixture drawn to the rotating substrate is a mixture of first gas radicals and the excited second gas.
7. The method of claim 1, wherein said first gas exciting step includes exciting said first gas with a microwave energy source to generate said radicals.
8. The method of claim 7 further comprising exciting said first gas with the microwave energy source remotely from said substrate; and drawing said excited first gas to said substrate, the first gas producing additional radicals as it is drawn to the substrate.
9. The method of claim 8 further comprising remotely exciting said first gas in one end of a quartz tube and drawing said first gas through the tube to the substrate.
10. The method of claim 2 further comprising: directing said first gas through an RF field region surrounded by an RF coil and located upstream of said substrate to excite the first gas and form radicals.
11. The method of claim 10 further comprising: supplying said second gas below said upstream RF field region so that the second gas is not excited by the RF field.
12. The method of claim 1, wherein the first gas is selected from the group consisting of hydrogen, nitrogen, ammonia and mixtures thereof.
13. The method of claim 1, wherein a diluent gas is mixed with said first gas.
14. The method of claim 1, wherein the first gas is selected from the group consisting of a combination of gases including any two of hydrogen, nitrogen and ammonia.
15. The method of claim 1, the second gas including titanium such that a titanium-containing film is deposited on the substrate.
16. The method of claim 1, further comprising heating the substrate between 200°C and 800°C during deposition of the film.
17. The method of claim 1, further comprising maintaining the pressure inside the reaction chamber between 0.5 and 15 Torr.
18. The method of claim 1 further comprising rotating the substrate at a rate between 0 and 2,000 rpm.
19. The method of claim 1, further comprising supplying the first gas at a rate between 50 and 50,000 seem.
20. The method of claim 1, further comprising supplying the second gas at a rate between 1 and 20 seem.
21. The method of claim 1 further comprising rotating said substrate at a rate sufficient to produce a laminar flow of the first and second gas mixtures over the substrate to reduce gas recirculations and recombinations of the activated radicals.
22. A method of depositing a film on a substrate located in a chemical vapor deposition reaction chamber by plasma enhanced chemical vapor deposition at low temperatures comprising: providing a substrate inside a chemical vapor deposition reaction chamber; supplying a first gas into said reaction chamber; directing said first gas through a gas-dispersing showerhead having a plurality of openings; biasing said showerhead with an RF energy source to make the showerhead an RF electrode with an associated RF field; passing the first gas through the openings of said showerhead and through the RF field to excite said first gas to form activated radicals and ions of the first gas proximate the substrate; supplying a second gas into said reaction chamber to mix with the first gas radicals and ions; the biased showerhead being spaced close to the substrate inside said reaction chamber such that the activated radicals and ions react with the second gas in a surface reaction on a surface of said substrate to deposit a film on said substrate surface.
23. The method of claim 22 further comprising: rotating said substrate to draw the mixture of the first gas radicals and ions and the second gas to the substrate surface to promote uniform deposition of the film on the substrate surface.
24. The method of claim 22 further comprising positioning the showerhead approximately 1 inch or less from the substrate.
25. The method of claim 22 further comprising: passing said first gas through a cylinder coupled to the showerhead above the substrate to establish a predetermined first gas flow before passing the first gas through the showerhead, whereby to produce a uniform flow of radicals and ions to said substrate.
26. The method of claim 22 further comprising exciting said second gas with said showerhead and RF field such that the gas mixture contains first gas radicals and excited gas particles of the second gas.
27. The method of claim 22, wherein the first gas is selected from the group consisting of hydrogen, nitrogen, ammonia and mixtures thereof.
28. The method of claim 22, the second gas including titanium such that a titanium-containing film is deposited on the substrate.
29. The method of claim 22, wherein a diluent gas is mixed with said first gas.
30. The method of claim 29, wherein said diluent gas includes argon.
31. The method of claim 23 further comprising rotating said substrate at a rate sufficient to produce a laminar flow of the first and second gas mixture over the substrate to reduce gas recirculations and recombinations of the activated radicals and ions.
32. The method of claim 22 further comprising heating the substrate between 200°C and 800°C during deposition of the film.
33. The method of claim 22 further comprising maintaining the pressure inside the reaction chamber between 0.5 and 15 Torr.
34. The method of claim 23 further comprising rotating the substrate at a rate between 0 and 50,000 rpm.
35. The method of claim 22 further comprising supplying the first gas at a rate between 50 and 50,000 seem.
36. The method of claim 22 further comprising supplying the second gas at a rate between 1 and 20 seem.
37. A method of depositing a film on a substrate located in a chemical vapor deposition reaction chamber by plasma-enhanced chemical vapor deposition comprising: providing a substrate inside a chemical vapor deposition reaction chamber; exciting a first gas remotely from said chamber to form activated radicals of the first gas; rotating said substrate within said chamber to draw said first gas radicals to the substrate surface in a generally laminar flow pattern over the substrate to reduce recombination of the activated radicals; supplying a second gas into said reaction chamber above the substrate to mix with the first gas radicals such that the first gas radicals react with the second gas in a surface reaction at the substrate surface to deposit a film on the substrate surface.
38. The method of claim 37 further comprising exciting the first gas with an upstream RF energy source to generate said first gas radicals.
39. The method of claim 37 further comprising exciting the first gas with an upstream microwave energy source to generate said first gas radicals.
40. The method of claim 37, further comprising heating the substrate between 200°C and 800°C during deposition of the film.
41. The method of claim 37, further comprising rotating the substrate at a rate between 0 and 2,000 rpm.
42. A method of depositing a film on a substrate located in a chemical vapor deposition chamber by plasma-enhanced chemical vapor deposition at low temperatures comprising: rotating a substrate inside a reaction chamber; introducing a first gas and a second gas including excited first gas radicals and ions into said reaction chamber and directing the first gas radicals and ions and said second gas toward the rotating substrate such that they travel over a surface of the substrate, the first and second gases reacting and depositing a film on the substrate surface, the first gas radicals and ions supplying energy to the reaction such that the deposition may take place at lower temperatures than required with thermal deposition techniques which do not have radical energy contribution.
43. The method of claim 42 wherein said first gas is one of hydrogen, nitrogen, ammonia, and mixtures thereof.
44. The method of claim 42 wherein said second gas includes titanium and a titanium-containing film is deposited on said substrate.
45. The method of claim 42 further comprising heating the substrate between 200°C and 800°C during deposition of the films.
46. An apparatus for depositing a film on a substrate by chemical vapor deposition utilizing an upstream microwave plasma comprising: a reaction chamber for receiving a substrate; a remote first gas supply; a passage for connecting the remote first gas supply to the reaction chamber, the passage defining an outlet which directs the first gas proximate to a substrate in the reaction chamber; an energy source coupled to said connecting passage upstream of said passage outlet to create a plasma-generating region in the passage whereby the first gas is excited to form activated radicals of the first gas; a rotating susceptor within the deposition chamber for supporting a substrate, the susceptor rotatable to create a downward pumping action to draw the mixture of first gas radicals through the passage and Λt of the passage outlet and proximate the substrate; a second gas supply coupled to the deposition chamber to direct a second gas below said outlet and proximate the substrate to mix with the first gas activated radicals and be drawn downward by the pumping action over the substrate surface whereupon the gases chemically react to deposit a film on the substrate surface.
47. The apparatus of claim 46 wherein the connecting passage includes a quartz tube for carrying first gas from the supply to the reaction chamber, the energy source generating microwave energy and being coupled to the tube to
generate said activated radicals of the first gas.
48. The apparatus of claim 47 wherein said quartz tube is generally vertical such that the first gas radicals are drawn vertically downward to said substrate.
49. The apparatus of claim 47 wherein said quartz tube extends generally horizontally along its length and includes a vertical portion defining said outlet, such that the first gas radicals are drawn horizontally along the tube and then downward through said vertical portion to said substrate.
50. The apparatus of claim 46 wherein said energy source generates RF energy.
51. A method of selectively depositing a titanium film on a substrate located in a chemical vapor deposition reaction chamber by plasma enhanced chemical vapor deposition, the substrate including an oxidized layer overlying a semiconductor layer, said oxidized layer including at least one via through which said semiconductor layer is exposed, comprising: placing said substrate inside a chemical vapor deposition reaction chamber including an RF energy source and RF electrodes forming an RF field; supplying a first gas into said reaction chamber; directing said first gas through said RF field to excite said first gas to form activated radicals and ions of the first gas proximate the substrate; supplying a second gas into said reaction chamber to mix with the first gas radicals and ions; said first and/or second gas including titanium atoms; the activated radicals and ions from said first gas reacting with the second gas in a surface reaction to cause deposition of titanium onto said semiconductor layer through said via, without causing deposition onto said oxidized layer.
PCT/US1994/013641 1994-06-03 1994-12-23 Method and apparatus for producing thin films WO1995033867A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
CA002191457A CA2191457A1 (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films
DE69423371T DE69423371T2 (en) 1994-06-03 1994-12-23 METHOD AND DEVICE FOR PRODUCING THIN LAYERS
AU12611/95A AU1261195A (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films
EP99201027A EP0936284B1 (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films
EP95903616A EP0763147B1 (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films
JP8500805A JPH10504604A (en) 1994-06-03 1994-12-23 Method and apparatus for forming a thin film by low-temperature plasma enhanced chemical vapor deposition using a rotating susceptor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/253,393 1994-06-03
US08/253,393 US5665640A (en) 1994-06-03 1994-06-03 Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor

Publications (1)

Publication Number Publication Date
WO1995033867A1 true WO1995033867A1 (en) 1995-12-14

Family

ID=22960086

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1994/013641 WO1995033867A1 (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films

Country Status (9)

Country Link
US (5) US5665640A (en)
EP (2) EP0763147B1 (en)
JP (2) JPH10504604A (en)
KR (1) KR100355913B1 (en)
AU (1) AU1261195A (en)
CA (1) CA2191457A1 (en)
DE (2) DE69435288D1 (en)
TW (1) TW261689B (en)
WO (1) WO1995033867A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10134997A (en) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd Plasma processing device, in which discharge due to secondary electric potential is eliminated
GB2328692A (en) * 1997-08-18 1999-03-03 Agfa Gevaert Nv Metal deposition using plasma treatment

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JPH088212A (en) * 1994-06-22 1996-01-12 Sony Corp Plasma cvd method
US5661115A (en) 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JPH08170174A (en) * 1994-12-14 1996-07-02 Nec Corp Formation of titanium nitride film
JPH08176823A (en) * 1994-12-26 1996-07-09 Sony Corp Formation of thin film of high melting point metal
US5972790A (en) * 1995-06-09 1999-10-26 Tokyo Electron Limited Method for forming salicides
KR0167248B1 (en) * 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
FR2744649B1 (en) * 1996-02-12 1998-04-10 Conte METHOD FOR INCREASING THE WETABILITY OF A POROUS BODY AND DEVICE FOR IMPLEMENTING THE PROCESS
US5789317A (en) 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
JP3851686B2 (en) * 1996-06-08 2006-11-29 キヤノンアネルバ株式会社 Thin film formation method by plasma CVD
US5856236A (en) * 1996-06-14 1999-01-05 Micron Technology, Inc. Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
US5859284A (en) 1996-08-23 1999-01-12 Gilead Sciences, Inc. Preparation of carbocyclic compounds
US5744395A (en) * 1996-10-16 1998-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
JP3469420B2 (en) * 1996-12-20 2003-11-25 東京エレクトロン株式会社 CVD film forming method
JPH10237662A (en) * 1996-12-24 1998-09-08 Sony Corp Plasma cvd method of metallic coating, formation of metallic nitride coating and semiconductor device
JP3050152B2 (en) * 1997-01-23 2000-06-12 日本電気株式会社 Method for manufacturing semiconductor device
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US6271121B1 (en) 1997-02-10 2001-08-07 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
AU6784798A (en) * 1997-03-27 1998-10-20 Micron Technology, Inc. Titanium metal treatment method, method of forming an electrically conductive interconnect, and method of reducing contact resistance of an elemental titanium contact
JP3077623B2 (en) * 1997-04-02 2000-08-14 日本電気株式会社 Plasma chemical vapor deposition equipment
JP3129232B2 (en) * 1997-05-08 2001-01-29 日本電気株式会社 Method for manufacturing semiconductor device
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
JP3222404B2 (en) * 1997-06-20 2001-10-29 科学技術振興事業団 Method and apparatus for forming insulating film on semiconductor substrate surface
US6309713B1 (en) * 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5976976A (en) * 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3381774B2 (en) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 Method of forming CVD-Ti film
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
JPH11217672A (en) * 1998-01-30 1999-08-10 Sony Corp Chemical vapor growth method of nitrided metallic film and production of electronic device using this
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6140230A (en) * 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6143362A (en) 1998-02-25 2000-11-07 Micron Technology, Inc. Chemical vapor deposition of titanium
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6301270B1 (en) 1998-03-02 2001-10-09 Compaq Computer Corporation Right to left matching of device address numbers with provided integrated services digital network destination numbers
US6179919B1 (en) * 1998-03-07 2001-01-30 United Silicon Incorporated Apparatus for performing chemical vapor deposition
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6642155B1 (en) * 1998-06-05 2003-11-04 Micron Technology, Inc. Method for applying a fluid to a rotating silicon wafer surface
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
KR100331545B1 (en) * 1998-07-22 2002-04-06 윤종용 Method of forming multi-layered titanium nitride film by multi-step chemical vapor deposition process and method of manufacturing semiconductor device using the same
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6207568B1 (en) 1998-11-27 2001-03-27 Taiwan Semiconductor Manufacturing Company Ionized metal plasma (IMP) method for forming (111) oriented aluminum containing conductor layer
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6221174B1 (en) 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6245674B1 (en) 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
US6163007A (en) * 1999-03-19 2000-12-19 Applied Materials, Inc. Microwave plasma generating apparatus with improved heat protection of sealing O-rings
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP3595190B2 (en) * 1999-04-16 2004-12-02 株式会社日立製作所 Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6234219B1 (en) * 1999-05-25 2001-05-22 Micron Technology, Inc. Liner for use in processing chamber
US6169031B1 (en) * 1999-05-28 2001-01-02 National Science Council Chemical vapor deposition for titanium metal thin film
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6335282B1 (en) * 1999-08-26 2002-01-01 Micron Technology, Inc. Method of forming a titanium comprising layer and method of forming a conductive silicide contact
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
TW484189B (en) * 1999-11-17 2002-04-21 Tokyo Electron Ltd Precoat film forming method, idling method of film forming device, loading table structure, film forming device and film forming method
US20030235652A1 (en) * 1999-11-17 2003-12-25 Satoshi Wakabayashi Precoat film forming method
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
JP3993972B2 (en) * 2000-08-25 2007-10-17 富士通株式会社 Semiconductor device manufacturing method and semiconductor device
US6365515B1 (en) 2000-08-28 2002-04-02 Micron Technology, Inc. Chemical vapor deposition process
US6905079B2 (en) * 2000-09-08 2005-06-14 Tokyo Electron Limited Shower head structure and cleaning method thereof
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
JP4720058B2 (en) * 2000-11-28 2011-07-13 株式会社Sumco Silicon wafer manufacturing method
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6737361B2 (en) 2001-04-06 2004-05-18 Wafermaster, Inc Method for H2 Recycling in semiconductor processing system
US20020197416A1 (en) * 2001-06-21 2002-12-26 Majewski Robert B. Gas jet deposition with multiple ports
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100501339B1 (en) * 2001-11-02 2005-07-18 주성엔지니어링(주) Plasma apparatus
US6699788B2 (en) * 2001-11-13 2004-03-02 Chartered Semiconductors Manufacturing Limited Method for integrated nucleation and bulk film deposition
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
KR100829327B1 (en) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100439948B1 (en) * 2002-04-19 2004-07-12 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer using remote plasma
AU2003233655A1 (en) * 2002-05-23 2003-12-12 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
EP1523761A1 (en) * 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20030236489A1 (en) 2002-06-21 2003-12-25 Baxter International, Inc. Method and apparatus for closed-loop flow control system
JP4540926B2 (en) * 2002-07-05 2010-09-08 忠弘 大見 Plasma processing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
JP3946130B2 (en) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US20040238008A1 (en) * 2003-03-12 2004-12-02 Savas Stephen E. Systems and methods for cleaning semiconductor substrates using a reduced volume of liquid
US7375035B2 (en) 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7429714B2 (en) * 2003-06-20 2008-09-30 Ronal Systems Corporation Modular ICP torch assembly
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
CN100440452C (en) * 2004-07-20 2008-12-03 夏普株式会社 Plasma processing system
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7670433B2 (en) * 2005-02-16 2010-03-02 Ulvac, Inc. Vacuum deposition apparatus of the winding type
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
TWI328050B (en) * 2005-05-10 2010-08-01 Ulvac Inc Reeling type plasma cvd device
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
DE102005056322A1 (en) * 2005-11-25 2007-06-06 Aixtron Ag Apparatus for depositing a film on a substrate, especially for semiconductor production, comprises a process chamber that contains a substrate holder and is supplied with process gases through coaxial inlet ports
JP2007149590A (en) * 2005-11-30 2007-06-14 Toshiba Corp Radical processor
KR100668745B1 (en) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 Method for forming the transistor of semiconductor device
WO2007103824A1 (en) * 2006-03-02 2007-09-13 Altairnano, Inc. Nanostructured metal oxides
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
CN101460659B (en) * 2006-06-02 2011-12-07 应用材料股份有限公司 Gas flow control by differential pressure measurements
JP5069427B2 (en) * 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
KR20090106617A (en) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 Plasma immersion chamber
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
KR100870567B1 (en) 2007-06-27 2008-11-27 삼성전자주식회사 A method of plasma ion doping process and an apparatus thereof
KR101437522B1 (en) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP5568212B2 (en) * 2007-09-19 2014-08-06 株式会社日立国際電気 Substrate processing apparatus, coating method therefor, substrate processing method, and semiconductor device manufacturing method
KR20100061740A (en) * 2007-10-10 2010-06-08 마이클 아이자 Chemical vapor deposition reactor chamber
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
KR101606736B1 (en) 2008-07-07 2016-03-28 램 리써치 코포레이션 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
TWI494030B (en) * 2008-07-07 2015-07-21 Lam Res Corp Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8465802B2 (en) * 2008-07-17 2013-06-18 Gang Li Chemical vapor deposition reactor and method
AU2009202611B2 (en) * 2008-07-31 2012-01-19 Li, Gang Dr Chemical Vapor Deposition Reactor and Method
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
KR101349266B1 (en) * 2009-01-09 2014-01-10 가부시키가이샤 아루박 Plasma processing apparatus and method of forming micro crystal silicon layer
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US9186742B2 (en) * 2009-01-30 2015-11-17 General Electric Company Microwave brazing process and assemblies and materials therefor
US8910590B2 (en) * 2009-02-13 2014-12-16 Gallium Enterprises Pty Ltd. Plasma deposition
US8257799B2 (en) * 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
JP5562723B2 (en) * 2009-05-29 2014-07-30 富士フイルム株式会社 Film forming method, film forming apparatus, and gas barrier film manufacturing method
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
KR200457817Y1 (en) * 2009-12-28 2012-01-05 주식회사 케이씨텍 Showerhead unit for atomic layer deposition apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
KR101504850B1 (en) * 2010-09-09 2015-03-20 도쿄엘렉트론가부시키가이샤 Microwave introduction mechanism, microwave plasma source and microwave plasma treatment device
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103620681B (en) * 2011-06-27 2016-11-02 薄膜电子有限公司 There is lateral dimension and change electronic unit and the production method thereof absorbing cushion
WO2013032406A1 (en) * 2011-09-01 2013-03-07 National University Of Singapore A system and a method for depositing a layer on a substrate
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9123507B2 (en) * 2012-03-20 2015-09-01 Mapper Lithography Ip B.V. Arrangement and method for transporting radicals
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150000597A1 (en) * 2013-07-01 2015-01-01 Applied Materials, Inc. Reduced zinc showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6087236B2 (en) * 2013-07-24 2017-03-01 東京エレクトロン株式会社 Deposition method
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (en) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 Method for manufacturing silicone oxide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US8841574B1 (en) * 2013-11-18 2014-09-23 Georges J. Gorin Plasma extension and concentration apparatus and method
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN103953947B (en) * 2014-04-28 2015-11-25 北京七星华创电子股份有限公司 The detent mechanism of horizontal outer ignition device
CN105088334B (en) * 2014-04-28 2018-01-09 北京北方华创微电子装备有限公司 Cover device and process equipment
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP5990626B1 (en) * 2015-05-26 2016-09-14 株式会社日本製鋼所 Atomic layer growth equipment
JP6054470B2 (en) 2015-05-26 2016-12-27 株式会社日本製鋼所 Atomic layer growth equipment
JP6054471B2 (en) 2015-05-26 2016-12-27 株式会社日本製鋼所 Atomic layer growth apparatus and exhaust layer of atomic layer growth apparatus
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US20170241019A1 (en) * 2016-02-22 2017-08-24 Ultratech, Inc. Pe-ald methods with reduced quartz-based contamination
CN107369602B (en) * 2016-05-12 2019-02-19 北京北方华创微电子装备有限公司 Reaction chamber and semiconductor processing equipment
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP7014790B2 (en) * 2016-10-27 2022-02-01 コーティング プラズマ イノベーション A method for defining equipment and its size for treating the surface of a moving substrate in a controlled atmosphere.
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018182614A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019054189A (en) * 2017-09-19 2019-04-04 東芝メモリ株式会社 Deposition device and deposition method
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
JP7180984B2 (en) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー Vapor growth method
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR20220056248A (en) 2018-10-19 2022-05-04 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102249404B1 (en) 2019-06-19 2021-05-07 선문대학교 산학협력단 Apparatus and Method For Separating Oxygen Using Electromagnetic field
CN112216586B (en) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 Double-station processor for realizing uniform exhaust and plasma processing equipment
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5391664A (en) * 1977-01-24 1978-08-11 Hitachi Ltd Plasma cvd device
JPS60204880A (en) * 1984-03-27 1985-10-16 Matsushita Electric Ind Co Ltd Production of insulating film
JPS6141763A (en) * 1984-04-24 1986-02-28 Anelva Corp Thin film manufacturing apparatus
EP0359264A2 (en) * 1988-09-14 1990-03-21 Fujitsu Limited Hydrogenated amorphous materials and thin films thereof
JPH0285368A (en) * 1988-09-20 1990-03-26 Fujitsu Ltd Formation of amorphous silicon film
JPH02217475A (en) * 1989-02-16 1990-08-30 Fujitsu Ltd Thin film forming device
GB2245600A (en) * 1990-07-06 1992-01-08 Plasmametal Metallising a surface using a plasma with a post-discharge zone
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
WO1993025722A1 (en) * 1992-06-15 1993-12-23 Materials Research Corporation Methods of chemical vapor deposition (cvd) of films on patterned wafer substrates
JPH06158320A (en) * 1992-11-25 1994-06-07 Kawasaki Steel Corp Method and device for forming metallic wiring

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS59159167A (en) * 1983-03-01 1984-09-08 Zenko Hirose Manufacture of amorphous silicon film
DE3429899A1 (en) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4618542A (en) * 1983-11-21 1986-10-21 Tdk Corporation Magnetic thin film
JPS60221566A (en) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol Thin film forming device
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (en) * 1984-07-16 1986-02-06 Canon Inc Apparatus for forming amorphous silicon film
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (en) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt METHOD FOR THE PRODUCTION OF SEMICONDUCTOR LAYERS ON SEMICONDUCTOR BODIES OR FOR THE DIFFUSION OF INTERFERENCE POINTS IN THE SEMICONDUCTOR BODY
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) * 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
JPS61189626A (en) * 1985-02-18 1986-08-23 Canon Inc Formation of deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (en) * 1985-03-28 1986-10-04 Canon Inc Copying machine
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (en) * 1985-03-27 1996-09-25 キヤノン株式会社 Functional deposition film manufacturing equipment
JPH07101751B2 (en) * 1985-03-28 1995-11-01 キヤノン株式会社 Photovoltaic device manufacturing method
GB8523071D0 (en) 1985-09-18 1985-10-23 Crutch S A Detector/indicator of visible information
NL8602356A (en) 1985-10-07 1987-05-04 Epsilon Ltd Partnership APPARATUS AND METHOD FOR AN AXIAL SYMMETRICAL REACTOR FOR THE CHEMICAL VAPORIZATION.
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPH0645890B2 (en) * 1985-12-18 1994-06-15 キヤノン株式会社 Deposited film formation method
JPH084071B2 (en) * 1985-12-28 1996-01-17 キヤノン株式会社 Deposited film formation method
CH671407A5 (en) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (en) * 1986-12-12 1996-02-22 Canon Kk Process for forming functional evaporated films by a chemical microwave plasma evaporation process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0275965B1 (en) * 1987-01-19 1995-05-31 Hitachi, Ltd. Plasma operation apparatus
KR900008505B1 (en) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Microwave enhanced cvd method for depositing carbon
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
JPS63233564A (en) * 1987-03-23 1988-09-29 Canon Inc Manufacture of junction transistor
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JPH0192375A (en) * 1987-10-05 1989-04-11 Canon Inc Device for forming functional deposited film by microwave plasma cvd
EP0317350B1 (en) * 1987-11-20 1995-06-21 Canon Kabushiki Kaisha A pin function photovoltaic element, tandem und triple cells
US5008726A (en) * 1987-11-20 1991-04-16 Canon Kabushiki Kaisha PIN junction photovoltaic element containing Zn, Se, Te, H in an amount of 1 to 4 atomic %
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198481A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
JPH01198482A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
JPH01298164A (en) * 1988-05-25 1989-12-01 Canon Inc Formation of functional deposit film
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
JPH01306565A (en) * 1988-06-02 1989-12-11 Canon Inc Formation of deposited film
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (en) 1988-07-01 1990-01-18 Canon Inc Microwave plasma cvd device
DE68927726T2 (en) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Device for dry etching with a generator for generating anhydrous hydrofluoric acid gas
US5306699A (en) * 1988-08-31 1994-04-26 Superconductor Technologies, Inc. Reactor vessel for manufacture of superconducting films
DE3926023A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke CVD COATING METHOD FOR PRODUCING LAYERS AND DEVICE FOR CARRYING OUT THE METHOD
JP2730693B2 (en) * 1988-09-14 1998-03-25 住友電気工業株式会社 Thin film formation method
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
JP2717583B2 (en) * 1988-11-04 1998-02-18 キヤノン株式会社 Stacked photovoltaic element
US5178905A (en) * 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (en) * 1988-11-29 1990-06-07 Canon Inc Apparatus for forming semiconductor device continuously
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
JP2829653B2 (en) * 1989-01-21 1998-11-25 キヤノン株式会社 Photovoltaic element
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
JPH02192771A (en) * 1989-01-21 1990-07-30 Canon Inc Photovoltaic element
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
EP0422243B1 (en) * 1989-03-31 1994-09-21 Canon Kabushiki Kaisha Method of forming polycrystalline film by chemical vapor deposition
JPH02258689A (en) 1989-03-31 1990-10-19 Canon Inc Method for forming crystalline thin film
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
DE3914065A1 (en) * 1989-04-28 1990-10-31 Leybold Ag DEVICE FOR CARRYING OUT PLASMA ETCHING PROCESSES
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JPH0394069A (en) 1989-09-05 1991-04-18 Mitsubishi Electric Corp Thin film forming device
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (en) 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
GB2241250A (en) * 1990-01-26 1991-08-28 Fuji Electric Co Ltd RF plasma CVD employing an electrode with a shower supply surface
KR0184279B1 (en) * 1990-01-29 1999-04-15 미다 가쓰시게 Metal or metal silicide film making method
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
DE4016765A1 (en) 1990-05-25 1991-11-28 Philips Patentverwaltung Chemical vapour coating method - where process plasma is conc. in an area and passed through a small diaphragm opening into a substrate chamber
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (en) 1990-10-24 1999-11-01 고지마 마따오 Method for forming a thin film and semiconductor devices
JP3013455B2 (en) 1991-02-07 2000-02-28 日本電気株式会社 Plasma chemical vapor deposition of tantalum oxide films
JP2939355B2 (en) 1991-04-22 1999-08-25 東京エレクトロン株式会社 Plasma processing equipment
US5252134A (en) * 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3080537B2 (en) 1994-06-08 2000-08-28 シャープ株式会社 Communication device
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5391664A (en) * 1977-01-24 1978-08-11 Hitachi Ltd Plasma cvd device
JPS60204880A (en) * 1984-03-27 1985-10-16 Matsushita Electric Ind Co Ltd Production of insulating film
JPS6141763A (en) * 1984-04-24 1986-02-28 Anelva Corp Thin film manufacturing apparatus
EP0359264A2 (en) * 1988-09-14 1990-03-21 Fujitsu Limited Hydrogenated amorphous materials and thin films thereof
JPH0285368A (en) * 1988-09-20 1990-03-26 Fujitsu Ltd Formation of amorphous silicon film
JPH02217475A (en) * 1989-02-16 1990-08-30 Fujitsu Ltd Thin film forming device
GB2245600A (en) * 1990-07-06 1992-01-08 Plasmametal Metallising a surface using a plasma with a post-discharge zone
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
WO1993025722A1 (en) * 1992-06-15 1993-12-23 Materials Research Corporation Methods of chemical vapor deposition (cvd) of films on patterned wafer substrates
JPH06158320A (en) * 1992-11-25 1994-06-07 Kawasaki Steel Corp Method and device for forming metallic wiring

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 002, no. 125 (E - 065) 20 October 1978 (1978-10-20) *
PATENT ABSTRACTS OF JAPAN vol. 010, no. 067 (C - 333) 15 March 1986 (1986-03-15) *
PATENT ABSTRACTS OF JAPAN vol. 010, no. 199 (C - 359) 11 July 1986 (1986-07-11) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 280 (C - 0729) 18 June 1990 (1990-06-18) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 522 (C - 0778) 15 November 1990 (1990-11-15) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 490 (C - 1249) 13 September 1994 (1994-09-13) *
SUZUKI N ET AL: "Planarized deposition of high-quality silicon dioxide film by photoassisted plasma CVD at 300 degrees C using tetraethyl orthosilicate", JAPANESE JOURNAL OF APPLIED PHYSICS, PART 2 (LETTERS), DEC. 1990, JAPAN, VOL. 29, NR. 12, PAGE(S) L2341 - L2344, ISSN 0021-4922 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10134997A (en) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd Plasma processing device, in which discharge due to secondary electric potential is eliminated
GB2328692A (en) * 1997-08-18 1999-03-03 Agfa Gevaert Nv Metal deposition using plasma treatment

Also Published As

Publication number Publication date
KR100355913B1 (en) 2003-01-06
CA2191457A1 (en) 1995-12-14
US5665640A (en) 1997-09-09
DE69435288D1 (en) 2010-05-27
DE69423371D1 (en) 2000-04-13
DE69423371T2 (en) 2000-10-19
EP0936284B1 (en) 2010-04-14
KR970703445A (en) 1997-07-03
EP0936284A3 (en) 2002-08-07
US5866213A (en) 1999-02-02
AU1261195A (en) 1996-01-04
EP0763147A1 (en) 1997-03-19
JP2004263306A (en) 2004-09-24
US6220202B1 (en) 2001-04-24
TW261689B (en) 1995-11-01
JPH10504604A (en) 1998-05-06
EP0763147B1 (en) 2000-03-08
US5716870A (en) 1998-02-10
EP0936284A2 (en) 1999-08-18
US5567243A (en) 1996-10-22

Similar Documents

Publication Publication Date Title
US5716870A (en) Method for producing titanium thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
KR100355914B1 (en) Direct Circuit Manufacturing Method Using Low Temperature Plasma
EP0763149B1 (en) Method and apparatus for low temperature deposition of cvd and pecvd films
EP0832311B1 (en) Process for plasma enhanced anneal of titanium nitride
KR100356264B1 (en) Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US7772121B2 (en) Method of forming a trench structure
US6409837B1 (en) Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
WO1995033866A1 (en) Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
KR20010014314A (en) Improved deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
WO2002073689A2 (en) Integrated barrier layer structure for copper contact level metallization
KR100427905B1 (en) Method of eliminating edge effect in chemical vapor deposition of a metal
JP4079591B2 (en) Chemical vapor deposition of metal coatings
Sandhu et al. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi 2

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AM AT AU BB BG BR BY CA CH CN CZ DE DK EE ES FI GB GE HU JP KE KG KP KR KZ LK LR LT LU LV MD MG MN MW NL NO NZ PL PT RO RU SD SE SI SK TJ TT UA UZ VN

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE MW SD SZ AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2191457

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 1019960706960

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1995903616

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1995903616

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWG Wipo information: grant in national office

Ref document number: 1995903616

Country of ref document: EP