WO1995033868A1 - Method and apparatus for low temperature deposition of cvd and pecvd films - Google Patents

Method and apparatus for low temperature deposition of cvd and pecvd films Download PDF

Info

Publication number
WO1995033868A1
WO1995033868A1 PCT/US1994/013614 US9413614W WO9533868A1 WO 1995033868 A1 WO1995033868 A1 WO 1995033868A1 US 9413614 W US9413614 W US 9413614W WO 9533868 A1 WO9533868 A1 WO 9533868A1
Authority
WO
WIPO (PCT)
Prior art keywords
showerhead
substrate
cylinder
gas
electrode
Prior art date
Application number
PCT/US1994/013614
Other languages
French (fr)
Inventor
Robert F. Foster
Joseph T. Hillman
Rikhit Arora
Original Assignee
Materials Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Materials Research Corporation filed Critical Materials Research Corporation
Priority to EP95904143A priority Critical patent/EP0763149B1/en
Priority to KR1019960706959A priority patent/KR970703446A/en
Priority to JP8500804A priority patent/JPH10501300A/en
Priority to CA002191456A priority patent/CA2191456A1/en
Priority to DE69414274T priority patent/DE69414274T2/en
Priority to AU12947/95A priority patent/AU1294795A/en
Publication of WO1995033868A1 publication Critical patent/WO1995033868A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Definitions

  • This invention relates to chemical vapor deposition and specifically to methods and apparatuses which utilize a unique arrangement between a gas- dispensing showerhead and a rotating susceptor for more efficient gas utilization and more concentrated plasmas. More specifically, the methods and apparatuses are particularly useful for depositing CVD films containing titanium.
  • Chemical vapor deposition, or CVD is a commonly used technique for applying material films or layers to a substrate in the formation of integrated circuits.
  • CVD comprises introducing various reactant gases into a deposition chamber housing a substrate.
  • the reactant gases mix proximate the substrate and chemically react at the surface of the substrate.
  • One or more reactant products from the chemical reactions deposit upon the substrate surface and form a film.
  • One form of CVD is plasma-enhanced chemical vapor deposition or PECVD.
  • PECVD one or more of the reactant gases are excited into a plasma such as by being exposed to RF or microwave electrical energy.
  • the plasma includes various activated particles of the gas or gases.
  • the excited plasma is mixed with other reactant gases, and the plasma supplies energy to the chemical reaction between the various gases to deposit a film on a substrate.
  • the flow of the reactant gases to the substrate surface and to the plasma is important to ensure proper deposition of films in both CVD and PECVD.
  • the flow of the plasma gases to the excited plasma in PECVD, in addition to the flow of the other reactant gases to the substrate surface are uniform to promote uniform deposition of the desired film.
  • the reactant gases are introduced at predetermined flow rates and evacuated at similar rates to ensure that the reactants are propelled in sufficient densities to react and form the desired film.
  • the reactant gases are introduced above a substrate, such as by a gas ring or halo, and travel downwardly to the substrate at predetermined flow rates. Upon reaching the substrate, the gases mix and react to form a film and any remaining gases are exhausted such as by a vacuum system.
  • boundary layer When the boundary layer is large, an undesirable amount of the reactant gases may bypass the substrate and be exhausted from the reaction chamber without reacting. This is wasteful, and therefore costly. It is preferable to have a boundary layer as thin and flat as possible so that a useful density of the gas reactants used in the chemical reaction are available at the substrate surface and do not bypass the substrate to be exhausted, unreacted, out of the chamber.
  • One way of achieving a thin boundary layer at the substrate is to introduce the reactant gases under matched flow conditions. Matched flow of reactant gases is achieved when the outward volume of gas flowing parallel to and over the flat surface of the substrate is approximately the same as the input volume of gas flowing generally downward and perpendicular to the substrate surface. With low gas flow rates, matched flow can usually be readily achieved; however, with higher gas flow rates, the reactant gases at the substrate do not flow outwardly over the surface of the substrate rapidly enough, and hence, turbulence and backflow of the downward gas flow results.
  • One alternative for reducing such backflow and turbulence at increased input gas flow rates is to rotate the substrate on a rotating susceptor.
  • An example of a suitable rotating susceptor is utilized within the Rotating Disk Reactor available from Materials Research Corporation (MRC) of Phoenix, Arizona.
  • a rotating susceptor spins the substrate and creates a downward and outward pumping action which draws the reactant gases to the surface of the substrate and outwardly over the surface.
  • the pumping action creates a more rapid outward flow of the gases over the substrate to allow a higher downward gas flow rate without backflow and turbulence.
  • the wafer is rotated at a speed which achieves matched flow, i.e., where the downward flow rate is equal to the outward flow rate. Matched reactant gas flow using a rotating susceptor ensures that a suitably thin boundary layer of reactant gas is
  • Fig. 1 shows various streamlines 5 of a downward and outward reactant gas flow within a CVD reaction space 7 which houses a substrate 8 which rotates on a rotating susceptor 6.
  • the streamlines 5 are from gas rings and a gas-dispersing showerhead (not shown) spaced approximately 4 inches or more above susceptor 6 and substrate 8.
  • the streamlines 5 illustrate what occurs when such a large spacing is used between the gas-dispensing rings and showerhead and the rotating substrate 8.
  • the average size of the boundary layer is fairly significant and a substantial amount of the reactant gases 5 bypass rotating substrate 8 and pass around the baffle 11 to be exhausted out of the reaction space 7 by an appropriate exhaust system (not shown).
  • the significant bypassing of the gases 5 lowers the deposition rate because there is a reduced density of reactants available at the substrate surface 12 for the surface reaction.
  • the wide boundary layer of reactant gases 5 at the substrate surface 11 affects the uniformity of the film deposited on substrate 8. Still further, the wasted, unreacted gases which are exhausted make the overall deposition technique inefficient and costly.
  • the invention provides apparatuses and methods for dispersing reactant gases close to a rotating substrate in a CVD reaction chamber such that there is improved reactant gas flow over the surface of the substrate and a reduced boundary layer for more efficient and uniform deposition and gas utilization. Further, the present invention produces a concentrated plasma at the rotating substrate to produce PECVD films particularly for PECVD of a titanium-containing film at low temperatures.
  • the present invention utilizes a gas-dispersing showerhead positioned within one inch of a rotating substrate.
  • the showerhead is spaced a suitable distance below a gas-dispensing ring or other dispensing apparatus such that a steady state flow of gas develops between the ring and the showerhead before being disbursed by the showerhead over the rotating substrate.
  • the showerhead is positioned within one inch of the substrate and preferably within 20mm to produce a small gas boundary layer at the substrate for more efficient and more uniform deposition of a CVD film.
  • a cylindrical structure or cylinder extends between a gas-dispensing ring which is coupled to a reactant gas supply and the showerhead.
  • the reactant gases are dispensed into the cylinder at one end spaced away from the substrate and flow down the length of the cylinder to be dispersed over the rotating substrate surface by the gas-dispersing holes in the showerhead.
  • the velocity profile of the flow of incoming reactant gases develops within the length of the cylinder and the cylinder confines the reactant gases such that preferably the reactant gases flow to the substrate surface only through the gas-dispersing showerhead.
  • the close spacing of the showerhead, as well as the steady state flow of the gases reduces the boundary layer over the substrate and ensures an efficient and uniform deposition of a CVD film on the substrate surface.
  • the shape of the showerhead and the dimension of the gas-dispersing holes which are preferably 1/32 (0.0313) of an inch, flattens the velocity profile of the gases over the substrate to further produce a uniform gas flow to the substrate. Close spacing of the showerhead and the reduced boundary layer yields more efficient CVD with less of the reactant gases bypassing substrate.
  • the showerhead is biased with RF energy to create an RF electrode for use in PECVD.
  • Plasma gases pass through the RF showerhead/electrode and are excited proximate the showerhead/electrode to form a concentrated plasma close to the substrate which supplies energy to the surface reaction during PECVD (the showerhead will be referred to as a showerhead/electrode throughout the application where appropriate).
  • the reduced spacing, i.e., less than 1 inch, between the showerhead/electrode and the substrate and the improved gas flow of the present invention ensure a concentrated plasma at the substrate surface which yields sufficient energy for the deposition of a film according to PECVD techniques.
  • the showerhead/electrode evenly disperses the reactant gases such that the concentrated plasma is uniform over the entire substrate surface.
  • the cylinder and showerhead/electrode of the present invention prevent ignition of a plasma above the showerhead/electrode and inside the cylinder, or even in the dispersing holes of the showerhead electrode. In that way, the plasma is generally concentrated below the showerhead/electrode to enhance deposition and prevent contaminants within the plasma.
  • the cylinder is made of nickel-plated aluminum and is coupled to a solid nickel showerhead/electrode by a quartz insulating ring.
  • the insulating ring electrically isolates the cylinder from the RF showerhead/electrode to prevent ignition of plasma in the cylinder.
  • the entire cylinder is made out of an insulative quartz material to prevent formation of a plasma within the cylinder.
  • the showerhead may be approximately 0.25 inches thick and generally may have from 200 to 1,200 gas-dispersing holes depending upon the diameter of the showerhead and the substrates to be processed.
  • the dispersing holes of the showerhead/electrode are preferably 1/32 (0.0313) of an inch to further ensure that the plasma is confined below the showerhead/electrode.
  • a preferred embodiment for processing six inch diameter substrate wafers employs a circular showerhead with an approximately 6.5 inch diameter area having 300 to 600 gas dispersing holes.
  • dimensions of the cylinder, showerhead and holes may be adjusted depending upon, among other factors, the size of the chemical vapor deposition chamber, the desired showerhead distance from the substrate and the size of the substrate being processed.
  • the cylinder and showerhead/electrode of the present invention is operable to deliver approximately 200 to 300 watts of power at RF frequencies as low as 450 KHz and as high as 13.56 MHz. Additionally, the present invention operates sufficiently with reactant gas flow rates between 50 and 50,000 seem, as well as susceptor rotation rates between 0 and 2,000 rpm.
  • Fig. 1 is a diagrammatic cross-sectional view of an example gas
  • Fig. 2 is a cross-sectional view, of an embodiment of the present invention.
  • Fig. 3 is a diagrammatic cross-sectional view of an example gas flow profile in a CVD reaction chamber using the present invention.
  • Fig. 4 is a detailed view of the embodiment of the present invention illustrated in Fig. 2.
  • Fig. 5 is a top view of a gas-dispersing showerhead used with the embodiment of the present invention shown in Figs. 2 and 4.
  • Fig. 6 is a cross-sectional view, of another embodiment of the present invention.
  • Fig. 7 is a top view of a gas-dispersing showerhead used with the embodiment of the present invention shown in Fig. 6.
  • Fig. 8 is a graphical illustration of the deposition rate versus rotation rate for a CVD reaction with and without the present invention. Detailed Description of the Invention
  • FIG. 2 illustrates one embodiment of the present invention.
  • a CVD reactor 20 includes a deposition chamber housing 22 which defines a reaction or deposition space 24 therein.
  • a rotating susceptor 26 supports a substrate or wafer 28 within reaction space 24.
  • a reactor suitable for the purposes of the present invention is a Rotating Disk Reactor available from Materials Research Corporation (MRC) of Phoenix, Arizona.
  • the reaction or deposition space 24 within housing 22 may be selectively evacuated to various different internal pressures, for example, from 0.5 to 100
  • the susceptor 26 is coupled to a variable speed motor (not shown) by shaft 30 such that the susceptor 26 and substrate 28 may be stationary or may be rotated at various speeds such as between 0 and 2,000 rpm. When rotating, susceptor 26 creates a downward pumping action in a direction generally perpendicular the substrate surface 29. Susceptor 26 is also heated by a suitable heating mechanism (not shown) coupled to the susceptor 26 so that susceptor 26 may heat substrate 28, such as between 200 and 800°C.
  • a cylinder assembly 34 which supports a gas-dispersing showerhead 36 above rotating susceptor 26 and substrate 28.
  • the cylinder assembly 34 preferably positions showerhead 36 within 1 inch of substrate 28 and more preferably within 20 mm of substrate 28.
  • the cylinder assembly 34 in combination with a generally circular opening 42 formed in the cover 32, forms a vertical flow passage which extends in the direction of reference arrows 43 between a gas distributor cover 46 and showerhead 36. Opening 42 of cover 32 forms a cylinder concentric with cylinder assembly 34 to define a generally cylindrical flow passage 44.
  • showerhead 36 may be coupled to an RF power source 38 such as by an appropriate RF feedline assembly 40 which extends through an opening 48 in the gas distributor cover
  • RF feedline assembly 40 is used to bias showerhead 36 so that it acts as an electrode for PECVD techniques as explained in greater detail below.
  • a sealing structure 49 seals the opening 48 around feedline assembly 40.
  • Plasma and reactant gases are introduced into vertical flow passage 45 by concentric gas rings or halos 50, 52.
  • the concentric rings 50, 52 are coupled to appropriate gas supplies (not shown) through lines 56, 58, respectively and the rings include a number of gas-dispensing holes 54 which evenly dispense the gases around the perimeter of flow passage 44.
  • showerhead 36 includes gas-dispersing holes 64 for dispersing reactant gases over substrate 28.
  • Cylinder assembly 34 includes a cylinder 60 and an insulator ring 62 which electrically separates cylinder 60 and showerhead 36 whenever showerhead 36 is biased with RF energy.
  • Cylinder 60 is preferably electrically grounded by ground line 61.
  • the insulator ring 62 is preferably dimensioned in diameter and width as indicated by reference numeral 63 to ensure complete electrical separation between cylinder 60 and showerhead 36 along the entire attachment interface between the cylinder 60 and showerhead 36 (see Fig. 4).
  • the insulator ring may be made of quartz material such as Quartz T08-E available from General Electric and in one embodiment the ring has a thickness of approximately 0.75 inches.
  • CVD reactant gases are introduced at the top of flow passage 44 through rings 50, 52, and the gases are drawn generally downwardly in the direction of arrows 43 by the downward pumping action of rotating susceptor 26.
  • the showerhead 36 is preferably spaced from 2 to 4 inches from the rings 50, 52 to ensure a steady state flow of the gases at showerhead 36. More specifically, as the reactant gases flow downwardly through flow passage 44, a velocity profile develops. The velocity profile is a measurement of gas velocities at various points in the gas flow as measured across the gas flow perpendicular to the flow direction 43. Generally, the velocities across the gas flow at the top of the flow passage 44 near rings 50,
  • showerhead 36 flattens out the velocity profile of the reactant gas flow across the bottom surface 39 of the showerhead such that below showerhead 36 proximate substrate 28 the flow velocity near the center 67 of showerhead 36 is generally equal to the flow velocity at the periphery 69 of the showerhead 36.
  • the reactant gas flow through flow passage 44 is drawn downwardly and through showerhead 36 by the downward pumping action of the rotating susceptor 26.
  • An increased susceptor rotation rate produces an increased deposition rate because an increased quantity of reactants are being pumped to the surface. This is termed the rotating disk effect.
  • the process curves in Fig. 8 illustrate that the rotating disk effect occurs for the reduced showerhead-to-susceptor spacing achieved by the present invention. That is, as the rotation rate of the susceptor 26 increases, the deposition rate increases indicating that a greater quantity of reactants is being pumped to the surface 29 of substrate 28. A maximum deposition rate is reached whenever the incoming and downward gas flow to the substrate is equal to the outward flow of gas away from the substrate.
  • matched gas flow Such a condition is generally referred to as matched gas flow.
  • the deposition rate curve for one embodiment of the present invention shows higher deposition rates than the curve achieved without the present invention which is indicated by reference numeral 84.
  • Curve 82 indicates that less of the reactant gases are bypassing the wafer surface 29 in the present invention, and consequently, more are participating in the surface CVD reaction. Furthermore, curve 82 is flatter than curve 84 which indicates an improved process stability over a wider range of rotation rates when using the present invention
  • the showerhead 36 may be biased with RF energy to function as an RF electrode for PECVD techniques.
  • plasma gases such as H 2 , N 2 and NH 3
  • showerhead/electrode 36 preferably below the showerhead/electrode 36 and not within cylinder 60.
  • the approximate 1 inch or less spacing between the RF showerhead/electrode 36 and substrate 28 creates a very concentrated plasma near substrate 28 which is useful for low temperature PECVD, and particularly for low temperature PECVD of titanium-containing films.
  • RF power source 38 biases showerhead/electrode 36.
  • the electrically grounded susceptor 26 forms another parallel electrode.
  • An RF field is created preferably between showerhead 36 and susceptor 26 and the RF field excites the plasma gases which are dispersed through holes 64 so that a plasma is generated generally below showerhead/electrode 36. It is preferable that the plasma is created below the showerhead/electrode 36 and not within the flow
  • the plasma is not created within dispersion holes 64 but rather is confined below the bottom surface 39 of showerhead/electrode 36.
  • the dispersion holes 64 are dimensioned so that the generated plasma is preferably confined below surface 39 of showerhead/electrode 36.
  • the diameter of the dispersing holes 64 is 1/32 of an inch.
  • other features of the present invention ensure that the generated plasma is concentrated below the showerhead/electrode 36.
  • insulator sleeves 96, 98 are utilized within the RF feedline assembly 40 to insulate the RF line from the metal of cylinder 34 and housing 22 as illustrated in Fig. 4 and discussed in greater detail below.
  • quartz insulator ring 62 electrically separates the showerhead/electrode 36 from cylinder 34 to further confine the plasma below showerhead/electrode 36.
  • the rotation of susceptor 26 and accompanying pumping action and the flow of gases within cylinder assembly 34 and flow passage 44 ensures a uniform flow of gases to the plasma for uniformly sustained plasma and uniform deposition.
  • a reactant gas such as TiCL
  • flow passage 44 such as through a gas ring similar to rings 50 and 52, although the plasma gases and the reactant gases are preferably introduced through different rings.
  • the gas particles of the reactant gases are also excited by the RF field generated by showerhead/electrode 36 and susceptor 26 but do not form what would be defined as a plasma. Therefore, a mixture of excited reactant gas particles and a plasma of the plasma gas particles are concentrated above substrate 28 and preferably within 1 inch of the substrate in accordance with the principles of the present invention.
  • the RF showerhead electrode 36 may be excited with RF energy having a frequency in the range of, for example, 450 KHz to 13.56 MHz, and the invention does not seem to be particularly frequency sensitive.
  • the generation of a uniform plasma within 1 inch or less of substrate 28 yields a high density of useful plasma gas radicals and ions proximate the substrate surface 29.
  • the pumping action of the susceptor draws the plasma particles and excited reactant gas particles to the substrate to react and form a film.
  • a substrate rotation rate somewhere between 0 and 2,000 rpm might be utilized with the RF showerhead/electrode of the present invention.
  • the showerhead/electrode 36 of the present invention generates a plasma containing radicals and ions of the plasma gases
  • the showerhead spacing and deposition parameters should preferably be chosen to achieve a useful mixture of radicals and ions at the substrate surface 29. While some ion bombardment of me substrate 28 is beneficial because it supplies additional energy to the growing film layer on the surface 29, too much ion bombardment of a substrate may damage the integrated circuit devices on a substrate. Furthermore, a high density of ions leads to poor film conformality as ions have a tendency to stick to contact and via surfaces.
  • showerhead/electrode-to-susceptor spacing within 1 inch and preferably within 20 mm has proven useful.
  • Fig. 4 discloses an RF showerhead/electrode configuration similar to the configuration in the embodiment of Fig. 2 except in greater detail. Wherever possible similar reference numerals will be utilized between Figs. 2 and 4.
  • the showerhead/electrode 36 includes an RF line stem 6jJ
  • the RF feedline assembly 40 also acts as a heat pipe to conduct heat away from showerhead/electrode 36 as is discussed in greater detail hereinbelow.
  • Line stem 68 may be machined concentrically into and integral with the upper surface 37 of showerhead/electrode 36 to increase the RF signal conduction and heat conduction efficiency see Fig. 5).
  • the RF feedline assembly 40 includes an RF line 92 which comprises line stem 68 and an additional length of tubing 94 welded thereto such as at 93 to achieve the desired overall length of the RF line 92 and to attach tubing 94 to the stem 68.
  • the showerhead/electrode 36 and the integral line stem 68 may be made of Nickel-
  • RF line tubing 94 may be made of a highly conductive material such as 6061-T6 aluminum. However, it will be appreciated by persons skilled in the art that other materials can be used for the RF line tubing 94, such as Nickel-200. In one embodiment, the RF line tubing 94 is made of aluminum coated with an outer layer of nickel to prevent an RF plasma from forming within said cylinder 60 of the cylinder assembly 34 during use of the
  • showerhead electrode 36 is perforated with a pattern of gas dispersion holes 64 to distribute the reactant and plasma gases evenly during CVD processing.
  • upstanding RF line stem 68 is provided with a circumferential shoulder flange 70 adjacent and extending generally parallel to showerhead/electrode 36.
  • the flange 70 is spaced above showerhead/electrode upper surface 37 and permits the gas dispersion hole pattern to extend beneath the shoulder flange 70, thereby minimizing gas flow disturbances.
  • the flange 70 aids in the conduction of the RF energy along line 92 to showerhead/electrode 36, assists in cooling showerhead/electrode 36, and provides mechanical support for ceramic isolator tubes 96, 98.
  • the RF showerhead/electrode assembly 34 of Fig. 4 further includes first and second ceramic isolator tubes 96, 98. respectively, which are concentric with and surround at least a portion of RF line 92. As shown, ceramic isolator tubes 96, 98 are supported by circumferential shoulder flange
  • Tubes 96, 98 may be formed of the ceramic alumina (99.7% Al 2 O 3 ), which is readily commercially available, such as from Coors Ceramics of
  • Isolator tubes 96, 98 prevent RF plasma from forming around the RF line 92 during CVD processing by isolating the metal RF line
  • the isolator tubes 96, 98 operate to reduce and prevent electrical sho ⁇ ing between gas distributor cover
  • Gas distributor cover 100 is mounted to housing 22 by means of a plurality of screws 102.
  • gas injection rings or halos such as rings 50, 52 (shown in phantom in Fig. 4) are located slightly below gas distributor cover 100 to supply the CVD reactant and plasma gases to the inside of cylinder 60 as already discussed.
  • Gas injection rings 50, 52 may be only two of a plurality of concentric rings for introducing numerous reactant gases into the cylinder 60.
  • a seal assembly 49 prevents vacuum leaks at the opening 48 where RF line 92 passes through gas distributor cover 100.
  • This assembly includes a shaft seal and a flange seal.
  • a ceramic seal plate 104 is pressed downwardly by two stainless steel clamps 106.
  • Clamps 106 are biased against distributor cover 100 by spring washer/screw assemblies 108 to obtain a predetermined downward force on the seal components to insure proper sealing, to accommodate tolerance stacks in the seal components, and to take up dimensional changes due to thermal expansion which may occur during CVD processing.
  • Seal plate 104 presses downwardly on a stainless steel ferrule 110 which in turn presses down on an O-ring 112 seated in ceramic seal body 114.
  • the downward force exerted by clamps 106 on seal plate 104 also forces seal body 114 downwardly against gas distributor cover 100, which compresses the O-ring 116 located between seal body 114 and gas distributor cover 100.
  • seal body 114 has a downwardly extending annular flange 118 which surrounds RF line 92 over the entire length of it which passes through gas distributor cover 100.
  • the lower end 120 of annular flange 118 extends downwardly to a point where it meets the inner ceramic isolator tube 96.
  • the outer ceramic isolator tube 98 extends further upward than isolator tube 96, such that there is no direct line between gas distributor cover 100 and RF line 92. This prevents arcing when the RF line 92 is used to supply RF energy to showerhead/electrode 36.
  • the RF line 92 also functions as a heat pipe structure.
  • the heat pipe structure is used to carry off heat from the showerhead/electrode 36 generated by radiant energy from the heated susceptor 26, as well as by the RF energy applied to the showerhead/electrode 36.
  • the center space 122 of RF line 92 is provided with a felt or other suitable capillary wicking material liner (not shown).
  • Space 122 is sealed with a liquid (e.g., acetone) therein under its own vapor pressure that enters the pores of the capillary material wetting all internal surfaces of RF line 92.
  • a liquid e.g., acetone
  • the liquid at that point boils and enters a vapor state.
  • the liquid in the wicking material picks up the latent heat of vaporization and the vapor, which then is at a higher pressure, moves inside the sealed pipe to a cooler location where it condenses and re-enters the liner.
  • the vapor gives up its latent heat of vaporization and moves heat from the "input" to the "output" end of the heat pipe structure.
  • heat may be moved along a heat pipe at a rate of approximately 500 mph.
  • the "input" end of the heat pipe structure is the end of RF line 92 which is affixed to showerhead/electrode 36.
  • the "output” end is the upper end of RF line 92 shown in the Fig. 4 which has a liquid-cooling jacket 124 sealed around it. The seal is effected by O-ring shaft seals 125 and 126.
  • Cooling jacket 124 is preferably a polymeric material and is provided with TEFLON compression fittings 128 and 129 which connect TEFLON tubing 130 to cooling jacket 124.
  • RF line 92 also includes a cap 132 which is welded in place and has a fill tube 134 for filling the internal space 122 with the desired fluid.
  • a suitable commercially available heat pipe may be obtained from Thermocore Inc., of Lancaster, PA.
  • cylinder 60 forms part of cylinder assembly 34 and mounts showerhead/electrode 36 to the housing cover 32.
  • the cylinder 60 is dimensioned such that the showerhead/electrode 36 is positioned generally within 1 inch of susceptor 26 after taking into account the thickness of ring 62.
  • Showerhead/electrode 36 is fastened to cylinder 60 by means of screws 136, which are preferably made of a material that does not corrode in the presence of an RF plasma.
  • screws 136 are preferably made of a material that does not corrode in the presence of an RF plasma.
  • Hastelloy C-22 which is a trade name of Hanes International, of Kokomo, IN. Suitable screws made of this material are available from Pinnacle Mfg. of Tempe, AZ.
  • Insulator ring 62 electrically isolates showerhead/electrode 36 from cylinder 60.
  • the insulator ring may be formed of quartz and preferably of a suitable quality quartz which has few and/or very small internal blemishes such as air bubbles.
  • a suitable quartz material is Quartz T08-E available from Hereaus Amersil of Tempe, Arizona. The quartz may be machined to form a quartz ring approximately 3/4 (0.75) inches thick and having diameter dimensions which match the dimensions of the cylinder 60 and
  • RF energy is conducted to showerhead/electrode 36 by RF feedline assembly 40 comprising stem 68 and tube 94.
  • Isolator tubes 96, 98 are needed to electrically isolate and prevent arcing between tube 94 and any parts of the metal housing 22, including distributor cover 100.
  • the apparatus includes a seal around tubing 94 at the location where it passes through distributor cover 100.
  • RF energy is supplied through a shielded RF supplying cable 142 which is connected to an RF power source 38 (shown in Fig. 2) and has a UHF connector 144 at one end. Connector 144 mates with another UHF connector 146, which in turn is coupled via a length of 12 gauge wire 148 to a stainless steel shaft collar 150 mounted at the upper end of RF line 92.
  • the shaft collar 150 is in frictional contact with RF line 92.
  • collar 150 may include opposing clam-shell clamps which may be tightened against one another by means not shown to firmly grip line 92. With this arrangement there is minimal resistance to the flow of RF current through line 92.
  • the segment of RF line 92 which is exposed above shaft collar 150 is isolated from the grounded metal shielding 152 by a polymer cap 154.
  • the apparatus is capable of delivering 250-300 watts of RF frequencies from 450 KHz to 13.56
  • Fig. 5 discloses a top view, of one showerhead design appropriate for the embodiment of the present invention shown in Figs. 2 and 4.
  • showerhead 36 is generally circular and includes dispersion holes 64 generally throughout its entire area.
  • showerhead 36 may be approximately 8.0 inches in total diameter with an area 156 containing holes 64 having a diameter of 6.5 inches.
  • the diameter of the showerhead 36 and the hole area 156 will depend upon the size of the substrate wafers which are processed using the current invention.
  • showerhead 36 may have generally from 200 to 1,200 dispersion holes 64 and preferably for an 8.0 inch showerhead has from 300 to 600 holes for dispersing the gases.
  • the inner diameter of the holes 64 is preferably 1/32 (0.0313) inches, to prevent a plasma from forming within the cylinder 60.
  • showerhead 36 includes a peripheral edge section 157 with spaced openings 158 spaced around the periphery of showerhead 36 which receive screws 136 or other fasteners for connecting showerhead 36 to the quartz ring as shown in Fig. 4.
  • the showerhead 36 includes a stem 68 which forms flange 70.
  • Stem 68 and flange 70 are formed integrally with showerhead 36 and form part of the RF line assembly 40 connected to showerhead 36.
  • the showerhead, 36, including stem 68 is formed of an electrically conductive material and preferably is formed of
  • the showerhead 36 in one embodiment of the invention has a thickness dimension in the holed area 156 of preferably 1/4 (0.25) inches.
  • TiN titanium nitride
  • H_ nitrogen gas
  • TiCU titanium tetrachloride
  • Wafers 1 and 2 of Table 1 were silicon, while the remaining wafers 3-10 were thermal oxide.
  • Wafers 6-10 received a 250 Watt RF plasma anneal for 120 seconds at an NH 3 gas rate of 5000 seem, at an internal pressure of 3 Ton * (wafer 6 was done at 5 Torr), and the susceptor rotation rate of 100 rpm. Therefore, as may be seen, a layer of titanium nitride may be deposited at a substrate temperature approximately 400 * C, which is substantially less than the temperatures required for traditional thermal CVD processes.
  • Table 1 except at a substrate temperature of 600 * C, and a layer of TiN was deposited according to Table 2 using the deposition parameters below.
  • Wafers 1 and 2 of Table 2 were silicon and wafers 3-8 were thermal oxide.
  • An RF plasma, ammonia anneal was performed on substrate wafers 6-8 of Table 2 at a power lever of 250 Watts for 120 seconds, and an ammonia introduction rate of 5000 seem, a pressure of 5 Torr and a 100 rpm rotation rate.
  • the substrate wafer of Table 3 was not annealed with an ammonia plasma as discussed above.
  • Table 5 shows additional runs made with the increased H, flow and increase deposition pressure. Deposition Parameters for Table No. 5
  • the change in deposition pressure from 1 Torr to 5 Torr produced a more stable and symmetric plasma. Additionally, the increased hydrogen flow with the addition of a small flow of argon increased the stability of the plasma flow as well as the plasma intensity. An argon flow of 0-10 slm is preferable.
  • Wafers 1-2 were silicon, while wafers 3-10 were thermal oxide.
  • Wafers 11 and 12 were borophospho-silicate glass, available from Thin Films, Inc. of Freemont, California. None of the wafers of either Table 4 or 5 were annealed with an ammonia plasma anneal.
  • Table 6 shows additional deposition runs at a susceptor temperature of 450 * C. Deposition Parameters for Table No. 6
  • Wafers 1-4 were silicon, wafer 5 was thermal oxide, while wafers 6 and 7 were aluminum alloy containing aluminum silicon and copper.
  • Runs 6 and 7 of Table 6 illustrate the viability of depositing a titanium-containing film on aluminum using the present invention.
  • the deposition runs of Table 6 utilized a lower flow of reactant gas than the runs of Table 5, i.e., 5 seem of TiCl,.
  • Deposition time (sec) 300 wafers 1 and 2 at 180 and 240, respectively.
  • Fig. 6 shows an alternative embodiment of the present invention which eliminates the metal cylinder 60 and insulator ring 62 while preventing electrical arcing inside of the cylinder assembly proximate the RF line and preventing the undesired formation of plasma within the cylinder assembly when the showerhead is biased as an electrode.
  • the embodiment of Fig. 6 utilizes a housing similar to housing 22 of Fig. 4 which includes a housing cover 160 and includes an RF supply assembly 162, a heat pipe assembly 164 with cooling jacket 165 and fluid supply lines and a gas distributor cover 166 with a sealing assembly 168 all generally similar to the respective components of Fig. 4.
  • the cylinder assembly 170 does not include a metal cylinder 60 and insulator ring 62. Rather, a cylinder 172 made of insulating material such as quartz surrounds the RF feed line assembly 174.
  • Cylinder 172 is preferably formulated out of a high quality quartz such as Quartz T08-E available from Hereaus Amersil, as mentioned above. Quartz cylinder 172 is supported by a showerhead/electrode 176, made of a conductive metal such as Nickel-200, without the use of screws or other fasteners that are utilized within the embodiment of Fig. 4. Specifically, a stepped bore 178 is formed within housing cover 160 to receive an upper end 177 of cylinder 172. O-rings 179, 180 are placed at the interface 181 between stepped bore 178 and cylinder 172 to form a seal at interface 181.
  • a stepped bore 178 is formed within housing cover 160 to receive an upper end 177 of cylinder 172. O-rings 179, 180 are placed at the interface 181 between stepped bore 178 and cylinder 172 to form a seal at interface 181.
  • an annular notch 186 is formed in cylinder 172 to receive a peripheral edge 188 of the showerhead/electrode 176.
  • the notch 186 of cylinder 172 rests upon the peripheral edge 188 of showerhead/electrode 176.
  • showerhead/electrode 176 includes a stem 194 which is attached to RF line tubing 175 such as by a weld at 195 to form a unitary RF line 197.
  • RF line is frictionally held and supported at its top end by collar 199 similar to collar 150 of Fig. 4.
  • the RF line supports showerhead/electrode 176 above susceptor 182.
  • a compressed O-ring 190 which is compressed between notch 186 and a corresponding annular notch 193 formed in peripheral edge 188.
  • a plurality of gas halos or rings 191, 192 introduce the necessary plasma and reactant gases into cylinder 172.
  • Fig. 6 eliminates the need for metal screws to attach the cylinder 172 to the housing 160 and the showerhead/electrode 176 to the cylinder 172. This further reduces the possibility of arcing inside of cylinder 172 because of the reduced metal proximate the biased RF showerhead/electrode 176. Furthermore, it is not necessary to utilize ceramic isolator sleeves at the showerhead peripheral edge 188.
  • showerhead/electrode 176 has also been modified.
  • showerhead/electrode includes a stem 194 without a flange. Instead, a slight ridge 196 is formed around stem 194, and as shown in Fig. 6, ridge 196 supports a generally circular ceramic tray 198 which is formed from a ceramic material similar to the ceramic isolator sleeves 94, 96 shown in Fig. 4. Ceramic tray 198 is supported by ridge 194, and in turn, supports isolator sleeves 200, 201.
  • Isolator sleeves 200, 201 are also preferably made of a ceramic insulator material similar to sleeves 94, 96 of Fig. 4.
  • shelf 193 is formed to receive O-ring 190 and seal the interface between cylinder 172 and showerhead/electrode 176 as discussed.
  • Gas dispersion holes 206 are formed within an area 204 similar to the dispersion hole area 156 of the showerhead/electrode shown in Fig. 4.
  • the holes are approximately 1/32 (0.0313) inches in diameter to prevent the formation of a plasma inside cylinder 172 to confine the plasma generally below the showerhead/electrode 176 and above the susceptor 182 as already discussed above.
  • a layer of insulation 208 may be placed atop gas distributor cover 166 to prevent contact by an operator, because the gas distributor cover 166 becomes very hot during operation.

Abstract

Low temperature deposition of CVD and PECVD films utilizes a gas-dispersing showerhead (36) position within one inch of a rotating substrate. The showerhead is positioned a suitable distance below a gas-dispensing ring (50, 52) such a steady state flow of gas develops between the ring and showerhead. A cylindrical structure extends between the gas-dispersing ring and a showerhead to contain the gas over the showerhead yielding a small boundary layer over the substrate. The showerhead is biased with RF energy such that it acts as an electrode to incite a plasma proximate with the substrate for PECVD. The cylinder (60) is isolated from the showerhead such as by a quartz insulator ring (62) to prevent ignition of a plasma within the cylinder, or alternatively, the cylinder is fabricated of quartz material. The RF showerhead utilizes small gas-dispersing holes (54) to further prevent ignition of a plasma within the cylinder.

Description

METHOD AND APPARATUS FOR LOW TEMPERATURE DEPOSITION OF CVD AND PECVD FILMS
Field of the Invention
This invention relates to chemical vapor deposition and specifically to methods and apparatuses which utilize a unique arrangement between a gas- dispensing showerhead and a rotating susceptor for more efficient gas utilization and more concentrated plasmas. More specifically, the methods and apparatuses are particularly useful for depositing CVD films containing titanium. Background of the Invention
Chemical vapor deposition, or CVD is a commonly used technique for applying material films or layers to a substrate in the formation of integrated circuits. CVD comprises introducing various reactant gases into a deposition chamber housing a substrate. The reactant gases mix proximate the substrate and chemically react at the surface of the substrate. One or more reactant products from the chemical reactions deposit upon the substrate surface and form a film. One form of CVD is plasma-enhanced chemical vapor deposition or PECVD. In PECVD, one or more of the reactant gases are excited into a plasma such as by being exposed to RF or microwave electrical energy. The plasma includes various activated particles of the gas or gases.
The excited plasma is mixed with other reactant gases, and the plasma supplies energy to the chemical reaction between the various gases to deposit a film on a substrate.
As may be appreciated, the flow of the reactant gases to the substrate surface and to the plasma is important to ensure proper deposition of films in both CVD and PECVD. Preferably, the flow of the plasma gases to the excited plasma in PECVD, in addition to the flow of the other reactant gases to the substrate surface are uniform to promote uniform deposition of the desired film.
In some CVD techniques, the reactant gases are introduced at predetermined flow rates and evacuated at similar rates to ensure that the reactants are propelled in sufficient densities to react and form the desired film. Generally, the reactant gases are introduced above a substrate, such as by a gas ring or halo, and travel downwardly to the substrate at predetermined flow rates. Upon reaching the substrate, the gases mix and react to form a film and any remaining gases are exhausted such as by a vacuum system. In such CVD techniques, there is usually a stagnant layer between the gas flow of the mixed reactant gases and the substrate surface where very small densities of reactants are present. Such a stagnant layer is
referred to as a boundary layer. When the boundary layer is large, an undesirable amount of the reactant gases may bypass the substrate and be exhausted from the reaction chamber without reacting. This is wasteful, and therefore costly. It is preferable to have a boundary layer as thin and flat as possible so that a useful density of the gas reactants used in the chemical reaction are available at the substrate surface and do not bypass the substrate to be exhausted, unreacted, out of the chamber.
One way of achieving a thin boundary layer at the substrate is to introduce the reactant gases under matched flow conditions. Matched flow of reactant gases is achieved when the outward volume of gas flowing parallel to and over the flat surface of the substrate is approximately the same as the input volume of gas flowing generally downward and perpendicular to the substrate surface. With low gas flow rates, matched flow can usually be readily achieved; however, with higher gas flow rates, the reactant gases at the substrate do not flow outwardly over the surface of the substrate rapidly enough, and hence, turbulence and backflow of the downward gas flow results.
One alternative for reducing such backflow and turbulence at increased input gas flow rates is to rotate the substrate on a rotating susceptor. An example of a suitable rotating susceptor is utilized within the Rotating Disk Reactor available from Materials Research Corporation (MRC) of Phoenix, Arizona. A rotating susceptor spins the substrate and creates a downward and outward pumping action which draws the reactant gases to the surface of the substrate and outwardly over the surface. The pumping action creates a more rapid outward flow of the gases over the substrate to allow a higher downward gas flow rate without backflow and turbulence. Preferably, the wafer is rotated at a speed which achieves matched flow, i.e., where the downward flow rate is equal to the outward flow rate. Matched reactant gas flow using a rotating susceptor ensures that a suitably thin boundary layer of reactant gas is
present for uniform deposition of a film.
While the use of a rotating susceptor allows greater gas input flow rates, it has generally been found that the velocity profile of the reactant gases pumped by the susceptor should be fully developed before the gases reach the rotating substrate surface in order to obtain a uniform flow over the substrate and thus uniform deposition on the substrate. That is, the velocity of the incoming gas flow as measured across the flow path should reach a steady state. To achieve a steady state flow using currently available CVD apparatuses at useful deposition pressures (e.g. from 1 to 100 Torr), it has been necessary to space the gas ring and gas-dispersing showerhead or other gas input device around four (4) inches or more from the surface of the rotating substrate. While enhancing the steady state flow of the gas at the substrate, such a large spacing is not without its drawbacks.
One significant drawback is that the incoming reactant gases disperse when traveling such a large distance between their point of introduction and the rotating substrate. With such dispersion, an appreciable volume of the reactant gases bypass the substrate around the substrate edges and exit the reaction chamber without reacting at the substrate surface. For example, Fig. 1 shows various streamlines 5 of a downward and outward reactant gas flow within a CVD reaction space 7 which houses a substrate 8 which rotates on a rotating susceptor 6. The streamlines 5 are from gas rings and a gas-dispersing showerhead (not shown) spaced approximately 4 inches or more above susceptor 6 and substrate 8. The streamlines 5 illustrate what occurs when such a large spacing is used between the gas-dispensing rings and showerhead and the rotating substrate 8. As may be seen, the average size of the boundary layer, indicated generally by reference numeral 10, is fairly significant and a substantial amount of the reactant gases 5 bypass rotating substrate 8 and pass around the baffle 11 to be exhausted out of the reaction space 7 by an appropriate exhaust system (not shown). The significant bypassing of the gases 5 lowers the deposition rate because there is a reduced density of reactants available at the substrate surface 12 for the surface reaction. Furthermore, the wide boundary layer of reactant gases 5 at the substrate surface 11 affects the uniformity of the film deposited on substrate 8. Still further, the wasted, unreacted gases which are exhausted make the overall deposition technique inefficient and costly.
Another drawback to the large spacing between the gas dispensing and dispersing structures and the rotating substrate is the inability to ignite a sufficiently dense plasma proximate the substrate. Specifically, in PECVD techniques, it is desirable to generate a reactant gas plasma close to the substrate so that a sufficient density of activated plasma particles are present to provide energy to the surface reaction. Particularly, a concentrated plasma is necessary for low-temperature PECVD of titanium-containing films as disclosed in the U. S. patent application entitled "Method And Apparatus For Producing Thin Films By Low Temperature Plasma-Enhanced Chemical Vapor Deposition Using A Rotating Susceptor Reactor" which is being filed on the same day herewith. However, igniting a suitably dense plasma proximate the rotating substrate while maintaining a steady state gas flow to the plasma has not been satisfactorily achieved with current apparatuses utilizing gas rings and showerheads spaced four (4) or more inches from the rotating substrate.
Therefore, it is an objective of the present invention to disperse the reactant gases at substrate surface such that there is a small boundary layer and sufficient densities of the gases at the substrate surface while maintaining a steady state gas flow to the substrate. Further, it is an objective to produce a dense plasma at the substrate surface such that the plasma is sufficiently concentrated at the substrate surface to yield deposition of a PECVD film. Summary of the Invention
In accordance with the above objectives, the invention provides apparatuses and methods for dispersing reactant gases close to a rotating substrate in a CVD reaction chamber such that there is improved reactant gas flow over the surface of the substrate and a reduced boundary layer for more efficient and uniform deposition and gas utilization. Further, the present invention produces a concentrated plasma at the rotating substrate to produce PECVD films particularly for PECVD of a titanium-containing film at low temperatures.
The present invention utilizes a gas-dispersing showerhead positioned within one inch of a rotating substrate. The showerhead is spaced a suitable distance below a gas-dispensing ring or other dispensing apparatus such that a steady state flow of gas develops between the ring and the showerhead before being disbursed by the showerhead over the rotating substrate. The showerhead is positioned within one inch of the substrate and preferably within 20mm to produce a small gas boundary layer at the substrate for more efficient and more uniform deposition of a CVD film.
In one embodiment, a cylindrical structure or cylinder extends between a gas-dispensing ring which is coupled to a reactant gas supply and the showerhead. The reactant gases are dispensed into the cylinder at one end spaced away from the substrate and flow down the length of the cylinder to be dispersed over the rotating substrate surface by the gas-dispersing holes in the showerhead. The velocity profile of the flow of incoming reactant gases develops within the length of the cylinder and the cylinder confines the reactant gases such that preferably the reactant gases flow to the substrate surface only through the gas-dispersing showerhead. The close spacing of the showerhead, as well as the steady state flow of the gases reduces the boundary layer over the substrate and ensures an efficient and uniform deposition of a CVD film on the substrate surface. The shape of the showerhead and the dimension of the gas-dispersing holes, which are preferably 1/32 (0.0313) of an inch, flattens the velocity profile of the gases over the substrate to further produce a uniform gas flow to the substrate. Close spacing of the showerhead and the reduced boundary layer yields more efficient CVD with less of the reactant gases bypassing substrate.
In accordance with another feature of the present invention, the showerhead is biased with RF energy to create an RF electrode for use in PECVD. Plasma gases pass through the RF showerhead/electrode and are excited proximate the showerhead/electrode to form a concentrated plasma close to the substrate which supplies energy to the surface reaction during PECVD (the showerhead will be referred to as a showerhead/electrode throughout the application where appropriate). The reduced spacing, i.e., less than 1 inch, between the showerhead/electrode and the substrate and the improved gas flow of the present invention ensure a concentrated plasma at the substrate surface which yields sufficient energy for the deposition of a film according to PECVD techniques. Additionally, the showerhead/electrode evenly disperses the reactant gases such that the concentrated plasma is uniform over the entire substrate surface. The cylinder and showerhead/electrode of the present invention prevent ignition of a plasma above the showerhead/electrode and inside the cylinder, or even in the dispersing holes of the showerhead electrode. In that way, the plasma is generally concentrated below the showerhead/electrode to enhance deposition and prevent contaminants within the plasma.
In one embodiment of the present invention, the cylinder is made of nickel-plated aluminum and is coupled to a solid nickel showerhead/electrode by a quartz insulating ring. The insulating ring electrically isolates the cylinder from the RF showerhead/electrode to prevent ignition of plasma in the cylinder. In another embodiment, the entire cylinder is made out of an insulative quartz material to prevent formation of a plasma within the cylinder. The showerhead may be approximately 0.25 inches thick and generally may have from 200 to 1,200 gas-dispersing holes depending upon the diameter of the showerhead and the substrates to be processed. The dispersing holes of the showerhead/electrode are preferably 1/32 (0.0313) of an inch to further ensure that the plasma is confined below the showerhead/electrode. A preferred embodiment for processing six inch diameter substrate wafers employs a circular showerhead with an approximately 6.5 inch diameter area having 300 to 600 gas dispersing holes. As may be appreciated, dimensions of the cylinder, showerhead and holes may be adjusted depending upon, among other factors, the size of the chemical vapor deposition chamber, the desired showerhead distance from the substrate and the size of the substrate being processed.
The cylinder and showerhead/electrode of the present invention is operable to deliver approximately 200 to 300 watts of power at RF frequencies as low as 450 KHz and as high as 13.56 MHz. Additionally, the present invention operates sufficiently with reactant gas flow rates between 50 and 50,000 seem, as well as susceptor rotation rates between 0 and 2,000 rpm.
The invention and the particular advantages and features of the present invention will now be described in further detail below with reference to the accompanying drawings. Brief Description of the Drawings
The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with a general description of the invention given above, and the detailed description given below, serve to explain the principles of the invention.
Fig. 1 is a diagrammatic cross-sectional view of an example gas
flow profile in a CVD reaction chamber with a rotating susceptor which does not utilize the present invention.
Fig. 2 is a cross-sectional view, of an embodiment of the present invention.
Fig. 3 is a diagrammatic cross-sectional view of an example gas flow profile in a CVD reaction chamber using the present invention.
Fig. 4 is a detailed view of the embodiment of the present invention illustrated in Fig. 2.
Fig. 5 is a top view of a gas-dispersing showerhead used with the embodiment of the present invention shown in Figs. 2 and 4.
Fig. 6 is a cross-sectional view, of another embodiment of the present invention.
Fig. 7 is a top view of a gas-dispersing showerhead used with the embodiment of the present invention shown in Fig. 6.
Fig. 8 is a graphical illustration of the deposition rate versus rotation rate for a CVD reaction with and without the present invention. Detailed Description of the Invention
By virtue of the foregoing and in accordance with the principles of the present invention, Fig. 2 illustrates one embodiment of the present invention. A CVD reactor 20 includes a deposition chamber housing 22 which defines a reaction or deposition space 24 therein. A rotating susceptor 26 supports a substrate or wafer 28 within reaction space 24. A reactor suitable for the purposes of the present invention is a Rotating Disk Reactor available from Materials Research Corporation (MRC) of Phoenix, Arizona. The reaction or deposition space 24 within housing 22 may be selectively evacuated to various different internal pressures, for example, from 0.5 to 100
Torr. The susceptor 26 is coupled to a variable speed motor (not shown) by shaft 30 such that the susceptor 26 and substrate 28 may be stationary or may be rotated at various speeds such as between 0 and 2,000 rpm. When rotating, susceptor 26 creates a downward pumping action in a direction generally perpendicular the substrate surface 29. Susceptor 26 is also heated by a suitable heating mechanism (not shown) coupled to the susceptor 26 so that susceptor 26 may heat substrate 28, such as between 200 and 800°C.
Extending downwardly from the cover 32 of housing 22 is a cylinder assembly 34 which supports a gas-dispersing showerhead 36 above rotating susceptor 26 and substrate 28. The cylinder assembly 34 preferably positions showerhead 36 within 1 inch of substrate 28 and more preferably within 20 mm of substrate 28. The cylinder assembly 34, in combination with a generally circular opening 42 formed in the cover 32, forms a vertical flow passage which extends in the direction of reference arrows 43 between a gas distributor cover 46 and showerhead 36. Opening 42 of cover 32 forms a cylinder concentric with cylinder assembly 34 to define a generally cylindrical flow passage 44. As discussed further hereinbelow, showerhead 36 may be coupled to an RF power source 38 such as by an appropriate RF feedline assembly 40 which extends through an opening 48 in the gas distributor cover
46. RF feedline assembly 40 is used to bias showerhead 36 so that it acts as an electrode for PECVD techniques as explained in greater detail below. A sealing structure 49 seals the opening 48 around feedline assembly 40. Plasma and reactant gases are introduced into vertical flow passage 45 by concentric gas rings or halos 50, 52. As will be appreciated, other gas-dispensing structures might be utilized as are known by a person of ordinary skill in the art. The concentric rings 50, 52 are coupled to appropriate gas supplies (not shown) through lines 56, 58, respectively and the rings include a number of gas-dispensing holes 54 which evenly dispense the gases around the perimeter of flow passage 44. Showerhead 36 includes gas-dispersing holes 64 for dispersing reactant gases over substrate 28.
Cylinder assembly 34 includes a cylinder 60 and an insulator ring 62 which electrically separates cylinder 60 and showerhead 36 whenever showerhead 36 is biased with RF energy. Cylinder 60 is preferably electrically grounded by ground line 61. The insulator ring 62 is preferably dimensioned in diameter and width as indicated by reference numeral 63 to ensure complete electrical separation between cylinder 60 and showerhead 36 along the entire attachment interface between the cylinder 60 and showerhead 36 (see Fig. 4). The insulator ring may be made of quartz material such as Quartz T08-E available from General Electric and in one embodiment the ring has a thickness of approximately 0.75 inches.
In use, CVD reactant gases are introduced at the top of flow passage 44 through rings 50, 52, and the gases are drawn generally downwardly in the direction of arrows 43 by the downward pumping action of rotating susceptor 26. The showerhead 36 is preferably spaced from 2 to 4 inches from the rings 50, 52 to ensure a steady state flow of the gases at showerhead 36. More specifically, as the reactant gases flow downwardly through flow passage 44, a velocity profile develops. The velocity profile is a measurement of gas velocities at various points in the gas flow as measured across the gas flow perpendicular to the flow direction 43. Generally, the velocities across the gas flow at the top of the flow passage 44 near rings 50,
52 are generally equal. However, at the bottom of flow passage 44, generally above the top surface 37 of showerhead 36, the velocity profile of the gas flow, indicated by arrows 45, has reached a steady state. At steady state the velocity of the reactant gas flow is generally greater in the center 67 of the showerhead 36 than it is at the periphery 69 of showerhead 36. Showerhead
36 flattens out the velocity profile of the reactant gas flow across the bottom surface 39 of the showerhead such that below showerhead 36 proximate substrate 28 the flow velocity near the center 67 of showerhead 36 is generally equal to the flow velocity at the periphery 69 of the showerhead 36.
The spacing between the rings 50, 52 and showerhead 36 provided by cylinder assembly 34 and flow passage 44, and one inch or less spacing between showerhead 36 and rotating substrate 28 achieved by the present invention yields uniform gas flow over the top surface 29 of substrate
28 with a very thin boundary layer. As shown in Fig. 3, utilizing the 1 inch or less showerhead spacing of the present .invention the streamlines of reactant gas flow 80 are held much closer to the substrate 28. The boundary layer height as indicated by reference numeral 81 is effectively reduced, and thus, there is a greater density of reactant gases present at the substrate surface 29 to take place in the chemical vapor deposition of a film. This ensures that a greater percentage of reactant gases are utilized in the CVD reaction, and therefore, a smaller percentage of the reactant gases bypass the substrate 28 unreacted to be exhausted by vacuum opening 71 around baffle 73 and out of the reaction space 24.
As discussed above, the reactant gas flow through flow passage 44 is drawn downwardly and through showerhead 36 by the downward pumping action of the rotating susceptor 26. An increased susceptor rotation rate produces an increased deposition rate because an increased quantity of reactants are being pumped to the surface. This is termed the rotating disk effect. The process curves in Fig. 8 illustrate that the rotating disk effect occurs for the reduced showerhead-to-susceptor spacing achieved by the present invention. That is, as the rotation rate of the susceptor 26 increases, the deposition rate increases indicating that a greater quantity of reactants is being pumped to the surface 29 of substrate 28. A maximum deposition rate is reached whenever the incoming and downward gas flow to the substrate is equal to the outward flow of gas away from the substrate. Such a condition is generally referred to as matched gas flow. In the present invention, it is preferable to utilize matched gas flow. Further discussion of matched gas flow is disclosed in the pending application entitled, "A Method For Chemical Vapor Deposition Of Titanium-Nitride Films At Low TemperaturesM, Serial No. 08/131,900, filed October 5, 1993, which application is incorporated
herein by reference.
At susceptor rotation rates which pump the gas downwardly at a
rate higher than the rate at which it can be carried away from the substrate, i.e., unmatched flow, the deposition rate drops because recirculations and backflow of the gas develop at the substrate surface. In Fig. 8, the deposition rate curve for one embodiment of the present invention, indicated by reference numeral 82, shows higher deposition rates than the curve achieved without the present invention which is indicated by reference numeral 84. Curve 82 indicates that less of the reactant gases are bypassing the wafer surface 29 in the present invention, and consequently, more are participating in the surface CVD reaction. Furthermore, curve 82 is flatter than curve 84 which indicates an improved process stability over a wider range of rotation rates when using the present invention
In accordance with another feature of the present invention, the showerhead 36 may be biased with RF energy to function as an RF electrode for PECVD techniques. When plasma gases, such as H2, N2 and NH3, are introduced, such as through rings 50, 52 they are excited into a plasma by showerhead/electrode 36 preferably below the showerhead/electrode 36 and not within cylinder 60. The approximate 1 inch or less spacing between the RF showerhead/electrode 36 and substrate 28 creates a very concentrated plasma near substrate 28 which is useful for low temperature PECVD, and particularly for low temperature PECVD of titanium-containing films. Specific uses of the present invention are illustrated in a co-pending application entitled "Method and Apparatus for Producing Thin Films by Low Temperature Plasma- Enhanced Chemical Vapor Deposition Using a Rotating Susceptor Reactor", filed on the same day herewith and which is completely incorporated herein by reference. Several examples of use of the embodiments of the present invention are given below. The terms "showerhead 36" and "showerhead/electrode 36" will be used interchangeably throughout this application to designate a similar structure for non-RF electrode and RF electrode features of the present invention, respectively.
More specifically, RF power source 38, through RF feedline assembly 40, biases showerhead/electrode 36. The electrically grounded susceptor 26 forms another parallel electrode. An RF field is created preferably between showerhead 36 and susceptor 26 and the RF field excites the plasma gases which are dispersed through holes 64 so that a plasma is generated generally below showerhead/electrode 36. It is preferable that the plasma is created below the showerhead/electrode 36 and not within the flow
space 44 above the showerhead/electrode 36. It is further preferable that the plasma is not created within dispersion holes 64 but rather is confined below the bottom surface 39 of showerhead/electrode 36. Thus, the dispersion holes 64 are dimensioned so that the generated plasma is preferably confined below surface 39 of showerhead/electrode 36. In one embodiment of the present invention, the diameter of the dispersing holes 64 is 1/32 of an inch. Furthermore, other features of the present invention ensure that the generated plasma is concentrated below the showerhead/electrode 36. For example, insulator sleeves 96, 98 are utilized within the RF feedline assembly 40 to insulate the RF line from the metal of cylinder 34 and housing 22 as illustrated in Fig. 4 and discussed in greater detail below. Additionally, quartz insulator ring 62 electrically separates the showerhead/electrode 36 from cylinder 34 to further confine the plasma below showerhead/electrode 36. The rotation of susceptor 26 and accompanying pumping action and the flow of gases within cylinder assembly 34 and flow passage 44 ensures a uniform flow of gases to the plasma for uniformly sustained plasma and uniform deposition.
With PECVD according to the principles of the present invention, a reactant gas, such as TiCL,, is also introduced into flow passage 44 such as through a gas ring similar to rings 50 and 52, although the plasma gases and the reactant gases are preferably introduced through different rings. The gas particles of the reactant gases are also excited by the RF field generated by showerhead/electrode 36 and susceptor 26 but do not form what would be defined as a plasma. Therefore, a mixture of excited reactant gas particles and a plasma of the plasma gas particles are concentrated above substrate 28 and preferably within 1 inch of the substrate in accordance with the principles of the present invention.
The RF showerhead electrode 36 may be excited with RF energy having a frequency in the range of, for example, 450 KHz to 13.56 MHz, and the invention does not seem to be particularly frequency sensitive. The generation of a uniform plasma within 1 inch or less of substrate 28 yields a high density of useful plasma gas radicals and ions proximate the substrate surface 29. The pumping action of the susceptor draws the plasma particles and excited reactant gas particles to the substrate to react and form a film. Generally, a substrate rotation rate somewhere between 0 and 2,000 rpm might be utilized with the RF showerhead/electrode of the present invention. However, no rotation, i.e., 0 rpm, although not drastically affecting the deposition rate, seems to lower the uniformity of the reactant and plasma gas flow and the subsequent deposition. A useful rotation rate for depositing titanium-containing films has been found to be around 100 rpm. Since the showerhead/electrode 36 of the present invention generates a plasma containing radicals and ions of the plasma gases, the showerhead spacing and deposition parameters should preferably be chosen to achieve a useful mixture of radicals and ions at the substrate surface 29. While some ion bombardment of me substrate 28 is beneficial because it supplies additional energy to the growing film layer on the surface 29, too much ion bombardment of a substrate may damage the integrated circuit devices on a substrate. Furthermore, a high density of ions leads to poor film conformality as ions have a tendency to stick to contact and via surfaces. As discussed above, showerhead/electrode-to-susceptor spacing within 1 inch and preferably within 20 mm has proven useful.
Fig. 4 discloses an RF showerhead/electrode configuration similar to the configuration in the embodiment of Fig. 2 except in greater detail. Wherever possible similar reference numerals will be utilized between Figs. 2 and 4. There is shown in section a portion of CVD deposition chamber housing 22, to which is mounted the RF showerhead/electrode assembly 34. The showerhead/electrode 36 includes an RF line stem 6jJ
mounted thereto which is one of several components making up the RF feedline assembly 40 supplying RF energy to showerhead/electrode 36. The RF feedline assembly 40 also acts as a heat pipe to conduct heat away from showerhead/electrode 36 as is discussed in greater detail hereinbelow. Line stem 68 may be machined concentrically into and integral with the upper surface 37 of showerhead/electrode 36 to increase the RF signal conduction and heat conduction efficiency see Fig. 5). The RF feedline assembly 40 includes an RF line 92 which comprises line stem 68 and an additional length of tubing 94 welded thereto such as at 93 to achieve the desired overall length of the RF line 92 and to attach tubing 94 to the stem 68. The showerhead/electrode 36 and the integral line stem 68 may be made of Nickel-
200, while RF line tubing 94 may be made of a highly conductive material such as 6061-T6 aluminum. However, it will be appreciated by persons skilled in the art that other materials can be used for the RF line tubing 94, such as Nickel-200. In one embodiment, the RF line tubing 94 is made of aluminum coated with an outer layer of nickel to prevent an RF plasma from forming within said cylinder 60 of the cylinder assembly 34 during use of the
RF showerhead/electrode 36 according to the principles of the present invention.
As already discussed, showerhead electrode 36 is perforated with a pattern of gas dispersion holes 64 to distribute the reactant and plasma gases evenly during CVD processing. As shown in Figs. 4 and 5, upstanding RF line stem 68 is provided with a circumferential shoulder flange 70 adjacent and extending generally parallel to showerhead/electrode 36. The flange 70 is spaced above showerhead/electrode upper surface 37 and permits the gas dispersion hole pattern to extend beneath the shoulder flange 70, thereby minimizing gas flow disturbances. Furthermore, the flange 70 aids in the conduction of the RF energy along line 92 to showerhead/electrode 36, assists in cooling showerhead/electrode 36, and provides mechanical support for ceramic isolator tubes 96, 98.
The RF showerhead/electrode assembly 34 of Fig. 4 further includes first and second ceramic isolator tubes 96, 98. respectively, which are concentric with and surround at least a portion of RF line 92. As shown, ceramic isolator tubes 96, 98 are supported by circumferential shoulder flange
70. Tubes 96, 98 may be formed of the ceramic alumina (99.7% Al2O3), which is readily commercially available, such as from Coors Ceramics of
Golden, Colorado. Isolator tubes 96, 98 prevent RF plasma from forming around the RF line 92 during CVD processing by isolating the metal RF line
92 from any of the plasma and reactant gases present within the cylinder 60.
It is desirable to prevent the formation of a plasma within the cylinder 60 in order to concentrate the plasma below showerhead/electrode 36.
Additionally, and as described more fully below, the isolator tubes 96, 98 operate to reduce and prevent electrical shoπing between gas distributor cover
100 (which is at ground potential) and RF line 92 at the opening 48 where RF line 92 passes through gas distributor cover 100.
Gas distributor cover 100 is mounted to housing 22 by means of a plurality of screws 102. As shown in Fig. 4, gas injection rings or halos such as rings 50, 52 (shown in phantom in Fig. 4) are located slightly below gas distributor cover 100 to supply the CVD reactant and plasma gases to the inside of cylinder 60 as already discussed. Gas injection rings 50, 52 may be only two of a plurality of concentric rings for introducing numerous reactant gases into the cylinder 60. A seal assembly 49 prevents vacuum leaks at the opening 48 where RF line 92 passes through gas distributor cover 100. This assembly includes a shaft seal and a flange seal. As shown in Fig. 4, a ceramic seal plate 104 is pressed downwardly by two stainless steel clamps 106. Clamps 106 are biased against distributor cover 100 by spring washer/screw assemblies 108 to obtain a predetermined downward force on the seal components to insure proper sealing, to accommodate tolerance stacks in the seal components, and to take up dimensional changes due to thermal expansion which may occur during CVD processing. Seal plate 104 presses downwardly on a stainless steel ferrule 110 which in turn presses down on an O-ring 112 seated in ceramic seal body 114. The downward force exerted by clamps 106 on seal plate 104 also forces seal body 114 downwardly against gas distributor cover 100, which compresses the O-ring 116 located between seal body 114 and gas distributor cover 100. It should be noted that seal body 114 has a downwardly extending annular flange 118 which surrounds RF line 92 over the entire length of it which passes through gas distributor cover 100. The lower end 120 of annular flange 118 extends downwardly to a point where it meets the inner ceramic isolator tube 96. As shown, the outer ceramic isolator tube 98 extends further upward than isolator tube 96, such that there is no direct line between gas distributor cover 100 and RF line 92. This prevents arcing when the RF line 92 is used to supply RF energy to showerhead/electrode 36.
Biasing of the showerhead/electrode 36 with RF energy in addition to the temperatures utilized in CVD techniques heats the showerhead/electrode 36 during use. To ensure proper operation, showerhead/electrode 36 is cooled, and to this end, the RF line 92 also functions as a heat pipe structure. With respect to heat pipe structures, such devices are known, per se, and in the present invention, the heat pipe structure is used to carry off heat from the showerhead/electrode 36 generated by radiant energy from the heated susceptor 26, as well as by the RF energy applied to the showerhead/electrode 36. The center space 122 of RF line 92 is provided with a felt or other suitable capillary wicking material liner (not shown). Space 122 is sealed with a liquid (e.g., acetone) therein under its own vapor pressure that enters the pores of the capillary material wetting all internal surfaces of RF line 92. By applying heat at any point along the length of the RF line, the liquid at that point boils and enters a vapor state. When that happens, the liquid in the wicking material picks up the latent heat of vaporization and the vapor, which then is at a higher pressure, moves inside the sealed pipe to a cooler location where it condenses and re-enters the liner. Thus, the vapor gives up its latent heat of vaporization and moves heat from the "input" to the "output" end of the heat pipe structure. As a general frame of reference, heat may be moved along a heat pipe at a rate of approximately 500 mph.
With reference to the specific configuration utilized in Fig. 4, the "input" end of the heat pipe structure is the end of RF line 92 which is affixed to showerhead/electrode 36. The "output" end is the upper end of RF line 92 shown in the Fig. 4 which has a liquid-cooling jacket 124 sealed around it. The seal is effected by O-ring shaft seals 125 and 126. Cooling jacket 124 is preferably a polymeric material and is provided with TEFLON compression fittings 128 and 129 which connect TEFLON tubing 130 to cooling jacket 124. A suitable cooling liquid, such as water, flows through tubing 130 and cooling jacket 124 to carry heat away from RF line 92. This permits direct contact of the cooling liquid with the RF line 92 for efficient conduction of heat from the line 92. Additionally, with this configuration, at no time is the CVD reactor chamber exposed to the possibility of an internal coolant leak, nor is there any corrosive effect on metal tubing by RF carrying liquid. As stated, the fluid which passes through TEFLON tubing 130 and carries the heat away from the RF line 92 may be water, although a variety of fluids can be used depending on the heat to be conducted away from the line 92. RF line 92 also includes a cap 132 which is welded in place and has a fill tube 134 for filling the internal space 122 with the desired fluid. A suitable commercially available heat pipe may be obtained from Thermocore Inc., of Lancaster, PA.
As discussed, cylinder 60 forms part of cylinder assembly 34 and mounts showerhead/electrode 36 to the housing cover 32. The cylinder 60 is dimensioned such that the showerhead/electrode 36 is positioned generally within 1 inch of susceptor 26 after taking into account the thickness of ring 62. Showerhead/electrode 36 is fastened to cylinder 60 by means of screws 136, which are preferably made of a material that does not corrode in the presence of an RF plasma. One such material is Hastelloy C-22, which is a trade name of Hanes International, of Kokomo, IN. Suitable screws made of this material are available from Pinnacle Mfg. of Tempe, AZ.
Insulator ring 62 electrically isolates showerhead/electrode 36 from cylinder 60. The insulator ring may be formed of quartz and preferably of a suitable quality quartz which has few and/or very small internal blemishes such as air bubbles. A suitable quartz material is Quartz T08-E available from Hereaus Amersil of Tempe, Arizona. The quartz may be machined to form a quartz ring approximately 3/4 (0.75) inches thick and having diameter dimensions which match the dimensions of the cylinder 60 and
showerhead/electrode 36 between which the insulator ring 62 is fixed. Screws 136, which are at ground potential, are isolated from the showerhead electrode 36 by two interlocking ceramic isolator sleeves 138 and 139. Quartz ring 62, while insulating showerhead/electrode 36 from cylinder 60, is also used because of the significant resistance of quartz to thermal shock. This is important because the RF showerhead/electrode 36 below ring 62 becomes heated to a higher temperature, and more rapidly, than cylinder 60 above quartz ring 62, thus inducing thermal shock and stress in ring 62. Screws 140, which may be made of the same material as screws 136, are utilized to affix cylinder 60 to housing 22.
RF energy is conducted to showerhead/electrode 36 by RF feedline assembly 40 comprising stem 68 and tube 94. Isolator tubes 96, 98 are needed to electrically isolate and prevent arcing between tube 94 and any parts of the metal housing 22, including distributor cover 100. Furthermore, the apparatus includes a seal around tubing 94 at the location where it passes through distributor cover 100.
RF energy is supplied through a shielded RF supplying cable 142 which is connected to an RF power source 38 (shown in Fig. 2) and has a UHF connector 144 at one end. Connector 144 mates with another UHF connector 146, which in turn is coupled via a length of 12 gauge wire 148 to a stainless steel shaft collar 150 mounted at the upper end of RF line 92. The shaft collar 150 is in frictional contact with RF line 92. To that end, collar 150 may include opposing clam-shell clamps which may be tightened against one another by means not shown to firmly grip line 92. With this arrangement there is minimal resistance to the flow of RF current through line 92. The segment of RF line 92 which is exposed above shaft collar 150 is isolated from the grounded metal shielding 152 by a polymer cap 154. The apparatus is capable of delivering 250-300 watts of RF frequencies from 450 KHz to 13.56
MHz.
Fig. 5 discloses a top view, of one showerhead design appropriate for the embodiment of the present invention shown in Figs. 2 and 4. Showerhead 36 is generally circular and includes dispersion holes 64 generally throughout its entire area. Showerhead 36 may be approximately 8.0 inches in total diameter with an area 156 containing holes 64 having a diameter of 6.5 inches. As will be appreciated by a person of ordinary skill in the art, the diameter of the showerhead 36 and the hole area 156 will depend upon the size of the substrate wafers which are processed using the current invention. Showerhead 36 may have generally from 200 to 1,200 dispersion holes 64 and preferably for an 8.0 inch showerhead has from 300 to 600 holes for dispersing the gases. As discussed above, the inner diameter of the holes 64 is preferably 1/32 (0.0313) inches, to prevent a plasma from forming within the cylinder 60.
Showerhead 36 includes a peripheral edge section 157 with spaced openings 158 spaced around the periphery of showerhead 36 which receive screws 136 or other fasteners for connecting showerhead 36 to the quartz ring as shown in Fig. 4. As already shown, the showerhead 36 includes a stem 68 which forms flange 70. Stem 68 and flange 70 are formed integrally with showerhead 36 and form part of the RF line assembly 40 connected to showerhead 36. The showerhead, 36, including stem 68, is formed of an electrically conductive material and preferably is formed of
Nickel-200. The showerhead 36 in one embodiment of the invention has a thickness dimension in the holed area 156 of preferably 1/4 (0.25) inches.
The embodiments of the present invention as illustrated in Figs.
2 and 4 have been utilized to deposit layers of titanium and titanium nitride at low substrate temperatures. Various examples of CVD and PECVD methods and use of the present invention are illustrated below. Deposition parameters are given for each example and the results of the deposition are illustrated in tables associated with particular parameters. Table 1 illustrates use of the apparatuses of the present invention to deposit a titanium nitride (TiN) layer on a substrate utilizing both nitrogen gas (N_) and hydrogen gas H_) and titanium tetrachloride (TiCU).
Deposition Parameters for Table No. 1
TiCU (seem) 10
H2 (seem) 500
N2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 1
Susceptor Rotation Rate (rpm) 100
Substrate Temp. (C°) 400
Deposition Time (seconds) 180 TABLE NO. 1
WAFER NO.
Results and 1 2 3 4 5 6 7 8 9 10
Additional
Parameters
TϊN layer 825 1023 1221 1262 1227 1224 1141 1348 1400 1106 thickness (A)
Deposition 275 341 407 421 409 408 380 449 487 389 U Rate (A/miπ)
Layer 1530 2686 4118 3108 855 4478 3982 4658 3449 4501 | Resistivity 4 (μQ -cm)
Susceptor 470 480 488 470 470 460 460 460 460 460 Temp C
Wafers 1 and 2 of Table 1 were silicon, while the remaining wafers 3-10 were thermal oxide. Wafers 6-10 received a 250 Watt RF plasma anneal for 120 seconds at an NH3 gas rate of 5000 seem, at an internal pressure of 3 Ton* (wafer 6 was done at 5 Torr), and the susceptor rotation rate of 100 rpm. Therefore, as may be seen, a layer of titanium nitride may be deposited at a substrate temperature approximately 400 *C, which is substantially less than the temperatures required for traditional thermal CVD processes.
The example of Table 2 below was accomplished with the parameters of
Table 1 except at a substrate temperature of 600 *C, and a layer of TiN was deposited according to Table 2 using the deposition parameters below.
Deposition Parameter?; for Table No. 1
TiCL, (seem) 10
H2 (seem) 500
N2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 1
Susceptor Rotation Rate (rpm) 100
Substrate Temp. (C°) 600
Deposition Time (seconds) 180 TABLE NO, 2
WAFER NO.
Results and 1 2 3 4 5 6 7 8
Additional
Parameters
TiN layer 657 822 740 768 767 765 773 910 thickness
(A)
Deposidon 219 274 247 263 256 255 258 303
Rate
(A/min)
Layer 391 254 432 543 471 949 973 2710
Resistivity (μQ -cm)
Susceptor 650 650 650 650 650 ' 650 650 650 Temp (°C)
Wafers 1 and 2 of Table 2 were silicon and wafers 3-8 were thermal oxide. An RF plasma, ammonia anneal was performed on substrate wafers 6-8 of Table 2 at a power lever of 250 Watts for 120 seconds, and an ammonia introduction rate of 5000 seem, a pressure of 5 Torr and a 100 rpm rotation rate.
The apparatuses of the present invention as illustrated in Figs. 2 and 4 have also been utilized to deposit a layer of pure titanium. Table 3 below sets forth the results and parameters of a deposition run which resulted in a deposited film of approximately 84% titanium on a thermal oxide wafer at 650 *C. This was an excellent result for such low temperature chemical vapor deposition. Deposition Parameters for Table No. 3
TiC (seem) 10
H2 (seem) 500
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 1
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 2700
Substrate Temperature (°C) 565
TABLE NO. 3
Figure imgf000031_0001
The substrate wafer of Table 3 was not annealed with an ammonia plasma as discussed above.
In Table 4, the flow of H2 was increased to 5000 seem for wafers 1-4 and to 3750 seem for wafers 5-9. The deposition pressure was increased to 5 Torr. For wafers 5-9, a flow of 0.5 standard liters per minute (slm) of Argon was utilized with the A2 as a diluent. In Table 4, wafers 1-2 and 5-6 were silicon, while wafers 3-4 and 7-9 were thermal oxide. Parameters for Table 4
TiC14 (seem) 10
H2 (seem) 5,000 (wafers 1-4); 3,750 (wafers 5-9)
Argon (slm) 0.5 (wafers 5-9)
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation rate (rpm) 100
Substrate Temp. (°C) 565
Deposition time (sec) 300 (600 for wafer 9)
Susceptor Temperature (°C) approximately 650
TABLE 4
WAFER NO.
Results and 1 2 3 4 5 6 7 8 9
Additional
Parameters
TiN layer 798 1076 43.4 89.5 912.2 1082 656.5 577.1 1302 thickness
(A)
Deposition 215.0 9.1 17.9 182.5 216.5 131.3 115.4 130.2 Rate 159.0
(A/min)
Layer 53.8 32.6 216. 377. 89.2 25.7 212. 211. 170 Resistivity 4 6 1 1 3 7 3 .1 (μQ -cm)
Table 5 shows additional runs made with the increased H, flow and increase deposition pressure. Deposition Parameters for Table No. 5
TiCl, (seem) 10 H2 (seem) 3,750 Argon (slm) 0.5
RF Power (watts) 250 @ 450 KHz Reaction Chamber Pressure (Torr) 5 Susceptor Rotation Rate (rpm) 100 Deposition time (sec) 300 (wafers 9-12 600 seconds) Substrate Temperature (°C) 565 Susceptor Temperature (°C) 650 TABLE NO. 5
WAFER NO.
Resuitt nid
A ditiooil 1 2 3 4 5 6 7 8 9 10 11 12
P-πmcteπ
TiN layer 889.6 3994 510-3 458.6 466.2 385.6 347.8 263.3 792-5 948.8 749.7 714.4 (A)
Dcpouόoα 177.9 79.9 102.1 91.7 93.2 77.1 69.6 52.7 79.3 94.9 75.0 71.4 Rile
(A min)
Layer 54.03 35.71 233.4 274.1 281.0 280.1 545.1 489.1 314.1 203.5 Reti-tivity
The change in deposition pressure from 1 Torr to 5 Torr produced a more stable and symmetric plasma. Additionally, the increased hydrogen flow with the addition of a small flow of argon increased the stability of the plasma flow as well as the plasma intensity. An argon flow of 0-10 slm is preferable. Wafers 1-2 were silicon, while wafers 3-10 were thermal oxide. Wafers 11 and 12 were borophospho-silicate glass, available from Thin Films, Inc. of Freemont, California. None of the wafers of either Table 4 or 5 were annealed with an ammonia plasma anneal.
Table 6 shows additional deposition runs at a susceptor temperature of 450* C. Deposition Parameters for Table No. 6
TiCl4 (seem) 5
H2 (seem) 3,750
Argon (slm) 0.3
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 180
Substrate Temperature (°C) approximately 400°C
Susceptor Temperature (°C) 450
TABLE NO. 6
WAFER NO.
Results and 1 2 3 4 5 6 7
Additional
Parameters
TiN layer 242 222 210 241 168 136 150 thickness
(A)
Deposition 80.7 74.0 70.0 80.3 56.0 45.3 50.0 Rate (A/min)
Layer 66.0 554.0 494.0 714.0 484.0 0.1 0.1 Resistivity (μQ -cm)
Wafers 1-4 were silicon, wafer 5 was thermal oxide, while wafers 6 and 7 were aluminum alloy containing aluminum silicon and copper. Runs 6 and 7 of Table 6 illustrate the viability of depositing a titanium-containing film on aluminum using the present invention. The deposition runs of Table 6 utilized a lower flow of reactant gas than the runs of Table 5, i.e., 5 seem of TiCl,.
The depositions runs of Table 7 were made at further reduced TiCl* flow rates. All of the wafers of Table 7 were thermal oxide.
None of the wafers of Tables 6 or 7 were annealed with an RF ammonia anneal.
Deposition Parameters for Table No. 7
TiCl, (seem) wafers 1-2, 4 seem; 3-4, 3 seem; 5-6, 2 seem; and wafer 7 at 1 seem H2 (seem) 3,750
RF Power (watts) 250 @ 450 KHz
Reaction Chamber Pressure (Torr) 5
Susceptor Rotation Rate (rpm) 100
Deposition time (sec) 300 (wafers 1 and 2 at 180 and 240, respectively)
Substrate Temperature (CC) approximately 400°C
Susceptor Temperature (°C) 450
TABLE NO. 7
WAFER NO.
Results and 1 2 3 4 5 6 7
Additional
Parameters
TiN layer 89 132 158 149 158 166 107 thickness
(A)
Deposition 30 33 32 32 32 33 21
Rate
(A/min)
Layer 259 239 199 199 190 208 482 Resistivity (μQ -cm)
Fig. 6 shows an alternative embodiment of the present invention which eliminates the metal cylinder 60 and insulator ring 62 while preventing electrical arcing inside of the cylinder assembly proximate the RF line and preventing the undesired formation of plasma within the cylinder assembly when the showerhead is biased as an electrode. The embodiment of Fig. 6 utilizes a housing similar to housing 22 of Fig. 4 which includes a housing cover 160 and includes an RF supply assembly 162, a heat pipe assembly 164 with cooling jacket 165 and fluid supply lines and a gas distributor cover 166 with a sealing assembly 168 all generally similar to the respective components of Fig. 4. However, the cylinder assembly 170 does not include a metal cylinder 60 and insulator ring 62. Rather, a cylinder 172 made of insulating material such as quartz surrounds the RF feed line assembly 174.
Cylinder 172 is preferably formulated out of a high quality quartz such as Quartz T08-E available from Hereaus Amersil, as mentioned above. Quartz cylinder 172 is supported by a showerhead/electrode 176, made of a conductive metal such as Nickel-200, without the use of screws or other fasteners that are utilized within the embodiment of Fig. 4. Specifically, a stepped bore 178 is formed within housing cover 160 to receive an upper end 177 of cylinder 172. O-rings 179, 180 are placed at the interface 181 between stepped bore 178 and cylinder 172 to form a seal at interface 181. At the lower end 184 of cylinder 172, an annular notch 186 is formed in cylinder 172 to receive a peripheral edge 188 of the showerhead/electrode 176. The notch 186 of cylinder 172 rests upon the peripheral edge 188 of showerhead/electrode 176. Showerhead/electrode 176 includes a stem 194 which is attached to RF line tubing 175 such as by a weld at 195 to form a unitary RF line 197. RF line is frictionally held and supported at its top end by collar 199 similar to collar 150 of Fig. 4. The RF line, in turn, supports showerhead/electrode 176 above susceptor 182. Showerhead/electrode
176, in turn, supports the cylinder 172 within the cylinder assembly 170 by abutting against cylinder 172 at shelf notch and holding it in bore 178.
The interface between showerhead/electrode peripheral edge 188 and cylinder notch 186 is sealed by a compressed O-ring 190 which is compressed between notch 186 and a corresponding annular notch 193 formed in peripheral edge 188. Similar to the embodiment of Fig. 4, a plurality of gas halos or rings 191, 192 introduce the necessary plasma and reactant gases into cylinder 172.
The embodiment of Fig. 6 eliminates the need for metal screws to attach the cylinder 172 to the housing 160 and the showerhead/electrode 176 to the cylinder 172. This further reduces the possibility of arcing inside of cylinder 172 because of the reduced metal proximate the biased RF showerhead/electrode 176. Furthermore, it is not necessary to utilize ceramic isolator sleeves at the showerhead peripheral edge 188.
Accordingly, the RF showerhead/electrode 176 has also been modified. As shown in Figs. 6 and 7 showerhead/electrode includes a stem 194 without a flange. Instead, a slight ridge 196 is formed around stem 194, and as shown in Fig. 6, ridge 196 supports a generally circular ceramic tray 198 which is formed from a ceramic material similar to the ceramic isolator sleeves 94, 96 shown in Fig. 4. Ceramic tray 198 is supported by ridge 194, and in turn, supports isolator sleeves 200, 201.
Isolator sleeves 200, 201 are also preferably made of a ceramic insulator material similar to sleeves 94, 96 of Fig. 4. Around the peripheral edge 188 of showerhead/electrode 176, shelf 193 is formed to receive O-ring 190 and seal the interface between cylinder 172 and showerhead/electrode 176 as discussed. Gas dispersion holes 206 are formed within an area 204 similar to the dispersion hole area 156 of the showerhead/electrode shown in Fig. 4. Preferably the holes are approximately 1/32 (0.0313) inches in diameter to prevent the formation of a plasma inside cylinder 172 to confine the plasma generally below the showerhead/electrode 176 and above the susceptor 182 as already discussed above. The embodiment of Fig. 6 utilizes cylinder 172 and eliminates the metal attachment screws proximate showerhead/electrode 176 which helps to prevent the formation of a plasma within cylinder 172 and to prevent arcing between the RF line 175 and showerhead/electrode 176 and any of the surrounding metal. A layer of insulation 208 may be placed atop gas distributor cover 166 to prevent contact by an operator, because the gas distributor cover 166 becomes very hot during operation.
While the present invention has been illustrated by the description of embodiments thereof, and while the embodiments have been described in considerable detail, it is not the intention of Applicants to restrict or in any way limit the scope of the appended claims to such detail. Additional advantages and modifications will readily appear to those skilled in the art. For example, the cylinder and showerhead utilized in one embodiment of the present invention might be fabricated from a different metal than disclosed. Furthermore, the non-conductive cylinder and ring in the various embodiments of the present invention might be fabricated of a material other than quartz. The invention in its broader aspects is therefore not limited to the specific details, representative apparatus and method, and illustrative example shown and described. Accordingly, departures may be made from such details without departing from the spirit or scope of Applicants' general inventive concept.
What is claimed is:

Claims

1. An apparatus for deposition of a film on a substrate inside a chemical vapor deposition chamber comprising: a rotating susceptor to support and rotate said substrate inside said chamber, the rotating susceptor creating a pumping action toward the substrate; a gas-dispersing showerhead opposite the susceptor and spaced about one inch or less from said susceptor and substrate and having holes to disperse reactant gases close to the rotating susceptor and said substrate so that the gases are drawn to said substrate by the susceptor pumping action; a reactant gas supply element spaced from said gas-dispersing showerhead to supply reactant gas to be dispersed from the showerhead, the supply element being located from the showerhead to create a generally linear reactant gas flow between the supply element and showerhead before the reactant gases are dispersed through the showerhead; whereby to yield improved reactant gas flow over said substrate and a more efficient chemical vapor deposition of a film on said substrate.
2. The apparatus of claim 1 further comprising a hollow cylinder located between the supply element and the gas-dispersing showerhead and having a first end coupled to the supply element and a second end coupled to the gas- dispersing showerhead to contain the linear gas flow between the supply element and showerhead.
3. The apparatus of claim 1 further comprising an RF energy source coupled to the showerhead to bias the showerhead as an RF electrode, the RF showerhead electrode operable to excite reactant gas from said supply element to form a plasma for depositing a film on said substrate by plasma enhanced chemical vapor deposition.
4. The apparatus of claim 3 further comprising an RF line connecting the RF energy source to the showerhead electrode proximate the center of the showerhead electrode to uniformly bias the showerhead electrode.
5. The apparatus of claim 3 further comprising a hollow cylinder between the supply element and the gas dispersing showerhead electrode, the cylinder having a first end coupled to the supply element and a second end coupled to the showerhead electrode to contain the linear gas flow between the supply element and showerhead and produce a concentrated plasma proximate the
susceptor and substrate.
6. The apparatus of claim 5 wherein the showerhead electrode is connected to the cylinder second end, the apparatus further comprising a non- conductive element coupled between the cylinder and showerhead electrode to prevent biasing of the cylinder with RF energy.
7. The apparatus of claim 6 wherein the non-conductive element is a ring connected between the cylinder second end and a peripheral edge of the showerhead electrode.
8. The apparatus of claim 3 wherein the holes of the gas-dispersing showerhead electrode are about 1/32 of an inch in diameter to confine the plasma to one side of said showerhead electrode between the susceptor and the showerhead electrode.
9. The apparatus of claim 4 further comprising a hollow cylinder between the supply element and the showerhead electrode, the cylinder having a first end coupled to the supply element and a second end coupled to the showerhead electrode to contain the linear gas flow between the supply element and showerhead electrode and produce a concentrated plasma proximate the susceptor and substrate, a portion of the RF line extending through said cylinder to the showerhead electrode.
10. The apparatus of claim 9 wherein the RF line includes a non- conductive covering over the portion of RF line extending through the cylinder to insulate the RF line section and prevent formation of a plasma within the cylinder.
11. The apparatus of claim 5 wherein the cylinder is formed of a non- conductive material to prevent biasing of the cylinder with RF energy.
12. The apparatus of claim 12 wherein the non-conductive material
quartz.
13. The apparatus of claim 6 wherein the non-conductive element is
formed of quartz.
14. An apparatus for plasma enhanced chemical vapor deposition of a film on a substrate inside a chemical vapor deposition chamber comprising: a rotating susceptor to support and rotate said substrate inside said chamber, the rotating susceptor creating a pumping action toward the substrate within the chamber; a gas-dispersing showerhead opposite the susceptor and spaced about one inch or less from said susceptor and substrate and having holes to disperse reactant gases close to the rotating susceptor and said substrate so that the gases are drawn to said substrate by the susceptor pumping action; a reactant gas supply element spaced from the gas-dispersing showerhead to supply reactant gas to be dispersed from the showerhead, the supply element being located from the showerhead to create a generally linear reactant gas flow between the supply element and showerhead; a hollow cylinder located between the supply element and the showerhead, the cylinder having a first end coupled to the supply element and a second end coupled to the showerhead to contain the linear gas flow between the supply element and showerhead; and an RF energy source coupled to the showerhead to bias the showerhead as RF electrode operable to excite reactant gas from the supply element to form a plasma between the showerhead and rotating susceptor whereby to deposit a film on said substrate by plasma enhanced chemical vapor deposition.
15. The apparatus of claim 14 wherein the holes of the gas-dispersing showerhead electrode are about 1/32 of an inch in diameter to confine the plasma on one side of said showerhead electrode between the susceptor and the
showerhead electrode.
16. The apparatus of claim 14 further comprising a non-conductive element coupled between the cylinder and showerhead electrode to prevent biasing
of the cylinder with RF energy.
17. The apparatus of claim 16 wherein the non-conductive element is a ring connected between the cylinder second end and a peripheral edge of the showerhead electrode.
18. The apparatus of claim 14 wherein the cylinder is formed of a non- conductive material to prevent biasing of the cylinder with RF energy.
19. The apparatus of claim 18 wherein the non-conductive material is quartz.
20. The apparatus of claim 18 wherein the non-conductive element is formed of quartz.
21. A method for depositing a film on a substrate by chemical vapor
deposition comprising: positioning said substrate within an enclosed chamber;
introducing reactant gases into the chamber opposite said substrate through a reactant gas supply element spaced from said substrate; positioning a gas dispersing showerhead with gas-dispersing holes between the supply element and said substrate, the showerhead facing the substrate and spaced about one inch or less from said substrate; and rotating said substrate to draw the reactant gases to the substrate through the showerhead such that they react and form a film on the substrate. whereby to yield improved reactant gas flow over said substrate and more efficient chemical vapor deposition of a film on said substrate.
22. The method of claim 21 further comprising: coupling a hollow cylinder between the reactant gas supply element and the showerhead and directing the reactant gases through the cylinder to confine the gases over the showerhead.
23. The method of claim 21 further comprising: biasing the showerhead with RF energy such that the showerhead is operable as an electrode; and exciting the reactant gases with the showerhead electrode to form a plasma and deposit the film on said substrate by plasma enhanced chemical vapor
deposition.
24. The method of claim 23 wherein the gas-dispersing holes of the showerhead are dimensioned such that the plasma is generally confined to a side of the showerhead electrode facing said substrate to concentrate the plasma near the
substrate.
25. The method of claim 23 wherein the gas-dispersing holes of the showerhead are about 1/32 of an inch in diameter.
26. The method of claim 23 further comprising: coupling a hollow cylinder between the reactant gas supply element and the showerhead electrode; directing the reactant gases through the cylinder to confine the gases over the showerhead electrode and produce a concentrated plasma proximate the showerhead to deposit the film on the substrate by plasma enhanced chemical vapor deposition.
27. The method of claim 26 further comprising: electrically insulating the showerhead electrode from the cylinder to prevent biasing of the cylinder with rF energy from the showerhead electrode.
28. The method of claim 26 wherein the cylinder is fabricated from a non-conductive material to prevent biasing of the cylinder with RF energy from the showerhead electrode.
29. The method of claim 27 wherein the step of electrically insulating includes coupling an insulative member between the cylinder and showerhead
electrode.
30. The method of claim 29 wherein the insulative member is fabricated from quartz.
31. The method of claim 28 wherein the non-conductive material is quartz.
PCT/US1994/013614 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films WO1995033868A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
EP95904143A EP0763149B1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films
KR1019960706959A KR970703446A (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of CVD and PECVD films
JP8500804A JPH10501300A (en) 1994-06-03 1994-11-29 Low-temperature film forming method and apparatus by CVD method and PECVD method
CA002191456A CA2191456A1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films
DE69414274T DE69414274T2 (en) 1994-06-03 1994-11-29 METHOD AND DEVICE FOR THE LOW-TEMPERATURE DEPOSIT OF CVD AND PECVD FILMS
AU12947/95A AU1294795A (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/253,714 US5628829A (en) 1994-06-03 1994-06-03 Method and apparatus for low temperature deposition of CVD and PECVD films
US08/253,714 1994-06-03

Publications (1)

Publication Number Publication Date
WO1995033868A1 true WO1995033868A1 (en) 1995-12-14

Family

ID=22961417

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1994/013614 WO1995033868A1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films

Country Status (9)

Country Link
US (2) US5628829A (en)
EP (1) EP0763149B1 (en)
JP (1) JPH10501300A (en)
KR (1) KR970703446A (en)
AU (1) AU1294795A (en)
CA (1) CA2191456A1 (en)
DE (1) DE69414274T2 (en)
TW (1) TW335593B (en)
WO (1) WO1995033868A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7389741B2 (en) * 2003-06-27 2008-06-24 Lg. Philips Lcd. Co., Ltd Apparatus of fabricating a display device
CN112921305A (en) * 2021-01-22 2021-06-08 上海华虹宏力半导体制造有限公司 Method for improving film thickness uniformity

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3877082B2 (en) * 1995-08-10 2007-02-07 東京エレクトロン株式会社 Polishing apparatus and polishing method
KR100201386B1 (en) * 1995-10-28 1999-06-15 구본준 Reaction gas injecting apparatus of chemical vapor deposition apparatus
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP3635875B2 (en) * 1997-06-25 2005-04-06 東京エレクトロン株式会社 Film forming method and film laminated structure
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6121140A (en) * 1997-10-09 2000-09-19 Tokyo Electron Limited Method of improving surface morphology and reducing resistivity of chemical vapor deposition-metal films
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6440494B1 (en) 2000-04-05 2002-08-27 Tokyo Electron Limited In-situ source synthesis for metal CVD
KR100419756B1 (en) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 Thin-film deposition apparatus
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
KR100501339B1 (en) * 2001-11-02 2005-07-18 주성엔지니어링(주) Plasma apparatus
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
CN101068950A (en) * 2003-05-30 2007-11-07 阿维扎技术公司 Gas distribution system
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
JP4399206B2 (en) * 2003-08-06 2010-01-13 株式会社アルバック Thin film manufacturing equipment
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
TW200737533A (en) * 2005-12-21 2007-10-01 Nat Science And Technology Dev Agency Low-cost and high performance solar cell manufacturing machine
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
TWI475592B (en) 2008-07-07 2015-03-01 Lam Res Corp Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
KR101588482B1 (en) * 2008-07-07 2016-01-25 램 리써치 코포레이션 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
JP5271648B2 (en) * 2008-09-22 2013-08-21 株式会社ニューフレアテクノロジー Semiconductor manufacturing method and semiconductor manufacturing apparatus
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
CN102859679B (en) * 2010-03-03 2015-05-27 威科仪器有限公司 Wafer carrier with sloped edge
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101937115B1 (en) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
FR2997557B1 (en) 2012-10-26 2016-01-01 Commissariat Energie Atomique NANOFIL ELECTRONIC DEVICE WITH TRANSITION METAL BUFFER LAYER, METHOD OF GROWING AT LEAST ONE NANOWIL, AND DEVICE MANUFACTURING METHOD
FR2997420B1 (en) * 2012-10-26 2017-02-24 Commissariat Energie Atomique PROCESS FOR GROWING AT LEAST ONE NANOFIL FROM A TWO-STEP NITRIDE TRANSITION METAL LAYER
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
EP2747122B1 (en) * 2012-12-20 2019-07-03 Applied Materials, Inc. Plasma enhanced deposition arrangement for evaporation of dielectric materials, deposition apparatus and methods of operating thereof
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (en) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 Method for manufacturing silicone oxide film
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
CN104103561B (en) * 2014-07-24 2016-08-24 河北神通光电科技有限公司 Etching cavity and etching system thereof for gaseous hydrogen fluoride etching silicon dioxide
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4016765A1 (en) * 1990-05-25 1991-11-28 Philips Patentverwaltung Chemical vapour coating method - where process plasma is conc. in an area and passed through a small diaphragm opening into a substrate chamber
US5099790A (en) * 1988-07-01 1992-03-31 Canon Kabushiki Kaisha Microwave plasma chemical vapor deposition apparatus

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS59159167A (en) * 1983-03-01 1984-09-08 Zenko Hirose Manufacture of amorphous silicon film
DE3429899A1 (en) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4618542A (en) * 1983-11-21 1986-10-21 Tdk Corporation Magnetic thin film
JPS60221566A (en) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol Thin film forming device
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (en) * 1984-07-16 1986-02-06 Canon Inc Apparatus for forming amorphous silicon film
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (en) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt METHOD FOR THE PRODUCTION OF SEMICONDUCTOR LAYERS ON SEMICONDUCTOR BODIES OR FOR THE DIFFUSION OF INTERFERENCE POINTS IN THE SEMICONDUCTOR BODY
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) * 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
JPS61189626A (en) * 1985-02-18 1986-08-23 Canon Inc Formation of deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (en) * 1985-03-28 1986-10-04 Canon Inc Copying machine
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (en) * 1985-03-27 1996-09-25 キヤノン株式会社 Functional deposition film manufacturing equipment
JPH07101751B2 (en) * 1985-03-28 1995-11-01 キヤノン株式会社 Photovoltaic device manufacturing method
NL8602356A (en) 1985-10-07 1987-05-04 Epsilon Ltd Partnership APPARATUS AND METHOD FOR AN AXIAL SYMMETRICAL REACTOR FOR THE CHEMICAL VAPORIZATION.
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPH0645890B2 (en) * 1985-12-18 1994-06-15 キヤノン株式会社 Deposited film formation method
JPH084071B2 (en) * 1985-12-28 1996-01-17 キヤノン株式会社 Deposited film formation method
CH671407A5 (en) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (en) * 1986-12-12 1996-02-22 Canon Kk Process for forming functional evaporated films by a chemical microwave plasma evaporation process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3853890T2 (en) * 1987-01-19 1995-10-19 Hitachi Ltd Device working with a plasma.
KR900008505B1 (en) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Microwave enhanced cvd method for depositing carbon
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
JPS63233564A (en) * 1987-03-23 1988-09-29 Canon Inc Manufacture of junction transistor
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH0192375A (en) * 1987-10-05 1989-04-11 Canon Inc Device for forming functional deposited film by microwave plasma cvd
ATE124805T1 (en) * 1987-11-20 1995-07-15 Canon Kk PHOTOVOLTAIC PIN COMPONENT HAVING A P OR N SEMICONDUCTOR LAYER MADE OF NON-SINGLE CRYSTALLINE MATERIAL CONTAINING ZN, SE, TE, H IN AN AMOUNT OF 1 TO 4 ATOMS AND A DOPPING ELEMENT AND AN I SEMICONDUCTOR LAYER MADE OF NON-SINGLE CRYSTALLINE SI(H,F ).
ES2073407T3 (en) * 1987-11-20 1995-08-16 Canon Kk PHOTOVOLTAIC ELEMENT WITH PIN JOINT, TANDEM AND TRIPLE CELLS.
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198482A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
JPH01198481A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
JPH01298164A (en) * 1988-05-25 1989-12-01 Canon Inc Formation of functional deposit film
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
JPH01306565A (en) * 1988-06-02 1989-12-11 Canon Inc Formation of deposited film
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
DE68927726T2 (en) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Device for dry etching with a generator for generating anhydrous hydrofluoric acid gas
DE3926023A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke CVD COATING METHOD FOR PRODUCING LAYERS AND DEVICE FOR CARRYING OUT THE METHOD
KR940003787B1 (en) * 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 Thin film forming method and device
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
JP2717583B2 (en) * 1988-11-04 1998-02-18 キヤノン株式会社 Stacked photovoltaic element
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (en) * 1988-11-29 1990-06-07 Canon Inc Apparatus for forming semiconductor device continuously
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
JP2829653B2 (en) * 1989-01-21 1998-11-25 キヤノン株式会社 Photovoltaic element
JPH02192771A (en) * 1989-01-21 1990-07-30 Canon Inc Photovoltaic element
JP2892070B2 (en) * 1989-01-26 1999-05-17 キヤノン株式会社 Deposition film forming equipment
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
WO1990012126A1 (en) * 1989-03-31 1990-10-18 Canon Kabushiki Kaisha Method of forming polycrystalline film by chemical vapor deposition
JPH02258689A (en) 1989-03-31 1990-10-19 Canon Inc Method for forming crystalline thin film
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
JPH03193880A (en) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk Method and device for forming film at high rate by microwave plasma cvd under high pressure
JPH0394069A (en) 1989-09-05 1991-04-18 Mitsubishi Electric Corp Thin film forming device
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (en) 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
KR0184279B1 (en) * 1990-01-29 1999-04-15 미다 가쓰시게 Metal or metal silicide film making method
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
FR2664294B1 (en) 1990-07-06 1992-10-23 Plasmametal METHOD FOR METALLIZING A SURFACE.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (en) 1990-10-24 1999-11-01 고지마 마따오 Method for forming a thin film and semiconductor devices
JP2583152B2 (en) 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 Substrate rotating surface treatment method
JP3013455B2 (en) 1991-02-07 2000-02-28 日本電気株式会社 Plasma chemical vapor deposition of tantalum oxide films
JP2939355B2 (en) 1991-04-22 1999-08-25 東京エレクトロン株式会社 Plasma processing equipment
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5099790A (en) * 1988-07-01 1992-03-31 Canon Kabushiki Kaisha Microwave plasma chemical vapor deposition apparatus
DE4016765A1 (en) * 1990-05-25 1991-11-28 Philips Patentverwaltung Chemical vapour coating method - where process plasma is conc. in an area and passed through a small diaphragm opening into a substrate chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7389741B2 (en) * 2003-06-27 2008-06-24 Lg. Philips Lcd. Co., Ltd Apparatus of fabricating a display device
CN112921305A (en) * 2021-01-22 2021-06-08 上海华虹宏力半导体制造有限公司 Method for improving film thickness uniformity

Also Published As

Publication number Publication date
EP0763149B1 (en) 1998-10-28
TW335593B (en) 1998-07-01
US6140215A (en) 2000-10-31
US5628829A (en) 1997-05-13
AU1294795A (en) 1996-01-04
KR970703446A (en) 1997-07-03
CA2191456A1 (en) 1995-12-14
DE69414274D1 (en) 1998-12-03
JPH10501300A (en) 1998-02-03
DE69414274T2 (en) 1999-03-25
EP0763149A1 (en) 1997-03-19

Similar Documents

Publication Publication Date Title
EP0763149B1 (en) Method and apparatus for low temperature deposition of cvd and pecvd films
US5716870A (en) Method for producing titanium thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3597871B2 (en) Stacked showerhead assemblies for providing gas and RF (radio frequency) output to a reaction chamber
EP0734463B1 (en) Gas diffuser plate assembly and rf electrode
CN1217390C (en) Device and method for plasma processing and slow-wave plate
KR100445018B1 (en) Method and Apparatus for Metallizing High Aspect Ratio Silicon Semiconductor Device Contacts
US6553932B2 (en) Reduction of plasma edge effect on plasma enhanced CVD processes
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
WO1995033866A1 (en) Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3036477B2 (en) Semiconductor manufacturing equipment
CA2060917A1 (en) Plasma enhanced chemical vapor deposition device
KR102329646B1 (en) Substrate processing apparatus for comprising electric power and gas supplying structure of multiple shower head
JPH0250969A (en) Thin film forming device
JPH08209349A (en) Plasma cvd device
CN1700427A (en) Device and method for plasma processing, and slow-wave plate
JPH02185978A (en) Plasma cvd device
JP2000208424A (en) Treatment device and its method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AM AT AU BB BG BR BY CA CH CN CZ DE DK EE ES FI GB GE HU JP KE KG KP KR KZ LK LR LT LU LV MD MG MN MW NL NO NZ PL PT RO RU SD SE SI SK TJ TT UA UZ VN

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE MW SD SZ AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2191456

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 1995904143

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1995904143

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWG Wipo information: grant in national office

Ref document number: 1995904143

Country of ref document: EP