WO1996025760A1 - Procede et machine de fabrication de semiconducteurs - Google Patents

Procede et machine de fabrication de semiconducteurs Download PDF

Info

Publication number
WO1996025760A1
WO1996025760A1 PCT/JP1995/000210 JP9500210W WO9625760A1 WO 1996025760 A1 WO1996025760 A1 WO 1996025760A1 JP 9500210 W JP9500210 W JP 9500210W WO 9625760 A1 WO9625760 A1 WO 9625760A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
substrate
semiconductor device
processing
manufacturing
Prior art date
Application number
PCT/JP1995/000210
Other languages
English (en)
French (fr)
Inventor
Yoshio Kawamura
Tatsuharu Yamamoto
Shigeo Moriyama
Yoshifumi Kawamoto
Natsuki Yokoyama
Fumihiko Uchida
Minoru Hidaka
Miyako Matsui
Original Assignee
Hitachi, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi, Ltd. filed Critical Hitachi, Ltd.
Priority to US08/894,308 priority Critical patent/US5981399A/en
Priority to JP52481596A priority patent/JP3644036B2/ja
Priority to PCT/JP1995/000210 priority patent/WO1996025760A1/ja
Priority to KR1019970705155A priority patent/KR100382292B1/ko
Publication of WO1996025760A1 publication Critical patent/WO1996025760A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Definitions

  • the present invention relates to a semiconductor device manufacturing apparatus, and more particularly to a semiconductor manufacturing apparatus in which a plurality of different types of processing chambers are connected, a method of transporting a substrate therein, and a semiconductor apparatus.
  • Vacuum load lock chamber, nitride film deposition processing chamber, oxidation, annealing processing chamber, polycrystalline silicon film deposition processing chamber, wafer vapor cleaning, mainly through the gate valve, mainly in the transfer chamber in vacuum Describes a heat treatment furnace with a cluster structure that includes a processing chamber and the like, and performs processing under various atmospheric conditions under the conditions that control the surface condition of the semiconductor substrate.
  • FIG. 2 is a schematic plan view of the prior art. It mainly consists of the transfer chamber 2 i, the processing chambers 22, 23, 24, 25, 26, 27, 28, 29, and the transfer means 32 for the plate 31. .
  • Figure 2a shows the transfer chamber 21 with the canopy removed with the transfer means 32 that stimulates the substrate 31 facing the processing chamber 16. Indicates the status.
  • FIG. 2b shows a state in which the mobile transfer means 32 is inserted into the processing chamber 16 to transfer the substrate 31 and the canopy of the processing chamber 26 is removed.
  • the atmosphere in the transfer chamber 21 is maintained at a vacuum pressure of 10 to 6 torr, and each processing chamber is evacuated to a high vacuum pressure of about 10 to 8 torr each time a substrate is loaded and unloaded. After exhausting the processing gas in the room, reset to the same atmospheric conditions as in the transfer room.
  • a holder for holding the substrate is provided with a communication passage and preliminary exhaust. There are means for transferring the substrate through the chamber and the communication path. Disclosure of the invention
  • the multi-process apparatus separates between the processing chambers and the transfer chamber via a gate valve, and adjusts the atmospheric pressure in the processing chamber to be equal to that in the transfer chamber every time a substrate is transferred. Preparation time was required, and there was a problem that throughput was reduced. Moreover, since the atmosphere conditions in the processing chamber are set again each time the substrate is replaced, there is a difficult technical problem that it takes time to set the atmospheric conditions and it takes time to stabilize the processing conditions.
  • the atmospheric gas from the processing chambers and the transport chamber leaks through the gap formed between the communication passage and the substrate holder. Therefore, there is a technical problem that the substrate flows into the preliminary exhaust chamber and contaminates the substrate on the holder.
  • the present invention has been made in order to solve the above-mentioned problems, and the contamination between the transfer chamber and the processing chamber under different atmospheric conditions is maintained until the different atmospheric conditions of both chambers are maintained.
  • High-throughput semiconductor manufacturing equipment that can transport substrates to and from both chambers without providing them, and a method of transporting substrates that achieves this.
  • Semiconductor equipment The purpose is to provide.
  • a step of carrying in and out the substrate while maintaining the atmospheric conditions in the processing chamber and performing a predetermined process on the substrate is performed.
  • the method may further include: adjusting an atmosphere condition in a movable buffer chamber including the substrate to an atmosphere condition in the processing chamber. And carrying out predetermined processing on the substrate.
  • the substrate in a method of transferring substrates from a transfer chamber adjacent to each other and having an atmosphere under different conditions to a plurality of processing chambers, the substrate is transferred to the processing chambers using a buffer chamber that can be shared by the plurality of processing chambers. It has at least steps of carrying in and out and performing predetermined processing on the substrate. Further, in the method of transporting a substrate from a transport chamber adjacent to each other and having different atmospheres to the processing chamber, the substrate is transported in the transport chamber and a means for transferring and receiving the substrate in the transport chamber, facing the opening of the processing chamber.
  • the substrate is introduced into the processing chamber by a method of transferring and transferring the substrate from the first processing chamber to another processing chamber.
  • a means for transferring and receiving a substrate and the means for transferring and receiving a substrate mounted thereon are included.
  • the substrates are sequentially stacked while maintaining the atmosphere of each processing chamber and the atmosphere of the transfer chamber independently of each other.
  • a semiconductor device is manufactured by repeatedly transferring and transferring a processing device from one processing room to another processing room and adding processing functions of the semiconductor device.
  • the shut-off means of this processing chamber is opened and the substrate is loaded or unloaded, so that the substrate and its transfer means are the same as in the processing chamber.
  • the processing chamber because it is subjected to atmospheric conditions; 11 ⁇
  • After loading and unloading the substrate without changing the conditions taking out the substrate from the processing chamber, closing the blocking means and setting the inside of the buffer means to the same atmospheric condition as the transfer chamber, and then transferring it to another processing chamber. Since the method of moving the buffer means and opposing each other to adjust the inside of the buffer means to the atmosphere in the opposed processing chamber is repeated, The substrate transfer operation can be performed while maintaining the atmosphere conditions in the processing chamber and the transfer chamber independently of each other.
  • the substrate can be transferred without adjusting the atmosphere in the processing chamber to the transfer chamber, so that the transfer preparation time for adjusting the atmosphere conditions is not required.
  • there is no need to reset the atmosphere conditions in the transfer chamber or processing chamber and there is no need to significantly change the atmosphere processing conditions in the processing chamber.
  • Semiconductors that can prevent the intrusion of gas containing contaminants from the transport chambers through the communication passages, enabling the production of highly reliable and high-performance semiconductor devices with high yield and high throughput.
  • the realization of the device and the manufacturing method for realizing the device, and the high-performance semiconductor device by the method can be provided at low cost.
  • a substrate can be carried in and out of each of the processing chambers while maintaining different atmospheric conditions of the plurality of processing chambers and transfer chambers. It has a great effect that it can be provided easily with a low throughput and at low cost.
  • FIG. 1 is a schematic sectional view of an apparatus according to an embodiment of the present invention.
  • FIG. 2 is a schematic plan view of the prior art.
  • FIG. 3 is a schematic diagram of a comparison between the present invention and the prior art.
  • FIG. 4 is a schematic diagram of a second embodiment of the present invention.
  • FIG. 5 is a partially enlarged schematic view showing the sealing mechanism of the present invention.
  • FIG. 6 is a schematic diagram showing a procedure for transferring a substrate of the present invention.
  • FIG. 7 is a drawing showing a one-step embodiment of the process of the present invention.
  • FIG. 8 is an example of a process of the prior art.
  • FIG. 9 is an example of the relationship between the processing time and the throughput of the present invention.
  • FIG. 10 is a schematic sectional view of a third embodiment of the present invention.
  • FIG. 11 is a schematic view of one embodiment of a rotating arm type moving transfer means of the present invention.
  • FIG. 12 is a schematic view of one embodiment of the multi-stage wading system according to the present invention.
  • FIG. 13 is a schematic view of a second embodiment of the present invention, which uses a multistage frog-leg type moving transfer means.
  • FIG. 14 is a schematic view of one embodiment of the steel belt type moving transfer means of the present invention.
  • FIG. 15 is a schematic view of one embodiment of the small rotary arm type moving transfer unit of the present invention.
  • FIG. 16 is an enlarged schematic sectional view of one embodiment of the rotation driving means of the present invention.
  • FIG. 17 is an enlarged schematic sectional view of a second embodiment of the rotation driving means of the present invention.
  • FIG. 18 is a schematic sectional view of one embodiment of the sample stage means of the present invention.
  • FIG. 19 is a schematic sectional view of an embodiment of the method of manufacturing a semiconductor device according to the present invention.
  • FIG. 20 is a diagram showing an embodiment of the indoor pressure characteristic of the present invention.
  • FIG. 21 is an example of an indoor pressure characteristic according to the related art.
  • FIG. 22 is a diagram showing one embodiment of the high-temperature treatment characteristics of the present invention.
  • FIG. 23 is an example of a high-temperature processing characteristic of the conventional technology.
  • FIG. 24 is a persimmon diagram of the process of the present invention.
  • FIG. 25 is a supplementary diagram of the process of the prior art. BEST MODE FOR CARRYING OUT THE INVENTION
  • the present invention will be described in more detail with reference to examples.
  • FIG. 1 is a schematic plan view of an apparatus according to an embodiment of the present invention.
  • the semiconductor manufacturing apparatus of the present invention mainly includes a transfer chamber 1, a processing chamber 2, 3, 4, 5.6, 7, 8, 9, a buffer chamber 10, and a transfer means 12 for transferring and receiving a substrate 11.
  • Fig. 1a shows a state in which the buffer chamber 10 containing the transfer means 12 on which the substrate 11 is placed is opposed to the processing chamber 6, and the canopies of the transfer chamber 1 and the buffer chamber 10 are removed. Indicates the state of watching.
  • FIG. 1b shows a state in which the transfer and transfer means 12 is inserted into the processing chamber 6 to transfer the substrate 11 and the canopy of the processing chamber 6 is removed.
  • tungsten CVD Chemical Vapor
  • Processing chamber 4 performs tungsten sputtering processing
  • the processing chamber 5 performs aluminum sputtering processing
  • the processing chamber 7 performs degassing processing
  • the processing chamber 8 performs etching processing.
  • Processing room 9 is a low lock room.
  • the load lock chamber is set to an inert gas atmosphere at a pressure about 50 torr higher than the atmospheric pressure, or the pressure is set to the same atmosphere as the transfer chamber. Or, one sheet at a time can be loaded from outside the device or unloaded outside the device.
  • the atmosphere in the transfer chamber 1 is maintained at a vacuum pressure of 10 to 6 torr, and the inside of the transfer chamber 10 is the same as the surrounding air in the opposing processing chamber, and the transfer chamber is separated from the processing chamber.
  • the same atmospheric conditions as in the transfer chamber are set.
  • the number of exhaust systems can be drastically reduced as compared with the prior art, and the cost of the apparatus is reduced. It has the characteristic that it can be reduced.
  • there is no need for a communication means between the transfer chamber and the processing chamber and in particular, there is no need for a distance for connection, so that the floor area of the semiconductor device can be reduced.
  • the processing chamber is arranged concentrically around the transfer chamber
  • the buffer chamber is an example of a semiconductor manufacturing apparatus having a structure of rotating and moving in the transfer chamber. It is also possible to configure a semiconductor manufacturing apparatus having a structure in which the processing chambers are arranged in a curved shape and the buffer chambers are moved in a straight line or an arbitrary curved shape via predetermined guide means and moving means.
  • FIG. 3 is a schematic diagram of a comparison between the present invention and the prior art.
  • FIG. 3a is a schematic view of the present invention, in which the pressure in the buffer chamber 53 is set to P 1 while maintaining the pressure P 1 in the processing chamber 50 and the pressure P 2 in the transfer chamber 51 independently.
  • the substrate 52 is carried into and out of the processing chamber 50. Therefore, the substrate 52 is carried into and out of the processing chamber in a time (approximately 10 seconds) only for setting the atmospheric pressure in the buffer chamber 53 with a small volume, and immediately thereafter, the desired processing is performed on the substrate. be able to.
  • Fig. 3 (b) is a schematic diagram of the prior art, in which the processing chamber 60 at the pressure P1 is evacuated and set to be equal to the pressure P2 of the transfer chamber 61, and then the substrate 63 is carried into and out of the processing chamber 60. Then, the processing chamber 60 is reset to the processing atmosphere conditions, and then the desired processing is performed on the substrate. Therefore, in this prior art, the preparation time (about 60 seconds), which is the sum of the time for exhausting the processing chamber having a large volume (about 30 seconds) and the time for resetting the processing atmosphere conditions (about 30 seconds), is required. And the need to spend time preparing for this exhaust and pressure setting.
  • the processing can be carried out by loading and unloading the substrate while setting the atmosphere condition of the buffer chamber having a small internal volume in a little less than 10 seconds, so that the throughput can be easily improved.
  • the atmosphere condition of the buffer chamber having a small internal volume in a little less than 10 seconds.
  • the atmosphere since it is not necessary to reset the processing atmosphere conditions, it is easy to constantly and stably maintain the atmosphere in the processing chamber.
  • the atmosphere since the atmosphere is constant, abnormal phenomena biased by subtle changes in the atmosphere can be easily detected. As a result, it has the characteristic that high-quality semiconductor devices can be produced with high yield.
  • the adjustment of the atmosphere of the present invention can be achieved by adjusting the parameters that affect the functions to be added in the processing of the respective substrates so as to be the same or to control them so as not to affect them. The easiest method is to make the temperature and the like uniform.
  • FIG. 4 is a schematic diagram of a second embodiment of the present invention.
  • FIG. 4a is a plan view of an apparatus mainly including a pentagon-shaped transfer chamber # 1, a processing chamber 72, a buffer chamber 80, a substrate 81, and a transfer means 82.
  • FIG. 4b is a plan view showing a state in which the moving transfer means is moved to the processing chamber 72 side.
  • FIG. 4c is a cross-sectional view of the device.
  • the processing chamber 7 2 is connected to the transfer chamber 7 1 via an on-off valve 73, and-various other processing chambers (not shown) are connected to this transfer chamber via other on-off valves 74, 75, 76 and 77. Connected to the room.
  • the number of connectable processing chambers is not specified in the present invention, and the diameter of the transfer chamber can be designed according to the required number of processing chambers to be connected. In the case of this embodiment, the diameter of the substrate is 200 mm, the inner diameter of the transfer chamber 71 is 600 mm, the depth of the processing chamber is 450 mm, the height of the transfer chamber 71 is 200 mm, The height inside the buffer chamber is 30 mm.
  • the transfer means 82 has a structure capable of holding two substrates vertically, as described later.
  • the moving transfer means 8 2 receives the processing plate already existing on the sample table means 110 in the processing chamber, and transfers the unprocessed substrate to the sample table means 1 1 Pass on 0.
  • the moving transfer means 82 is a driving means 83, 84 and a link mechanism 85,
  • the substrate By controlling the movement of the substrate 8, the substrate is transported in a so-called wafer system.
  • the inside of the buffer chamber 80 can be exhausted from the opening 87 by the exhaust means 90 via the conductance valve 88 and the on-off valve 89. Further, the inside of the transfer chamber 71 can be exhausted independently of the inside of the buffer chamber 80 by the exhaust means 90 via the on-off valve 91. Since the buffer chamber 80 faces a desired processing chamber in the transfer chamber 71, the buffer chamber 80 can be rotated and moved by a driving means (not shown) as indicated by an arrow 92. The buffer chamber 80 can be rotatably moved in a state of being shielded from outside air by guide means 93 using a magnetic fluid seal.
  • the processing chamber 72 is shielded by the on-off valve 73 when the loading / unloading operation of the substrate is not performed, and is isolated from the transfer chamber and the buffer chamber.
  • the processing chamber 72 has a structure that can be evacuated by the exhaust means 95 through the on-off valve 94.
  • exhaust means is provided through the on-off valve 96 to exhaust the buffer chamber.
  • predetermined gas supply means 97 and flow rate control means 99 and 100 are provided respectively. It has a structure that can supply the desired gas. Further, the structure is such that gas can be supplied from the inert gas supply means 98 via the flow rate control means 101 for a cleaning operation in the buffer chamber 71 or the like. High-purity nitrogen gas under pressure control from the inert gas supply means 98 is supplied to the buffer chamber 102 at the upper ceiling of the opening of the transfer chamber on the upstream side of the buffer chamber.
  • the buffer chamber can be chemically cleaned using a fluorine-based gas such as CF4, CHF3, or SF6 in advance. At that time, heat the buffer chamber to promote degassing It is also possible to control.
  • a fluorine-based gas such as CF4, CHF3, or SF6
  • the feature of the present invention is that foreign substances do not accumulate in the buffer chamber. is there.
  • gas components and fine particles adhering to the indoor wall surface and the outer wall of the moving transfer means are evacuated from the surface by plasma or light excitation of the gas in the buffer room, or combined use of resistance heating and radiant heating. Particles can be controlled and introduced into the main stream of gas flow from the boundary layer of the slow flow near the solid wall by Brownian motion, electrostatic action, and electrophoresis of gas molecules. The cleaning effect can be further enhanced, and these steps have a feature that they can be performed in a short time because the volume in the buffer chamber is small.
  • the control of these washing processes is performed by grasping the particle size distribution of the fine particles with a particle monitor provided at the exhaust port downstream of the buffer chamber, and grasping the gas partial pressure with a mass spectrometer tube, so that the amount of ejected gas and flow rate can be controlled.
  • the pumping speed can be controlled, and the timing at which the cleaning process is required can be accurately grasped.
  • the substrate When transporting the substrate by the transfer means, the substrate is usually transported with the function-added surface of the substrate facing upward.However, due to the processing chamber, the substrate with the function-added surface is turned upside down and transported downward or upright. A method of transport is also conceivable.
  • FIG. 5 is a partially enlarged schematic view showing the sealing mechanism of the present invention.
  • FIG. 5 is an enlarged side sectional view schematically showing a part 120 encircled in FIG. 4 in particular.
  • FIG. 9 is a schematic diagram of a hermetically sealing mechanism with respect to a transfer chamber 12 9 by connecting a buffer chamber 80 that rotates and communicates with an opening 127 to a processing chamber.
  • the sealing means 1 2 1 With the buffer chamber 80 facing the opening 1 2 7 of the transfer chamber 7 1 leading to the processing chamber, the sealing means 1 2 1 is guided via the flexible shielding means 1 2 3 such as bellows 1 2 8 , And moved in the direction of the arrow by the drive means 124, 125 to make it close to the sealing member 122 or to make contact with the seal member 122. It is a structure that shuts off the atmosphere with the 2.9.
  • the sealing means 121 When the substrate is moved into and out of the processing chamber by the transfer means, the sealing means 121 is brought into contact with the sealing member 122, and when the buffer chamber into which the transfer means is retracted is rotated in the transfer chamber, The sealing means 122 and the sealing member 122 are separated from each other by a predetermined distance to bring them into a non-contact state.
  • FIG. 6 is a schematic diagram showing a procedure for transferring a substrate of the present invention.
  • the plan view is shown on the upper side, and the sectional side view is shown on the lower side.
  • 5 is a schematic view showing a procedure for loading an unprocessed substrate into a processing chamber, transferring the unprocessed substrate to the sample stand means 110, and unloading the processed substrate.
  • This is an example of a method in which a processed substrate is received and an unprocessed substrate is set only by moving one of the mobile transmitting / receiving means in the horizontal uniaxial direction, as in the case of the mobile transmitting / receiving means 82 in FIG.
  • the movement of the sample stage means 110 for receiving the plate in the processing chamber is an example of a mechanism convenient for a simple transfer processing chamber in which only the vertical movement is performed in one direction.
  • the sample stage means 110 has a susceptor 130 (supported at four points in FIG. 6) that horizontally supports the substrate at at least three points.
  • the susceptor 130 has a structure that can be moved only in one vertical direction as indicated by an arrow 134 by a driving means (not shown). It has a simple structure that allows the substrate to be installed with high reliability under various processing atmospheres.
  • the transfer means 82 receives two processed substrates 140 in a single insertion operation into the processing chamber and installs the unprocessed substrates 141.
  • the fork 13 on the upper side protrudes toward the processing chamber by a distance r from the fork 13 on the lower side. Normally, it is desirable to design this distance r to be approximately equal to the radius of the substrate to be handled in order to reduce the size of the mechanism.
  • the planar shapes of the forks 13 1 and 13 2 are designed so that each fork and the susceptor 130 can move so that they do not interfere with each other and come into contact with each other. design.
  • various methods described later can be considered in addition to the frog foot method described above.
  • Fig. 6a when there is a processed substrate 140 in the processing chamber, and the unprocessed substrate 141 is on the fork 131, which is below the transfer means, The substrate 140 is lifted by the susceptor 130 to a position above the sample stage means and to a position above the fork 132. In this state, the movement transfer means is moved in the direction of arrow 135, and the substrate 141 stops once at a position r in front of the mounting position of the sample table, and the susceptor 130 is lowered. 140 is placed on the fork 1 32 inserted below. Thereafter, the susceptor is further lowered below the substrate 14 1.
  • the moving transfer means 82 is inserted in the direction of the arrow 13 36 by the distance r, and the susceptor 130 is lifted. It can be placed on top and slightly raised to remove the substrate from Fork 13 1.
  • the substrate 140 can be carried out of the processing chamber into the buffer chamber. Thereafter, by lowering the suspension 130, the substrate 141 can be set at a predetermined position of the sample stage means.
  • the transfer means 82 is inserted in the direction of the arrow 1339 by the distance r in the direction of the arrow 1339, and the susceptor 130 is raised, so that the substrate 144 is placed on the susceptor 130. And lift the board further to separate the board from the fork 1 32.
  • the substrate 142 can be carried out of the processing chamber into the buffer chamber. Thereafter, by lowering the susceptor 140, the substrate 144 can be set at a predetermined position of the sample stage means.
  • the transfer / transfer means 82 is placed in the processing room, and the processed moving substrate and the unprocessed substrate are moved once by the horizontal movement operation for suppressing the person and the vertical movement operation of the susceptor. By exchanging and exchanging, it is possible to carry in and out a desired substrate.
  • a processing substrate as in the prior art is once taken out of the transfer chamber and set in another processing chamber, and then the unprocessed substrate is taken out of the other processing chamber and the corresponding processing chamber is removed.
  • a feature of the present invention is that time can be eliminated. This feature will be described below with reference to FIGS. 7 and 8.
  • FIG. 7 is a diagram showing an example of steps for processing a substrate using the apparatus and method of the present invention. Focusing on a single substrate (denoted as substrate P), the process centered on process A403 in process room A is extracted and described. The processing B 407 in the processing chamber B immediately before the processing A and the processing C 408 in the processing chamber C immediately after the processing A will be described.
  • Black circles represent various processing steps other than the processings B, A, and C, and are obtained by changing the condition value of the step A406 in accordance with the various processing steps. Arrows indicate the direction of the flow of each process that progresses with time.
  • step A406 the preparation transport 401 for adjusting the atmosphere conditions of the processing chamber and the buffer chamber, the preparation transport 402 for loading the unprocessed substrate, the processing A403, and the processing of the processing chamber and the buffer chamber are performed. It consists of a preparatory transport 404 for adjusting the atmospheric conditions and a preparatory transport 205 for unloading the processed substrate.
  • Preparatory transports 401 and 404 are performed in parallel with processing 400, and preliminary transports 402 and 405 are performed in series with processing 403.
  • the preparatory transfer performed in this series is a waste time that does not contribute to the substantial processing of the substrate, and if the time is long, it causes a reduction in throughput.
  • the time required for each preparation conveyance mainly depends on the movement time of the movement transfer means and the atmosphere setting time as illustrated in the figure.
  • the moving speed of the moving transfer means was set to a low speed to reduce vibration when a substrate was placed, and to a high speed when there was no substrate.
  • the procedure is described in detail below.
  • the supplementary diagram of the process of the present invention shown in FIG. 24 is also used.
  • the atmosphere in the buffer chamber and the atmosphere in the transfer chamber are made the same by preparatory transportation, and the buffer chamber is rotated to move the buffer chamber to the processing chamber ⁇ .
  • Opposition After deciding, match the atmosphere conditions of the buffer room with the processing room B.
  • the open / close valve is opened and the unprocessed substrate is inserted and moved at a low speed by preparatory transport, and the substrate P on the sample stage in processing chamber B is received and processed.
  • the board P is unloaded at low speed into the buffer chamber and the on-off valve is closed. Immediately after that, in the processing chamber B, the processing B can be performed on the next unprocessed substrate.
  • the atmosphere in the buffer room and the atmosphere in the transfer room are made the same by the preparation transfer 401, and the buffer room is rotated and moved to the processing room A 92 After the buffer room 92 4 is positioned opposite to the position 2, the atmosphere in the buffer room is adjusted to the processing room A 922 and the process stands by (Fig. 24a).
  • the open / close valve is opened and the substrate P is inserted and moved at a low speed by the preparation transport 402, and the sample in the processing room A is processed.
  • Receiving the preceding substrate on the platform means, and setting substrate P (Fig. 24b :).
  • the preceding substrate is returned into the buffer chamber at a low speed and the on-off valve is closed.
  • processing A403 is performed on substrate P in processing chamber A (Fig. 24c).
  • the preceding substrate taken out of the buffer chamber (Fig. 24d) is transported to the next processing chamber by preparatory transport (Fig. 24e), where it is processed (Fig. 24 2).
  • the buffer chamber containing the transfer means that has received the unprocessed substrate 9 27 to be subjected to the processing A next is prepared and transported by the buffer 404.
  • the atmosphere in the room and the atmosphere in the transfer room are made the same, and the buffer room is rotated and moved to position the buffer room opposite to the processing room A. Then, the atmosphere conditions of the buffer room and the processing room A are adjusted. Wait (Fig. 24f).
  • the .mm valve was opened and the unprocessed substrate was inserted and moved at a low speed by the preparatory transfer 405, and the sample table in the processing chamber ⁇ was moved. Receiving the substrate P on the stage and setting the unprocessed substrate (Fig. 24g).
  • the substrate P is returned into the buffer chamber at a low speed and the on-off valve is closed. Immediately thereafter, the processing A 403 is performed on the unprocessed substrate in the processing chamber A (FIG. 24h).
  • the buffer room containing the substrate P is made to have the same atmosphere in the buffer room and the transfer room, and the buffer room is rotated and moved to face the processing room C to the processing room C. After positioning, the atmosphere in the buffer room and the processing room C are waited (Fig. 24i).
  • the substrate P is carried into the processing chamber C by preparatory transfer (FIG. 24j), and the next processing C is performed (FIG. 24k). The removed preceding substrate is transferred to another processing chamber (Fig. 24k).
  • the function of the semiconductor device can be additionally formed on the substrate P.
  • the on-off valve is opened, and the unprocessed substrate is inserted and moved at a low speed, as shown in step 402, and is placed on the sample stand means.
  • the unprocessed substrate After receiving the processed substrate, install the unprocessed substrate, return the received processed substrate to the buffer chamber at a low speed, close the on-off valve, and immediately perform the specified processing. Therefore, the preparatory transport time required other than the processing time is a total of 20 seconds indicated by the preparatory transports 401, 402, 404, and 405.
  • the preparatory transports 401 and 404 can be performed in parallel during the processing time of 4 seconds each, so the time that affects the throughput is the same as that of step 402. 4 0 5 for a total of 12 seconds.
  • arrow 933 is a processing step of the preceding substrate in the processing chamber A
  • arrow 937 is a step of taking out the preceding substrate and transporting the substrate P
  • arrow 931 is a processing step of the substrate P in the processing chamber A.
  • the arrow 938 indicates the process of removing the substrate P and transporting it to the processing chamber C
  • the arrow 932 indicates the process of processing the substrate P in the processing chamber C
  • the arrow 9336 indicates the process of the next substrate in the processing chamber A.
  • Processing steps, arrows 934 are processing steps in processing chamber 111 C of the preceding substrate, and the display pattern of each processing chamber is aligned regardless of which process is applied. Thus, the atmosphere in each processing chamber is maintained.
  • Maintaining the atmospheric conditions of the processing chamber is not limited to maintaining constant conditions such as certain gas components, pressure, temperature, and even plasma conditions at all times. After maintaining the substrate in a state in which processing can be started immediately after loading and installing the substrate, the gas components, pressure, temperature, and atmospheric conditions such as plasma conditions are switched and controlled as needed according to the functions to be added to the substrate. And it is possible.
  • the choice of whether to switch the atmospheric conditions as needed in one processing chamber or to set the atmospheric conditions in another processing chamber and move the substrate to perform the processing is determined by the loading and unloading of the substrate. Compare the size of the preparatory transfer time with the time required for controlling the switching of the atmospheric conditions, and select the shorter one.
  • FIG. 8 is a diagram showing an example of a process for processing a substrate using a conventional apparatus. Focusing on one substrate (denoted as substrate Q), the process 504 in the process E501 in a certain processing chamber E is illustrated. The processing F502 in the processing chamber F immediately before the processing E and the processing G503 in the processing chamber G immediately after the processing E will be described.
  • Step 504 consists of transport 505 for preparing the atmosphere by evacuating the processing chamber E to carry in the substrate and transporting the substrate 510, processing 501, and preparing transport 506 for evacuating the processing chamber E and unloading the substrate. .
  • Preparatory transport 505 and 506 are performed in series with processing E501.
  • the preparatory transfer is a waste time that does not contribute to the substantial processing of the substrate, and if this time is long, it may cause a reduction in throughput.
  • the time required for each preparation conveyance mainly depends on the movement time of the movement transfer means and the ambient air setting time as illustrated in the figure.
  • the moving speed of the moving transfer means was set to a low speed to reduce the vibration when a substrate was mounted, and was set to a high speed when there was no substrate.
  • step 504 will be described in detail below.
  • a supplementary diagram of the conventional process shown in FIG. 25 is also used.
  • the processing chamber E 942 is evacuated to a vacuum equivalent to that in the transfer chamber 941.
  • the transfer means is rotated at a high speed toward the processing chamber F, inserted and moved into the processing chamber F at a high speed, and the unprocessed substrate after the processing F (unprocessed for the processing E). 5 is returned to the transfer chamber at a low speed, rotated and moved at a low speed toward the processing chamber E (Fig. 25h), and the substrate Q is placed in the processing chamber E (Fig. 25i). . Thereafter, the moving transfer means is pulled back at a high speed, the on-off valve of the processing room E is closed, an atmosphere suitable for the processing E is set, and then the processing E501 is performed (FIG. 25j).
  • the processing chamber G is evacuated (FIG. 25k), the preceding substrate is taken out by the transfer means (FIG. 25), and pulled back to the transfer chamber (FIG. 25).
  • Figure m Transfer to another processing chamber.
  • the inside of the processing chamber E is evacuated by the preparatory transfer 506 to make the same vacuum as in the transfer chamber (Fig. 25n).
  • open 1 1 valve The transfer means is inserted into the processing chamber E at high speed and moved, receives the already processed substrate Q (Fig. 25o), pulls it back to the transfer chamber at low speed (Fig. 25p), and The transfer means is rotated at a low speed so as to face the processing chamber G for processing (Fig. 25Q), and the substrate Q is loaded into the processing chamber G at a low speed (Fig. 25r).
  • the opening / closing valve of the processing chamber G is closed, the atmospheric conditions are set, and then the processing G is performed (FIG. 25 s).
  • the evacuation of the processing chamber is started, and before the atmosphere setting is completed, 50 seconds for the preparation transfer as shown in FIG.
  • Preparatory transport for unloading the substrate after processing A total of 64 seconds, a total of 64 seconds, must be performed in series for the preliminary transport. Therefore, the time affecting the throughput is 49 seconds for the preparatory transport 505 and 506 in total.
  • Arrow 953 indicates the processing step of the preceding substrate in the processing chamber A
  • arrow 9557 indicates the exhaust of the processing chamber A and removal of the preceding substrate, transfer of the substrate Q and the atmosphere setting step of the processing chamber A
  • Is the processing step of substrate Q in processing chamber A arrow 958 is the step of exhausting processing chamber A, taking out substrate Q, transporting it to processing chamber G, and setting the atmosphere in processing chamber G
  • arrow 952 is substrate Q.
  • Arrow 954 indicates the processing step of the preceding substrate in the processing chamber G. As indicated by the color pattern of each processing chamber, the atmosphere in each processing chamber performs the processing. It changes depending on the transportation process.
  • the time affecting the throughput of the conventional technology is five times longer than that of the present invention, and the present invention has a dead time that is not involved in the processing as compared with the conventional technology. Is reduced to 1/5.
  • FIG. 20 is a one-shot embodiment of the indoor pressure characteristics of the present invention.
  • Processing chamber pressure flat This is an example of the results obtained by calculating the time change of the transfer chamber pressure (average of 50 torr), the transfer chamber pressure (average of 10 to 5 torr), and the buffer chamber pressure.
  • the horizontal axis shows time, and the vertical axis shows logarithmic pressure.
  • the substrate is loaded and unloaded before and after the repetitive processing, and the pressure in the buffer chamber is controlled accordingly.
  • the pressure in the processing chamber and transfer chamber fluctuates slightly within the allowable value.
  • the atmospheric pressure fluctuations related to the processing are as small as several percent or less of the average pressure in the processing chamber, and the characteristic that the atmosphere state in the processing chamber can be maintained with high accuracy is recognized.
  • FIG. 21 shows an embodiment of the indoor pressure characteristic of the prior art.
  • Transfer chamber pressure showed (mean 1 0 _ 5 torr) and the processing chamber pressure.
  • the horizontal axis shows time, and the vertical axis shows logarithmic pressure.
  • FIGS. 20 and 21 shows that the substrate loading and unloading time for performing the same processing on the substrate is shorter in the present invention, and that the fluctuation of the atmospheric pressure in the processing chamber is smaller than that of the present invention.
  • the feature of being extremely small and stable is obvious.
  • FIG. 9 is an example of the relationship between the processing time and the throughput of the present invention.
  • the throughput for one processing time is shown in FIG. 9a.
  • Fig. 9b shows the throughput ratio of the present technology over the conventional technology.
  • the processing time of the present invention is 1.4 times that of the conventional technology, whereas the processing time of the present invention is 27 times.
  • the processing time and throughput of the present invention are 1.7 times as large as the processing time of 29 in the conventional technology.
  • the processing time is 30 seconds
  • the processing time is 85 times and the throughput is 2.2 times that of the conventional technology, which is 38 times / time.
  • the throughput depends on the processing time, but the processing time is short. It can be seen that the effect of improving the throughput of the present invention increases as the value increases.
  • the present invention does not require resetting the atmosphere conditions in the processing chamber each time a substrate is loaded and unloaded, so that the delicate atmosphere in the processing chamber can be maintained with high precision. Therefore, it becomes easy to manufacture a high-performance semiconductor device while keeping various processing steps stable. As a result, there is a special feature that a semiconductor device manufacturing line that guarantees high yield can be constructed.
  • FIG. 10 is a schematic sectional view of a third embodiment of the present invention. It mainly comprises a transfer chamber 150, a buffer chamber 151, and a linear moving transfer means 152.
  • the moving transfer means 15 2 is guided and supported by a guide mechanism 15 3, and is driven by a magnetic guide means 15 4 in a non-contact manner.
  • the structure is such that it can be exhausted from the conductance means 156 of the minute gap and the guide support space 155'8. is there.
  • high-purity inert gas is supplied through the gas supply hole 160 and the shut-off valves 161, 162 to physically blow foreign matter in the guide support space 158. It is also possible to eliminate or supply a predetermined cleaning gas to chemically clean the guide support space 158. Furthermore, by introducing an inert gas into the guide support space 158 at a pressure equal to or slightly higher than the ambient pressure of the buffer chamber 1555, the buffer chamber is controlled. It is also possible to prevent contamination of the supporting space and the guide supporting part due to the intrusion of the introduced active atmospheric gas.
  • the gas supply hole 160 on the opening side of the buffer chamber allows the same 5? Ambient gas as in the processing chamber 17.5 to be introduced independently. Also, the shut-off valve 16 3 is set, and the shut-off valve i 62 is opened to allow high-purity inert gas to flow out into the buffer chamber 15 55. 15 7 1 By exhausting air from the exhaust port 16 4, foreign matter in the buffer chamber can be physically removed. It is also possible to supply a cleaning gas from the gas supply hole 160 to chemically clean the buffer chamber.
  • the exhaust means 165 can independently exhaust the inside of the transfer chamber 150 and the inside of the buffer chamber 155 via the gate valves 169 and 170, respectively.
  • the exhaust means 167 can independently exhaust the inside of the buffer chamber 155 and the inside of the processing chamber 175 via the gate valves 171 and 172, respectively.
  • the buffer chamber 15 1 has a structure in which the outside air and the transfer chamber are shut off via the magnetic fluid sealing means 1 168, and is rotatable. Further, in order to prevent radial eccentric movement due to a pressure difference between the buffer chamber facing the processing chamber and a moment load on the magnetic fluid sealing means 168, a fixing means 173 is provided.
  • a blocking means 174 to make the opening airtightly communicate with the buffer chamber and isolate it from the atmosphere in the transfer chamber, a flexible bellows mechanism is deformed by fluid pressure to open and close the buffer. A mechanism was provided.
  • FIG. 11 is a schematic view of an embodiment using the rotary arm type moving transfer means of the present invention.
  • Fig. 11a is a plan view of the state in which the mobile transfer means 201 is pulled back into the buffer room 203
  • Fig. 11b is a side view thereof
  • Fig. 11c is the mobile transfer means.
  • FIG. 2 is a plan view showing a state where 201 is inserted into a processing chamber.
  • the transfer / exchange means 201 moves the substrate 202 in the radial direction by transmitting the driving force from the coaxial rotary drive means 204 to the rotating shaft built into the arm by a steel belt and controlling the rotation. It is a structure to do.
  • An opening 206 connected to the exhaust means 205 is provided on the rotation center axis of the buffer chamber 203, and the exhaust speed can be adjusted via a conductance valve. It has a large exhaust port 206 on the downstream side of the buffer room 203 and a gas supply hole 208 on the upstream side. Effectively prevent stagnation
  • the structure has a feature that can be stopped. That is, since the rotary drive means 204 is provided on the downstream side, away from the opening 255 facing the processing chamber, which is a region where the substrate reciprocates, the effect of dust generated from the drive unit on the substrate can be eliminated. There is.
  • FIG. 12 is a schematic view of one embodiment of the multi-stage frog-leg type moving transmitting / receiving means of the present invention.
  • Fig. 12a is a plan view of the state in which the transfer means 22 1 is pulled back into the buffer chamber 22 3
  • Fig. 12b is a side view thereof
  • Fig. 12 c is the transfer means 2 2 1
  • FIG. 3 is a plan view of a state where the is inserted into the processing chamber.
  • the step 2 221 is guided by linear guide means, and the driving force from the drive means 224, which linearly moves in the opposite direction in synchronization with each other, is transmitted to the multi-stage frog-foot type arm and controlled.
  • the structure has a feature that the substrate 222 can be moved in the radial direction.
  • FIG. 13 is a schematic view of a second embodiment of the present invention, which uses a multi-stage wafer-type mobile transfer unit.
  • Fig. 13a is a plan view with the mobile transfer means 2 3 1 pulled back into the buffer chamber 23
  • Fig. 13b is a side view
  • Fig. 13 c is the mobile transfer means 2 3 1 It is a top view in the state where it was inserted in the room side.
  • the movement transfer means 23 1 is supported by parallel leaf spring-like parallel movement guide means, and receives the driving force from the drive means 2 3 4 which moves in the opposite direction synchronously with each other.
  • This structure has the characteristic that the substrate 232 can be moved in the radial direction by controlling the transmission.
  • FIG. 14 is a schematic view of one embodiment of the steel belt type mobile transfer means of the present invention.
  • Fig. 14a is a plan view of the mobile transfer means 2 41 pulled back into the buffer chamber 24
  • Fig. 14 b is a side view of it
  • Fig. C is a mobile transfer means 2 4 1
  • FIG. 7 is a plan view of a situation in which is stored in the processing chamber.
  • the transfer means 241 is supported by a pair of steel belts 244, and a drive means 245 provided on the outer wall of the buffer chamber and a guide means 247 via a conductance mechanism 246 with a narrow gap.
  • the structure is characterized in that the substrate 242 can be moved by a simple mechanism in the radial direction.
  • the transfer of the support means is performed by bending or winding the moving direction via the guide means 247 or the like.
  • the moving range of the means can be expanded. This means that the transfer chamber and the buffer chamber can be made smaller.
  • FIG. 15 is a schematic view of one embodiment of the small rotary arm type moving transfer means of the present invention.
  • Fig. 15a is a plan view of the state in which the moving transfer means 25 1 is pulled back into the buffer chamber 25
  • Fig. 15b is a side view thereof
  • Fig. 15 c is the moving transfer means 25 1. It is a top view of the state where it was inserted in the processing room side.
  • the transfer means 2 ⁇ 1 has a structure in which the substrate 252 can be moved in the radial direction by controlling the rotation by transmitting the driving force from the coaxial rotary drive means 254 to the rotary shaft built in the arm with a steel belt. is there.
  • the rotation driving means 255 on the opening side to the processing chamber in the buffer chamber, the length of the arm is shortened and the ellipse is reduced. It is made.
  • the embodiment shown in FIGS. 11 to 15 is characterized in that the larger the number of the embodiment, the smaller the internal volume in the buffer chamber and the smaller the size.
  • FIG. 16 is an enlarged schematic cross-sectional view of one embodiment of the rotation driving means of the present invention.
  • the rotation driving means is fixed to the arm 2 62 of the moving transfer means as shown in FIGS. 4, 11 and 15.
  • the rotating shaft 2663 is supported by the guide support means provided in the hole & in the hole of the buffer chamber 261, and is connected to the rotation introducing machine 1 ⁇ 2264 provided inside the transfer chamber. It is connected.
  • the rotation introducing mechanism 26 4 uses a flexible bellows mechanism to shut off the atmosphere between the buffer chamber and the transfer chamber, and the rotational force is transmitted from a gear 26 7 provided on the drive source shaft to a gear 26 directly connected to the rotation introducing machine. Transmitted to 5 and arm 2
  • the processed substrate is received by one transfer unit, and the unprocessed substrate is installed.
  • the rotation and movement means may be provided on the ceiling or floor wall of the buffer room.
  • the transfer means for loading unprocessed substrates and loading and unloading of processed substrates are provided independently in the buffer chamber, as shown in Fig. 16, the upper rotation introduction mechanism 2 64 and the lower rotation introduction mechanism 26 What is necessary is just to provide a rotation introduction mechanism and an arm 269 etc. In any case, the atmosphere in the buffer room and the transfer room can be completely shut off with a simple structure.
  • FIG. 17 is an enlarged schematic sectional view of another embodiment of the rotation driving means of the present invention.
  • the rotating shaft 273 fixed to the arm 272 of the transfer means as shown in FIGS. 4, 11 and 15 is strong, and the wall of the buffer chamber 27 1 is strong.
  • the magnetic coupling mechanism 274 is constrained by a magnetic force with the gear 275 on the transfer chamber side via a thin cylindrical wall, and further shuts off the atmosphere between the buffer chamber and the transfer chamber. Rotational force is controlled by the gear provided on the drive source shaft.
  • the signal is transmitted from the motor 7 7 to the magnetic coupling mechanism 27 4 via the gear 27 5 to rotate the arm 27 2.
  • an upper magnetic coupling mechanism 27, a lower magnetic coupling mechanism 278, an arm 279, and the like were provided. It has the feature that the atmosphere in the buffer room and the transfer room can be completely shut off with a simple structure.
  • the shaft By utilizing the effect of reducing the conductance due to the minute gap, the shaft can be penetrated from the buffer chamber to the transfer chamber side, and connected to the drive source without a backlash.
  • Machine that transmits driving force with strong rigidity It is also possible to constitute a rotary driving means which is an effective feature when driving a long arm.
  • the transfer means shown in Fig. 6 is simple in that two forks are provided and the substrate is placed on the two forks with a deviation of r, so that the sample stage in the processing chamber can move only in the vertical direction. It has the characteristic that it can be used with various sample stage means.
  • FIG. 18 is a schematic sectional view of one embodiment of the sample stage means of the present invention.
  • each of Figs. 18a to 18e is a cross-sectional view of the sample stage means as viewed from the processing chamber side in the direction of movement of the transfer means, and the transfer means is moved to the right side.
  • a cross-sectional view of the sample stage means viewed from the side in the direction is shown.
  • the processed substrate 302 which has been subjected to the predetermined processing in the processing chamber, is supported by an evacuation susceptor 303 having an L-shaped tip and lifted directly above the sample table 301, and In order not to come in contact with 02, the transfer means 300 carrying the unprocessed substrate 303 is inserted (FIG. 18a).
  • the receiving susceptor 304 having an L-shaped tip is raised to receive the unprocessed substrate 303 stopped just above the sample stage (Fig. 18b).
  • the transfer susceptor 304 removes the unprocessed substrate 303 from the transfer means 305 with the transfer susceptor 304, and the transfer means Pull back 105 in the direction of the arrow to the buffer chamber a distance greater than the diameter of the substrate. Thereafter, the transfer susceptor 304 on which the unprocessed substrate 303 is played is lowered, and the unprocessed substrate 306 is set on the sample table 301 (FIG. 18c).
  • the transfer means 300 is inserted into the processing chamber, the evacuation susceptor 303 on which the processed substrate 302 is placed is lowered, and the processed plate is transferred to the transfer means 300. 5 (Fig. 18 d).
  • the transfer means 300 on which the processed substrate 302 is placed is pulled back to the buffer chamber as shown by the arrow. Lower the evacuation susceptor 303 further, and Open in the direction of arrow 308 so as not to touch the unprocessed substrate 306 on the table, and store it in the evacuation groove 307 of the sample table (Fig. 18e).
  • the mechanism for retracting the evacuation susceptor 303 to the sample table so as not to contact the substrate in addition to the mechanism described above, a mechanism for avoiding rotation around an axis perpendicular to the substrate or an L-shaped structure It is also conceivable that the tip of the susceptor can be retracted or used as a mechanism to avoid bending. In any case, there is a feature that the portion on which the substrate is placed on the transfer means has a simple structure and a simple structure.
  • Embodiments of the semiconductor device of the present invention are described in the literature Monthly SemiconDucctorWorld Special Issue ⁇ '94 Newest Semiconductor Process Technology '', pp. 23 to 31 (DRAM (DynamicDranm).
  • FIG. 19 is a schematic sectional view of an embodiment of the method of manufacturing a semiconductor device according to the present invention.
  • the function of a semiconductor device is formed by repeating formation of a step on a substrate, formation of a capacitor, isolation, formation of a transistor, formation of a bit line, formation of a capacitor, and formation of a wiring. Is done. These processes include lithography, etching, heat treatment (oxidation, anneal, diffusion), ion implantation, thin film formation (CVD, sputtering, vapor deposition), and cleaning (resist removal). , Washing with a solution), inspection processing, etc., as appropriate.
  • Fig. 19 shows an example of bit line formation and capacitor formation in the DRAM manufacturing process.
  • a schematic diagram of a cross-sectional structure in a process in which the element structure changes is shown.
  • the left side of each figure shows the structure of the memory cell section, and the right side shows the structure of the peripheral CM0S section.
  • the manufacturing process proceeds from Fig. 19a to Fig. 19g.
  • the main processing contents are the following 25 processing.
  • 1st process Si02 deposition, 2nd process: lithography, 3rd process: etching (Fig. 19a), 4th process: Si02 deposition, 5th process: polyside deposition 6th process; lithography (bit line); 7th process; etching (Fig. 19b); 8th process: Si02 deposition, 9th process; Si3N4 deposition, 10th process ; S i 02 deposition (Fig. 19c), 1st treatment: lithography, 1st 2 treatment; etching, 13th treatment: po] y—S i (storage electrode) formation, 14th treatment : Si 02 deposition, 15th treatment; etching (Fig. 19d), 16th treatment: po1y-Si (storage electrode) formation (Fig.
  • the constituent materials shown in FIG. 19 are as follows. That is, the substrate 61, the resist 62, the Si 02 (No., non-basin film) 63, the Si 3N4604, the n + layer 605, and the p + layer 606 , Poly — S i (polyside) 607, S i O26 08, S i 3N46 09, S i O 26 10 0, poly — S i 61 1, S i 026 12, 2, poly-S i 6 13, 6 1. Ta 2 O 56 15, W (po 1 y-S i) 6 16.
  • FIG. 22 is a diagram showing one embodiment of the high-temperature processing characteristics of the present invention.
  • SiH4 treatment at 5 torr at C 804, SiH4 treatment at 2 torr at 830 ° C 805 are set in independent processing chambers, and substrates are loaded and unloaded into each processing chamber. This process is completed in a total of 5 minutes and 30 seconds by performing 11, 8 12, 8 13, 8 14, 8 15, and 8 16.
  • FIG. 23 is an example of a high-temperature processing characteristic of the conventional technology. This is the result of performing the same processing as shown in FIG. H2 treatment at an ambient pressure of 50 torr at a temperature of 950 and a thermal nitriding treatment at 90 torr at 10 and 90 ° C.
  • Atmospheric conditions such as temperature and gas must be set in the same processing chamber, and it is necessary to repeat 912, 913, and 916.At the time of loading and unloading to different processing chambers, setting the atmospheric conditions 9 1 1, 9, 14, 9, 15 and 9 17 take a long time. As a result, it took a total of 8 minutes and 20 seconds to complete this process.
  • interface control is required, which is a process for removing natural oxide films, water and contaminants to clean surfaces, and uses chemical differences in surfaces
  • W film formation and low-resistance contact A1 sheet u film formation it is possible to provide a semiconductor device with high performance and high reliability at high throughput.
  • the semiconductor manufacturing apparatus of the present invention provided with a processing chamber for po1y-Si, oxide film, A1, W, trench, multi-layer resist, resist asshing, etc. This has made it easier to perform etching and oxide film etching continuously, and it has become clear that it is possible to produce flash memory with high performance and high reliability at high yield.
  • the present invention is not limited to the manufacture of semiconductor devices, and can be applied to a production line of a liquid crystal panel using a thin film transistor (TFT: ThinFilmTransissort).
  • TFT ThinFilmTransissort
  • the liquid crystal substrates inserted from the load-lock chamber are placed in a plasma CVD processing chamber in which a predetermined gas is supplied at a predetermined heating temperature (up to 400 ° C) and a controlled and controlled discharge condition is set and maintained.
  • a substrate is carried in and out while maintaining and controlling the atmosphere in various processing chambers, and a force for performing the processing is transferred to the central control system by transferring data of measurement and calibration of the substrate during or after the processing to the central control system. It can manage and record the history of processing rooms and processing rooms, so it can perform self-diagnosis of each processing room and manufacturing equipment and give appropriate instructions. It is also possible to construct a system for outputting data, and also has a feature that the reliability of the device can be remarkably improved in implementing the semiconductor manufacturing apparatus and the manufacturing method of the present invention.
  • the substrate can be transported under the same atmosphere conditions in the buffer chamber as the atmosphere conditions in the processing chamber, the processing is performed at the atomic brow level on the substrate surface, and molecules and atoms are desorbed from the substrate surface. It is possible to transfer a substrate from one processing chamber to the next processing chamber without having to do so. With conventional equipment, evacuation was always required when transporting the substrate, so trace amounts of atoms and the like were desorbed from the surface of the substrate, making it possible to manufacture elements with new functions stably. Was difficult. There is also a feature that such problems in the prior art can be solved for the first time by the present invention.
  • the non-contact gap of the seal mechanism shown in Fig. 5 is designed to be as small as several meters or less. Reduce the inductance to keep the atmosphere pressure in the buffer chamber higher than the transfer chamber by several tens torr or more, or provide a simple shut-off valve on the opening side of the buffer chamber.
  • the present invention can be easily performed.
  • the processing gas is easily adsorbed on the substrate surface, so that when the substrate is simply carried into the next processing chamber, the substrate is adsorbed on the substrate.
  • Cross-contamination occurs due to the gas components.
  • the pressure inside the buffer chamber is set to an ultra-high vacuum to promote the desorption of adsorbed gas, or the neutralizing gas is sent into the buffer chamber to release unnecessary gas.
  • it is also easy to inactivate the components, to remove the gas in the buffer chamber, and to remove the plasma in the buffer chamber.
  • a major feature of the present invention is that it is possible to perform the work for removing the cross contamination in a buffer room during transportation in a short time.
  • the substrate can be taken out into the buffer chamber while the temperature and atmosphere of the processing chamber are maintained, transported to the processing chamber set to another temperature and atmosphere in a short time, and the processing can be performed. For the first time, it has become possible to control the interface with high precision and easily apply high-performance semiconductor functions to substrates with good reproducibility.
  • the present invention can be applied as a multi-processing apparatus for controlling a metal or resin interface, suppressing fluctuations, and manufacturing a novel engineering material having a desired material characteristic gradient in a special environment. It is easy to see what is possible.
  • a substrate can be carried in and out while keeping the atmospheric conditions of the plurality of processing chambers constant.
  • the substrate is carried in and out of the movable processing chamber while the substrate is contained in the buffer chamber and the atmosphere is aligned with the facing processing chamber.
  • a plurality of processing chambers share a buffer chamber for transferring substrates, and carry in and out the substrates.

Description

明 細 書 半導体装置の製造方法および半導体製造装置 技術分野
本発明は半導体装置の製造装置に係り、 特に、 異種類の処理室を複数 連結した半導体製造装置およびその中での基板の搬送方法な らびに半導 体装置に関する ものである。 背景技術
半導体装置の製造のため、 日本特開平 4一 6 3 4 1 4号公報に記載さ れているよう に、 搬送室を中心に、 洗浄、 成膜、 エッ チング、 潜像露光 等の複数の処理室を配置し、 基板表面の汚染を防ぎ、 半導体装置の性能 向上を図る こ とを目的と して、 大気から隔離された雰囲気下で各種のプ 口セスを一貫して行う マルチプロセス装置がある。
また、 文献月刊 S e m i c o n d u c t o r W o r l d 増刊号 に 9 4最新半導体プロセス技術」 2 3 7頁〜 2 4 2頁、 特に 2 4 1頁の図 5に記載されているよう に中央にウェハイ ンタ一フ ヱース真空中搬送機 構室を中心にゲー ト弁を介して、 真空ロー ドロ ッ ク室、 窒化膜堆嵇処理 室、 酸化、 ァニール処理室、 多結晶シ リ コ ン膜堆積処理室、 ウェハ蒸気 洗浄処理室等を備えク ラスター構造の熱処理炉が記載され、 半導体基板 の表面状態を制御 した条件で各桠 ¾なる雰囲気条件で処理を行う装^が あ O
第 2図は従来技術の平面概略図である。 主に、 搬送室 2 i 、 処理室 2 2、 2 3、 2 4、 2 5、 2 6、 2 7、 2 8、 2 9、 ¾板 3 1 の移動授受 手段 3 2 とから 成されている。 第 2図 aは基板 3 1 を戟せた移動授受 手段 3 2を処理室 1 6に対向させた状態で搬送室 2 1 の天蓋を除去 した 状態を示す。 第 2図 bは移動授受手段 3 2を処理室 1 6 内に挿入して基 板 3 1 を授受する状態で、 処理室 2 6の天蓋を除去した状態を示してい る。 搬送室 2 1 内の雰囲気は 1 0 _ 6 t o r r の真空圧に保ち、 各処理室 内は、 基板の搬入出の度ごとに、 1 0 _ 8 t o r r程度の高真空圧まで排 気して処理室内の処理ガスを排気した後に、 搬送室と同 じ雰囲気条件に 再設定する。
さ らに、 互いに異なる雰囲気間に基板を搬送する手段と して、 日本特 開昭 6 2 - 1 4 7 7 2 6号公報に記載のように、 基板を保持するホルダ を連通路、 予備排気室、 連通路と経て基板を搬送する手段がある。 発明の開示
上記従来技術のう ちマルチプロセス装置では、 各処理室間と搬送室と の間をゲー ト弁を介して仕切り、 基板搬送の度ごとに処理室内の雰囲気 圧力を搬送室内と同等に合わせるための準備時間を必要と し、 スループ ッ 卜の低下を招く という問題を有していた。 また、 基板の交換の度ごと に処理室内の雰囲気条件を設定し直すため、 その設定に時間を要した り、 処理条件の安定化を図るのに時間を要する という困難な技術課題があつ た。
さ らに、 雰囲気の異なる処理室の条件を保ったま ま、 基板を搬送する 従来技術では、 処理室や搬送室からの雰囲気ガスが、 連通路と基板ホル ダとで形成される隙間を漏れ通って、 予備排気室に流入 しホルダに ¾つ た基板を汚染する という技術課題があった。
本発明は上述の課題を解決するためになされたもので、 互いに異なる 雰囲気条件の搬送室と処理室との間を、 両室のそれぞれ異なる雰囲気条 件を保持したま まで、 ¾板に汚染を与えずに、 両室問に相互に基板を搬 送可能にする高スループッ 卜の半導体製造装置、 および、 それを実現す る基板の搬送方法、 さ らには、 ^板汚染の無い高性能な半導体装- を 供することを目的とする。
この目的を達成するために、 本発明においては、
互いに隣接し、 互いに異なる条件の雰囲気の搬送室から処理室に基板 を搬送する方法において、 該処理室内の雰囲気条件を保持したまま基板 を搬入出し、 該基板に所定の処理を施す工程を少なく とも有する。
また、 互いに隣接し、 互いに異なる条件の雰囲気の搬送室から処理室に 基板を搬送する方法において、 該基板を包含して可動式のバッファ室内 の雰囲気条件を該処理室内の雰囲気条件と合わせて基板を搬入出し、 該 基板に所定の処理を施す工程を少なく とも有する。
また、 互いに隣接し、 互いに異なる条件の雰囲気の搬送室から複数の処 理室に基板を搬送する方法において、 該複数の処理室が共用可能なバッ ファ室を用いて、 基板を該処理室へ搬入出し、 該基板に所定の処理を施 す工程を少なく とも有する。 また、 互いに隣接し、 互いに異なる条件の 雰囲気の搬送室から処理室に基板を搬送する方法において、 処理室の開 口部に対向して、 搬送室内で基板と該基板の搬送授受手段とを搬送室内 の雰囲気に対して気密に封じたバッファ空間を形成する工程と、 該バッ ファ空間に対向した該処理室内と同種または同質の雰囲気に該バッ ファ 空間内を設定する給排気工程と、 その後に、 処理室の遮蔽手段を開け、 該基板を該処理室内に搬入して授受する工程と、 その後に、 該バッファ 空間に該搬送授受手段を引き戻し、 該処理室を遮蔽する工程と、 その後 に、 該バッファ空間内を該搬送室内と同種または同質の雰囲気に該バッ ファ空間内を設定する給排気工程と、 その後に、 別の処理室の開口部に 対向して、 搬送室内で基板と該基板の搬送授受手段とを搬送室内の雰 II 気に対して気密に封じたバッファ空間を形成する工程とを反復すること により、 各処理室の雰囲気と搬送室の雰囲気とを互いに独立に維持した 状態で基板を顺次処理室から別の処理室へと搬送授受する工程とからな る方法で、 ¾板を処理室に抑入する。 また、 互いに隣接し、 互いに異なる雰囲気条件の少なく とも一つ以上 の処理室や搬送室を有する半導体製造装置において、 基板の移動授受手 段と、 基板を載せた状態での該移動授受手段を包含するバッファ手段と を搬送室内に有し、 さらに、 該バッファ手段を任意の処理室の開口部に 対向させ位置決めする駆動手段と、 該バッファ手段と該開口部とを気密 に接続する気密手段と、 該開口部を遮蔽する遮蔽手段と、 さ らに、 該バ ッファ手段内と該搬送室内、 各処理室内とを互いに独立に、 排気する排 気手段、 および、 それぞれに所望のガスを互いに独立に供給する供給手 段と、 さ らに、 該バッファ手段の駆動制御ゃ該移動授受手段の授受制御、 該移動授受手段の移動制御、 該気密手段の開閉制御、 該遮断手段の開閉 制御、 該排気手段の排気制御、 給気手段の給気制御をそれぞれ行う制御 手段を少なく とも有する。
さらに、 互いに隣接し、 互いに異なる条件の雰囲気の搬送室と種々 の 処理室を経て製造される半導体装置において、 各処理室の雰囲気と搬送 室の雰囲気とを互いに独立に維持した状態で基板を順次処理室から別の 処理室へと搬送授受して、 半導体装置の機能を処理付加するこ とを反復 して半導体装置が製造される。
互いに異なる雰囲気条件の搬送室と処理室とを結ぶ開口部に基板を搬 入出するに際して、 あらかじめ搬送室内の雰囲気と隔離したバッ フ ァ手 段内で、 開口部に対向した処理室内の雰囲気と同種または同質の雰囲気 条件下に基板とその移励授受手段とを設定した後に、 この処理室の遮断 手段を開けて基板を搬入または搬出するので、 基板とその移 授受手段 とが処理室内と同一の雰囲気条件下に sかれるため、 処理室内の;?11 ^ 条件を変えずに基板を搬入出し、 処理室から基板を取り出した後に、 遮 断手段を閉じてバッファ手段内を搬送室内と同一の雰囲気条件に設定し た後に、 別の処理室にバッ ファ手段を移動対向させて、 バッファ手段内 をこの対向した処理室内の雰囲気に合わせる方法を反復するので、 任 の処理室と搬送室内との雰囲気条件を互いに独立に維持したままで、 基 板の搬送操作が行える。
従って、 処理室内の雰囲気を搬送室内に合わせること無く基板の搬送 が可能となるので、 雰囲気条件合わせのための搬送準備時間が不要とな る。 また、 搬送室内や処理室内の雰囲気条件の再設定時間を必要とせず、 さらには処理室内の雰囲気処理条件を大き く変える必要が生じないため、 プロセス条件を安定に維持でき、 さらに、 異なる処理室や搬送室からの 汚染物質を含んだガスの連通路経由での侵入を防止できるので、 信頼性 の高い高性能な半導体素子を高い歩留ま りと高いスループッ トで製造可 能とする半導体製造装置の実現、 および、 実現させるための製造方法、 さらには、 その方法による高性能な半導体装置を安価で提供可能となる。 本発明に係る半導体装置の製造方法においては、 複数の処理室や搬送 室の互いに異なる雰囲気条件を維持したまま、 それぞれの処理室に基板 を搬入出できるので、 高性能な半導体装置を高い歩留ま り とスループッ 卜で容易にしかも低コス トで提供できるという大きな効果を有している。
図面の簡単な説明
第 1 図は、 本発明の一実施例の装置の断面概略図である。
第 2図は、 従来技術の平面概略図である。
第 3図は、 本発明と従来技術の比較の模式図である。
第 4図は、 本発明の第 2の実施例の概略図である。
第 5図は、 本発明のシール機構を示す部分拡大概略図である
第 6図は、 本発明の基板の搬送手順を示す概略図である。
第 7図は、 本発明の工程の一突施例図である。
第 8図は、 従来技術の工程の一例図である。 第 9図は、 本発明の処理時間とスループッ 卜の関係の一例図である。 第 1 0図は、 本発明の第 3の実施例の概略断面図である。
第 1 1 図は、 本発明の回転腕方式の移動授受手段による一実施例の概 略図である。
第 1 2図は、 本発明の多段娃足方式の移動授受手段による一実施例の 概略図である。
第 1 3図は、 本発明の多段蛙足方式の移動授受手段による第 2の実施 例の概略図である。
第 1 4図は、 本発明のスチールベルト方式の移動授受手段による一実 施例の概略図である。
第 1 5図は、 本発明の小型回転腕方式の移動授受手段による一実施例 の概略図である。
第 1 6図は、 本発明の回転駆動手段の一実施例の拡大断面概略図であ る。
第 1 7図は、 本発明の回転駆動手段の第 2の実施例の拡大断面概略図 である。
第 1 8図は、 本発明の試料台手段の一実施例の断面概略図である。 第 1 9図は、 本発明の半導体装置の製造方法の一実施例の断面概略図 である。
第 2 0図は、 本発明の室内圧力特性の一実施例図である。
第 2 1 図は、 従来技術の室内圧力特性の一例図である。
第 2 2図は、 本発明の高温処理特性の一実施例図である。
第 2 3図は、 従来技術の高温処理特性の一例図である。
第 2 4図は、 本発明の工程の柿足図である。
第 2 5図は、 従来技術の工程の補足図である。 発明を実施するための最良の形態 以下、 実施例によ り 本発明をよ り詳細に説明する。
第 1 図は本発明の一実施例の装置の平面概略図である。
本発明の半導体製造装置は、 主に、 搬送室 1 、 処理室 2 、 3 、 4 、 5 . 6 、 7 、 8 、 9 、 ノ ッフ ァ室 1 0 、 基板 1 1 の移動授受手段 1 2 とから 構成されている。 第 1 図 aは基板 1 1 を載せた移動授受手段 1 2 を包含 したバッフ ァ室 1 0 を処理室 6 に対向させた状態で、 搬送室 1 とバッフ ァ室 1 0 の天蓋を除去して見ている状態を示す。 第 1 図 b は移助授受手 段 1 2 を処理室 6 内に揷入して基板 1 1 を授受する状態で、 処理室 6 の 天蓋を除去 した状態を示している。 処理室 2 と処理室 6 ではスパッタ処 理を、 処理室 3 ではタ ングステンス C V D ( Chem i ca l Vapo r
D epos i t i o n ) 処理を、 処理室 4ではタ ングステンスパッタ処理を、 処理 室 5ではアルミ スパッタ処理を、 処理室 7 では脱ガス処理を行い、 処理 室 8 ではエッチング処理を行う 。 処理室 9 はロー ドロ ッ ク室である。 こ のロー ドロ ック室は大気圧よ り 5 0 t o r r 程度高い圧力の不活性ガス 雰囲気に設定、 あるいは、 搬送室と同 じ雰囲気に減圧設定されてお り 、 複数枚の基板を ま とめて、 あるいは 1 枚ずつ装置外から ロー ド、 ま たは 装置外にアンロー ドする こ とが出来る。 なお、 搬送室 1 内の雰囲気は 1 0 ~6 t o r r の真空圧に保ち、 ノく ッ フ ァ室 1 0 内は対向する処理室内の 棼囲気と同 じ条件および、 搬送室内を処理室から別の処理室へ移動する 際には搬送室内と同 じ雰囲気条件に設定される。
従来装置の場合、 すなわち、 搬送室から処理室へ連通路や予備排気室 を経由する搬送方式の場合には、 複数の処理室と同数の排気系を必要と していた力、'、 本発明の場合には複数の処理室が一つの可動式のバッフ ァ 室を共用 しているため、 バッ フ ァ室の排気系が 1 式で済む。 従って、 本 発明は、 従来技術に比べて、 排気系の数を激減できので装置コ ス トの低 減が図れるという特徴がある。 さ らに、 搬送室と処理室との間に連通手 段を必要とせず、 特に連結のための距雜を必要としないので半導体装置 床面積を小さ くできるという特徴も有している。
上述の実施例では、 処理室は搬送室を中心に同心円状に配置され、 バ ッファ室は搬送室内で回転移動する構造の半導体製造装置の例であるが、 搬送室に対して直線状あるいは任意曲線状に処理室を配置して、 所定の 案内手段や移動手段を介して直線あるいは任意曲線状にバッ フ ァ室を移 動する構造の半導体製造装置を構成することも可能である。
第 3図は本発明と従来技術の比較の模式図である。
第 3図 aは本発明の模式図で、 処理室内 5 0の圧力 P 1 と搬送室内 5 1 の圧力 P 2 とをそれぞれ独立に維持したまま、 バッファ室内 5 3の圧力 を P 1 に設定することにより、 基板 5 2を処理室内 5 0に搬入出する。 従って、 わずかな容積のバッ フ ァ室 5 3内の雰囲気圧力の設定のみの時 間 ( 1 0秒程度) で基板 5 2を処理室へ搬入出し、 その直後に所望の処 理を基板に施すことができる。
第 3図 bは従来技術の模式図で、 圧力 P 1 の処理室内 6 0を排気して、 搬送室内 6 1 の圧力 P 2 と等しく設定した後、 基板 6 3を処理室内 6 0 に搬入出し、 処理室内 6 0を処理雰囲気条件に再設定してから所望の処 理を基板に施す。 従って、 この従来技術では、 大きな容積の処理室内を 排気する時間 ( 3 0秒程度) と処理雰囲気条件への再設定の時間 ( 3 0 秒程度) を合わせた準備時間 ( 6 0秒程度) が必要となり、 この排気と 圧力設定のための準備に時間を費やすという課題があった。
一方、 大口径化する半導体基板上に高性能な半導体装置を処理形成す る上では、 半導体基板を 1 枚ずつ管理して処理する枚葉処理が不可欠と なる。 この枚葉処理を行う場合に、 1枚 1枚の甚板を搬入出する度に、 従来技術では準備時問に 6 0秒程度 やすことになる。 半導体装 ίίϊ形成 の機能付加のための処理時問が、 通常 6 0秒〜 9 0秒であるこ とを考 1¾ すると、 準備時間の比率が大きいことは、 スループッ ト向上の点から極 めて大きな問題となった。
これに対して本発明では、 小さな内容積のバッファ室の雰囲気条件を 1 0秒弱程度で設定して基板を搬入出して処理が行えるので、 スループ ッ 卜の向上が容易になる。 また、 本発明では処理雰囲気条件の再設定が 不要なため、 処理室内の雰囲気を定常に安定に保つことが容易になる。 また、 雰囲気が一定であるため、 雰囲気の微妙な変化から偏る異常な現 象を検出しやすく なる。 その結果、 高品質な半導体装置を高い歩留ま り で生産可能となる特徴がある。 本発明の雰囲気を合わせることは、 それ ぞれの基板の処理に際して、 付加する機能に影饗を与えるパラメータを 同一ないしは、 影響の生じないように制御して合わせるこ とで、 ガスの 分圧や温度等を揃えることが最も容易な方法である。
第 4図は本発明の第 2の実施例の概略図である。
第 4図 aは、 五角形状の搬送室 Ί 1 と処理室 7 2 とバッファ室 8 0、 基 板 8 1 、 移動授受手段 8 2 とから主に構成される装置の平面図である。 第 4図 bは移動授受手段を処理室 7 2側へ移動させた状態を示す平面図 である。 第 4図 c は装置の横断面図である。
処理室 7 2は開閉弁 7 3を介して搬送室 7 1 に接続し、 - 他の開閉弁 7 4、 7 5、 7 6、 7 7を介して図示していない各種の処理室がこの搬送 室に接続している。 なお、 接続可能な処理室の数は本発明例に特定され るものではなく、 接続すべき処理室の必要数に応じて搬送室の直径を設 計することが出来る。 本実施例の場合、 基板の直径は 2 0 0 m m、 搬送 室 7 1 の内径は 6 0 0 m m、 処理室内の奥行きは 4 5 0 m m、 搬送室 7 1 の高さは 2 0 0 m m、 バッファ室内の高さは 3 0 m mである。
移動授受手段 8 2は、 後述するように基板を上下に 2枚 ¾せて保持可 能な栊造である。 移動授受手段 8 2は、 処理室内の試料台手段 1 1 0上 に既に存在する処理济み ¾板を受け取り、 未処理基板を試料台手段 1 1 0上に渡す。 移動授受手段 8 2 は駆動手段 8 3、 8 4でリ ンク機構 8 5 、
8 6を移動制御するこ とによ り、 いわゆる娃足方式で基板を搬送する。 バッ フ ァ室 8 0内は、 開口 8 7からコ ンダクタ ンス弁 8 8 と開閉弁 8 9 を介して排気手段 9 0によ り排気できる。 また、 搬送室 7 1 内は開閉弁 9 1 を介して、 排気手段 9 0 によ りバッ フ ァ室 8 0 内とは独立に排気で きる。 バッ フ ァ室 8 0は搬送室 7 1 内で所望の処理室に対向するため、 矢印 9 2 のよ う に図示していない駆動手段によ り回転移動できる。 バッ フ ァ室 8 0 は磁性流体シールを用いた案内手段 9 3 によ り、 外気とは遮 断した状態で回転移動可能である。 なお、 処理室 7 2 は基板の搬入出の 操作を行わない時には開閉弁 7 3 によ り遮蔽され搬送室内やバッ フ ァ室 内から隔離する。
処理室 7 2 内は開閉弁 9 4 を介して排気手段 9 5 によ り排気可能な構 造である。 また、 バッ フ ァ室 8 0 内を処理室と同 じガス雰囲気に設定し た後に、 バッ フ ァ室内を排気するために、 開閉弁 9 6を介して排気手段
9 5で排気可能な構造となっている。 また、 処理室 7 2 内とバッ フ ァ室 7 1 内には、 それぞれ所望の処理雰囲気を維持させるため、 所定のガス 供給手段 9 7 から流量制御手段 9 9、 1 0 0を介して、 それぞれ所望の ガスが供給可能な構造となっている。 さ らに、 バッ フ ァ室 7 1 内の洗浄 操作等のため、 不活性ガス供給手段 9 8から流量制御手段 1 0 1 を介し てガスが供給可能な構造となっている。 不活性ガス供給手段 9 8からの 加圧制御した高純度な窒素ガスをバッ フ ァ室の上流側の搬送室の開口部 の上部天井に設けた喰出孔手段 1 0 2から、 バッ フ ァ室内に喷出させ、 排気手段へつながる下流の開口 8 7 に向かって乱流を形成する こ とによ り、 バッ フ ァ室内の壁面や移動授受手段の表面等に付着した塵埃を物理 的に除去する こ とが可能である。 また、 あらかじめ、 C F 4や C H F 3、 S F 6等のフ ッ素系ガス等を用いて、 バッ フ ァ室内を化学的に洗淨でき る槠造に もなつている。 その際、 脱ガス促進のためバッ フ ァ室内を加熱 制御することも可能である。
また、 排気により負圧となったバッファ室に、 相対的に高く なつた圧 力差を利用して種々のガスを噴出させて、 噴出流れによる物理的および 化学的なバッ フ ァ室内洗浄を行い、 長期間清浄な状態を効率良く保つこ とが可能である。
バッ フ ァ室内は、 高い圧力からガスを小容積の室内に噴出し、 流れに 異物を載せて排気する洗浄工程を随時行えるので、 本発明技術を用いる とバッファ室内に異物が蓄積しないという特徴がある。
なお、 パ'ッファ室内のガスのプラズマ化や光励起、 または抵抗加熱や 輻射加熱等の併用により、 室内の壁面や移動授受手段の外壁等に付着し たガス成分や微粒子を表面から雜脱させ、 気体分子のブラウン運動作用 や静電的作用、 さ らには電気泳動作用により、 固体壁面近傍の遅い流れ の境界層から、 ガス流れの主流中へ微粒子を制御導入することができる ので、 バッファ室内の洗浄効果をさらに高めることも可能であり、 しか も、 これらの工程は、 バッファ室内の容積が小さいため短時間で行える 特徵を有している。
これらの洗浄工程の制御は、 バッファ室下流の排気孔部に設けたパー ティ クルモニタで微粒子の粒径分布を把握したり、 質量分析管でガス分 圧を把握することにより、 噴出ガス量や流速、 排気速度を制御でき、 さ らには、 洗浄工程の必要となる時期を適確に把握できる。 その結果、 過 剰な洗浄という無駄時問や装 ffi類の損傷を防止でき半導体製造装置の稼 働率を向上できる特徴がある。
移動授受手段の原点センサをからの信号を基举と して、 駆動手段のパ ルスモータを制御することにより、 複雑なフィ ー ドバッ ク系無しに、 シ ンプルで信頼性の高い駆動制御機措を構成できるので、 移動授受手段で 高精度に基板の搬入出することが可能である。 もちろん、 必要に応じて、 適当なフィー ドバック制御系を組むことにより、 移動速 Kの加減速の最 適化が可能となり、 搬送時間の短縮が図れる。
移動授受手段による基板の搬送に際して、 通常は、 基板の機能付加面 を上に向けて搬送するが、 処理室等の関係で基板の機能付加面を裏返し て下向きで搬送したり、 垂直に立てて搬送する方法も考えられる。
特に大口径の基板を枚葉で扱う場合には、 垂直に立てて搬送処理等を行 うシステムとすることにより、 装置の床面積を低減できる効果がある。 第 5図は、 本発明のシール機構を示す部分拡大概略図である。 特に第 4図の円で囲んだ部分 1 2 0の概略を示す拡大側断面図である。 回転移 動するバッファ室 8 0 と処理室への開口部 1 2 7 とを連通させて、 搬送 室内 1 2 9に対しての気密封じ機構の概略図である。 処理室につながる 搬送室 7 1 の開口部 1 2 7にバッファ室 8 0が対向した状態で、 ベロー ズ等の柔軟な遮蔽手段 1 2 3を介し、 シール手段 1 2 1 を案内手段 1 2 8で支持し、 駆動手段 1 2 4、 1 2 5で矢印方向に移動させ、 シール部 材 1 2 2に近接させ、 あるいは、 押しっけ接触させることにより、 バッ ファ室内 1 2 6 と搬送室内 1 2 9 との雰囲気を遮断する構造である。 なお、 移動授受手段で基板を処理室内に搬入出する時には、 シール手段 1 2 1 をシール部材 1 2 2に接触させ、 移動授受手段を引き込めたバッ ファ室を搬送室内で回転移動させる時には、 シール手段 1 2 1 とシール 部材 1 2 2 とを所定距離だけ離して非接触状態にする。
第 6図は、 本発明の基板の搬送手順を示す概略図である。 上側に平面 図を示し、 下側に側断面図を示す。 処理室内へ未処理基板を搬入し、 試 料台手段 1 1 0に授受し、 処理済み基板を搬出する手顺を示す該略図で ある。 第 4図の移動授受手段 8 2のように、 移動授受手段 1 台の水平一 軸方向の移動のみで、 処理済み基板を受け取り、 未処理基板を設 3Ϊする 方式の一例である。 特に、 処理室内で 板を受け取る試料台手段 1 1 0 の動きが、 上下の一軸方向移動だけという シ ンプルな受渡 し 造の処理 室に好都合な機構の一例である。 試料台手段 1 1 0は、 少なく とも 3点以上で基板を水平に支持するサ セプタ 1 3 0 (第 6図は 4点で支持) を有する。 サセプタ 1 3 0は図示 していない駆動手段により矢印 1 3 4のように上下一軸方向のみに移動 可能な構造である。 種々の処理雰囲気の環境下で信頼性高く基板を設置 できる簡素な構造である。 移動授受手段 8 2は、 処理室内への 1回の挿 入操作で、 処理済み基板 1 4 0を受け取り、 未処理基板 1 4 1 を設置す る操作を行う 目的で、 2個のフォーク 1 3 1 、 1 3 2を有している。 上 側にあるフ ォーク 1 3 2は、 下側のフォーク 1 3 1 より距離 rだけ処理 室側に突き出している。 通常、 この距離 rは取り扱う基板の半径とほぼ 等しい値に設計することが機構の小型化の点で望ま しい。 また、 フ ォー ク 1 3 1 と 1 3 2の平面形状は、 各フォークとサセプタ 1 3 0がそれぞ れの移動に際して、 互いに干渉し接触しないように移動できるような構 造となるように設計する。 また、 移動授受手段 8 2の移動方法は上述の 蛙足方式以外に、 後述する種々の方法が考えられる。
上述の機構のもとでの基板の搬送手順を次に説明する。
先ず、 第 6図 aに示すように、 処理室内に処理済み基板 1 4 0があ り、 未処理の基板 1 4 1 が移動授受手段の下側のフ ォーク 1 3 1 に載ってい る場合、 基板 1 4 0をサセプタ 1 3 0で試料台手段の上方に、 フ ォーク 1 3 2より上方の位置まで持ち上げる。 この状態で移動授受手段を矢印 1 3 5の方向に移動させ、 基板 1 4 1が試料台の掲載位置より距離 r だ け手前の位置で一端停止し、 サセプタ 1 3 0を下降させると、 基板 1 4 0が下方に挿入されているフ ォーク 1 3 2上に設置される。 その後さ ら に、 サセプタを基板 1 4 1 より下方まで下降させる。
次に、 第 6図 bに示すように、 移動授受手段 8 2を距離 rだけ矢印 1 3 6方向に抑入し、 サセプタ 1 3 0を上昇させると、 基板 1 4 1 がサセ プタ 1 3 0上に設置でき、 さ らに若干上昇させて、 フ ォーク 1 3 1 から 基板を離脱させる。 次に、 第 6 図 c に示すように、 移動授受手段 8 2 を矢印 1 3 7方向に 移動させる と基板 1 4 0が処理室からバッ フ ァ室内へ搬出できる。 その 後、 サセブ夕 1 3 0を下降させる こ とによ り、 基板 1 4 1 を試料台手段 の所定位置に設置できる。
一方、 第 6図 dに示すよう に、 処理室内に処理済み基板 1 4 2があり 未処理の基板 1 4 3が移動授受手段の上側のフォーク 1 3 2 に載ってい る場合、 基板 1 4 2 をサセプタ 1 3 0で試料台手段の上方に、 フ ォーク 1 3 1 に接触しない程度に若干上方の位置まで持ち上げる。 この状態で 移動授受手段を矢印 1 3 8方向に移動させ、 基板 1 4 3が試料台の掲載 位置よ り距離 r だけ奥の位置で一端停止し、 サセプタ 1 3 0 を下降させ る と、 基板 1 4 2が下方に挿入されたフ ォーク 1 3 1 上に設置される。 その後さ らに、 サセプタを基板 1 4 1 から接触しない程度に下降させる。 次に、 第 6図 e に示すよう に、 移動授受手段 8 2 を距離 r だけ矢印 1 3 9方向に挿入し、 サセプタ 1 3 0を上昇させる と、 基板 1 4 3がサセ プタ 1 3 0上に設置でき、 さ らに若千上昇させて、 フォーク 1 3 2 から 基板を離脱させる。
次に、 第 6図 f に示すよ う に、 移動授受手段 8 2 を矢印 1 4 5方向に 移動させると基板 1 4 2が処理室からバッ フ ァ室内へ搬出できる。 その 後、 サセプタ 1 3 0を下降させるこ とによ り、 基板 1 4 3 を試料台手段 の所定位置に設置できる。
以上のよ う に、 移励授受手段 8 2 を処理室に、 1 回抑人する水平方向 の移動操作とサセプタの上下方向の移動操作とによ り、 処理济み基板と 未処理基板とを交換授受して、 所望の基板の搬入出が可能となる。
従来技術で行われたよ う な処理济み基板を一端搬送室に取り 出 して別 の処理室に設置し、 その後、 別の処理室から未処理基板を収り 出 して、 該当する処理室に設置する という 、 搬送手段の往復回転移動に伴う -駄 時間を解消出来る特徴が本発明にある。 この特徵を第 7図と第 8図を用 いて次に説明する。
第 7図は本発明の装置と方法を用いて、 基板の処理を行うための工程 の一例を示す図である。 1枚の基板 (基板 Pと表記) に注目 して、 ある 処理室 Aでの処理 A 4 0 3を中心とした工程を抽出して述べる。 処理 A の直前の処理室 Bでの処理 B 4 0 7、 処理 Aの直後の処理室 Cでの処理 C 4 0 8について説明する。
処理室 A内に既存する処理済み基板を取り出し、 処理 Bを終えた基板 P を処理室 A内に設置して処理 Aを行い、 処理 Aを行った後、 処理 Cを行 う。 黒円印はこの処理 B、 A、 C以外の種々の処理工程を表し、 これら は工程 A 4 0 6の条件値を種々の処理工程に合わせて変えたものである。 矢印は時間経過に伴って進行する各工程の流れの方向を表している。
工程 A 4 0 6は、 処理室とバッファ室との雰囲気条件を揃える準備搬 送 4 0 1 、 未処理基板を搬入する準備搬送 4 0 2、 処理 A 4 0 3、 処理 室とバッファ室との雰囲気条件を揃える準備搬送 4 0 4 と、 処理済み基 板を搬出する準備搬送 2 0 5 とからなる。
準備搬送 4 0 1 と 4 0 4 は処理 4 0 3 と平行して行い、 準備搬送 4 0 2 と 4 0 5は処理 4 0 3 と直列で行う。 この直列で行う準備搬送は、 基 板の実質処理には寄与しない無駄時間となるため、 時間が大きいとスル ープッ ト低減の要因となる。 各準備搬送に要する時間は、 図中に例示し たように移動授受手段の移動時間と雰囲気設定時間とに主に依存する。 移動授受手段の移動速度は、 基板が載っている時には振動低減のために 低速にし、 基板が無い時には高速に設定した。
さらに、 手順を次に詳述する。 なお、 説明の補足のため第 2 4図の本 発明の工程の補足図を併用する。 基板 Pに対して処理 Bを行うのと平行 して、 ¾¾備搬送によって、 パ'ッファ室内雰囲気と搬送室内雰囲気とを同 一に揃え、 バッファ室を回転移動させ処理室 Βにバッ ファ室を対向位 決めした後、 バッ フ ァ室内雰囲気条件を処理室 Bと合わせる。
処理 Bでの基板 Pの処理終了と同時に、 準備搬送によって、 開閉弁を 開けて、 未処理基板を低速度で挿入移動し、 処理室 B内の試料台手段上 の基板 Pを受け取り、 未処理基板を設置した後、 基板 Pをバッ フ ァ室内 に低速度で搬出して開閉弁を閉める。 その直後に、 処理室 Bでは次の未 処理基板に対して処理 Bを行うことが出来る。
一方、 基板 P 9 2 6を内包したバッファ室は、 準備搬送 4 0 1 により バッ フ ァ室内雰囲気と搬送室内雰囲気とを同一に揃え、 バッ フ ァ室を回 転移動して処理室 A 9 2 2 にバッ フ ァ室 9 2 4を対向位置決めした後、 バッ フ ァ室内雰囲気条件を処理室 A 9 2 2に合わせて待機する (第 2 4 図 a ) 。
処理 A 4 0 3で先行している先行基板 9 2 5の処理終了と同時に、 準 備搬送 4 0 2によって、 開閉弁を開け基板 Pを低速度で挿入移動し、 処 理室 A内の試料台手段上の先行基板を受け取り基板 Pを設置する (第 2 4図 b:) 。 先行基板をバッ フ ァ室内に低速度でもどして開閉弁を閉め、 その直後に、 処理室 Aで基板 Pに対して処理 A 4 0 3を行う (第 2 4図 c ) 。 なお、 パ'ッファ室に取り出された先行基板は (第 2 4図 d ) 、 準 備搬送により、 次の処理室へ搬送され (第 2 4図 e ) 処理を施す (第 2 4図 门 。
処理 A 4 0 3を行っている間に、 次に処理 Aを行うべき未処理基板 9 2 7を受け取った移動授受手段を内包したバッ フ ァ室は、 準備搬送 4 0 4により、 バッ フ ァ室内の雰囲気と搬送室内の雰囲気とを同一に揃え、 バッ フ ァ室を回転移動して処理室 Aにバッ フ ァ室を対向位置決めした後、 バッ フ ァ室内雰囲気条件を処理室 Aと合わせて待機する (第 2 4図 f ) 。 処理 A 4 0 3での基板 Pの処理終了直後に、 準備搬送 4 0 5によって. m m弁を開け未処理基板を低速度で挿入移動し、 処理室 Λ内の試料台手 段上の基板 Pを受け取り、 未処理基板を設置する (第 2 4図 g ) 。 基板 Pをバッ フ ァ室内に低速度でもどして開閉弁を閉め、 その直後に、 処理 室 Aで未処理基板に対して処理 A 4 0 3を行う (第 2 4図 h ) 。 次に、 準備搬送により、 基板 Pを内包したバッ ファ室は、 バッ フ ァ室内雰囲気 と搬送室内雰囲気とを同一に揃え、 バッ ファ室を回転移動して処理室 C にバッ フ ァ室を対向位置決めした後、 バッ フ ァ室内雰囲気条件を処理室 Cと合わせて待機する (第 2 4図 i ) 。 処理室 Cでの先行基板の処理が 完了後、 準備搬送により基板 Pを処理室 Cに搬入し (第 2 4図 j ) 、 次 の処理 Cを施す (第 2 4図 k ) 。 なお、 取出した先行基板は別の処理室 へ搬送される (第 2 4図 k ) 。
このような手順を反復することにより基板 Pに半導体装置の機能が付 加形成できる。
該当の処理室内で先行している基板の処理終了と同時に、 開閉弁を開 けて、 工程 4 0 2で示すように、 未処理基板を低速度で挿入移動し、 試 料台手段上にある処理済み基板を受け取った後、 未処理基板を設置して、 受け取つた処理済み基板をバッ フ ァ室内に低速度でもどしてから、 開閉 弁を閉めて直ちに所定の処理を行う。 従って、 処理時間以外に要する準 備搬送時間は、 準備搬送 4 0 1 、 4 0 2、 4 0 4、 4 0 5で示す合計 2 0秒である。 特に、 連続的に基板の処理を行う場合には、 準備搬送 4 0 1 、 4 0 4の時間各 4秒は処理時間中に平行に行えるので、 スループッ 卜に影響する時間は工程 4 0 2 と 4 0 5の計 1 2秒となる。
また、 矢印 9 3 3は先行基板の処理室 Aでの処理工程、 矢印 9 3 7は 先行基板の取り出しと基板 Pの搬送工程、 矢印 9 3 1 は基板 Pの処理室 Aでの処理工程、 矢印 9 3 8は基板 Pの取り出しと処理室 Cへの搬送ェ 程、 矢印 9 3 2は基板 Pの処理室 Cでの処理工程、 矢印 9 3 6は次の ¾ 板の処理室 Aでの処理工程、 矢印 9 3 4 は先行基板の処 111室 Cでの処理 工程となり、 いずれの工程に波っても各処理室の表示模様を揃えてある よう に、 各処理室内の雰囲気が維持されている。
なお、 処理室の雰囲気条件を維持するという こ とは、 あるガス成分、 圧力、 温度さ らにはプラズマ条件等を常時一定に維持するこ とだけに限 定される ものではな く 、 処理室に基板を搬入設置後ただちに処理を開始 できる状態に維持した後、 基板に付加すべき機能に応じて、 ガス成分、 圧力、 温度さ らにはプラズマ条件等の雰囲気条件を随時切り替え制御す る こ とが可能である。
一つの処理室内で、 雰囲気条件を随時切り替えるか、 別の処理室にそ の雰囲気条件を設定しておき、 基板を移動させて処理を行うかの選択判 断は、 基板の搬入出にと もなう準備搬送時間と雰囲気条件の切り替え制 御に要する時間との大小を比較し、 短い方を選択すべきである。
第 8 図は従来技術の装置を用いて、 基板の処理を行う ための工程の一 例を示す図である。 1 枚の基板 (基板 Q と表記) に注目 して、 ある処理 室 Eでの処理 E 5 0 1 における工程 5 0 4 を例示した。 処理 Eの直前の 処理室 Fでの処理 F 5 0 2 、 処理 Eの直後の処理室 Gでの処理 G 5 0 3 について説明する。
処理室 E内を排気して搬送室内と同 じ雰囲気に設定後、 処理済み先行 基板を取り 出 し、 次の処理室 Gに搬送設置する。 その後、 処理室 Fから 処理 Fを終えた基板 Qを取り 出 し処理室 E内に設置して処理 Eを行う。 処理 Eを行った後、 処理室 Gで処理 Gを行う。 黒円印はこの処理 F、 E、 G以外の種々の処理工程を表し、 これらは工程 5 0 4 の条件値を 々 の 処理工程に合わせて変えたものである。 矢印は時間経過に伴って進行す る各工程の流れの方向を表している。
工程 5 0 4 は処理室 E内を排気し基板を搬入して雰囲気設定する準備 搬送 5 0 5 、 処理 5 0 1 、 処理室 E 内を排気し基板を搬出する準備搬送 5 0 6 とからなる。
準備搬送 5 0 5、 5 0 6 は処理 E 5 0 1 と直列で行う。 この直列で行 う準備搬送は、 基板の実質処理には寄与しない無駄時間となるため、 こ の時間が大きいとスループッ ト低減の要因となる。 各準備搬送に要する 時間は、 図中に例示したように移動授受手段の移動時間と棼囲気設定時 間とに主に依存する。 移動授受手段の移動速度は、 基板が載っている時 には振動低減のために低速にし、 基板が無い時には高速に設定した。
さらに、 工程 5 0 4に従って手順を次に詳述する。 なお、 説明の補足 のため図 2 5に示す従来技術の工程の補足図を併用する。
処理室 E 9 4 2内に先行基板 9 4 4が存在している場合 (第 2 5図 a ) 、 先ず、 処理室 E 9 4 2内を排気し搬送室 9 4 1 内と同等の真空にする
(第 2 5図 b ) 。 その後開閉弁を開けて、 移動授受手段を処理室 Eに高 速に挿入移動し、 既に処理の終わった先行基板を受け取り (第 2 5図 c ) 低速で搬送室に引き戻し (第 2 5図 d ) 、 先行基板の次の処理のため処 理室 G 9 4 3に対向すべく移動授受手段を低速で回転移動させ (第 2 5 図 e ) 、 処理室 G内に先行基板を低速で搬入設置して (第 2 5図 f ) 開 閉弁を閉め、 雰囲気を設定して処理を開始する (第 2 5図 g ) 。
一方、 移動授受手段を処理室 Fに向けて高速で回転移動させ、 処理室 F 内に高速で挿入移動させ、 処理 Fを終えた未処理 (処理 Eに対して未処 理) 基板 Q 9 4 5を受け取り、 低速で搬送室に引き戻し、 処理室 Eに対 向すべく低速で回転移動させ (第 2 5図 h ) 、 基板 Qを処理室 E内に設 置する (第 2 5図 i ) 。 その後、 移動授受手段を高速で引き戻して、 処 理室 Eの開閉弁を閉じて、 処理 Eに適した雰囲気に設定してから、 処理 E 5 0 1 を施す (第 2 5図 j ) 。
また、 先行基板を処理室 Gから取り出すため処理室 Gを排気し (第 2 5 図 k ) 、 移動授受手段で先行基板を取り出し (第 2 5図 1 ) 、 搬送室に 引き戻して (第 2 5図 m ) 別の処理室へ搬送する。
一方、 処理 E終了後、 準備搬送 5 0 6によって、 処理室 E内を排気し 搬送室内と同等の真空にする (第 2 5図 n ) 。 その後 1 1弁を 】けて、 移動授受手段を処理室 Eに高速に挿入移動し、 既に処理の終わった基板 Qを受け取り (第 2 5図 o ) 、 低速で搬送室に引き戻し (第 2 5図 p ) 、 基板 Qの次の処理のため処理室 Gに対向すべく移動授受手段を低速で回 転移動させ (第 2 5図 Q ) 、 処理室 G内に基板 Qを低速で搬入設置する (第 2 5図 r ) 。 続いて、 上述の処理 Eと同様に、 処理室 Gの開閉弁を 閉じて、 雰囲気条件を設定してから処理 Gを施す (第 2 5図 s ) 。
このように従来の実施例では、 該当の処理室内で先行している基板の 処理終了と同時に、 処理室の排気を始めてから、 雰囲気設定完了までに、 図示したように準備搬送に 5 0秒、 処理後に基板を搬出するための準備 搬送に 1 4秒の合計 6 4秒の準備搬送工程を直列に行わなければならな い。 従って、 スループッ 卜に影響する時間は準備搬送 5 0 5、 5 0 6の 計 4 9秒となる。
また、 矢印 9 5 3は先行基板の処理室 Aでの処理工程、 矢印 9 5 7は 処理室 Aの排気と先行基板の取り出し、 基板 Q搬送と処理室 Aの雰囲気 設定工程、 矢印 9 5 1 は基板 Qの処理室 Aでの処理工程、 矢印 9 5 8は 処理室 Aの排気と基板 Qの取り出しおよび処理室 Gへの搬送と処理室 G の雰囲気設定工程、 矢印 9 5 2は基板 Qの処理室 Gでの処理工程、 矢印 9 5 4は先行基板の処理室 Gでの処理工程を示している力 各処理室の 色模様で示すとおり、 各処理室の雰囲気が処理を行う場合と搬送等のェ 程とによって変化している。
第 7図と第 8図の一例を比較すると、 従来技術のスループッ 卜に影^ する時間は、 本発明の場合の 5倍も長く 、 本発明が従来技術に比べて、 処理に関与しない無駄時間を 1 / 5に低減出来る特徴があることが認め られる。
さ らに、 第 2 0図と第 2 1 図を用いて本発明の特徴の補足説明を行う 第 2 0図は本発明の室内圧力特性の一突施例である。 処理室内圧力 (平 均 5 0 t o r r ) 、 搬送室内圧力 (平均 1 0ー5 t o r r ) 、 バッ ファ室 内圧力の時間変化を求めた結果の一例である。 横軸に時間、 縦軸に対数 表示の圧力を示す。 反復する処理の前後に基板の搬入出を行い、 それに 伴いバッファ室内の圧力を制御する。 その結果、 処理室内や搬送室内の 圧力が許容値内で微変動している。 特に処理に関係する雰囲気圧力変動 は処理室内平均圧力の数%以下と小さ く、 処理室内の雰囲気状態を高精 度に維持できる特徴が認められる。
第 2 1図は従来技術の室内圧力特性の一実施例である。 搬送室内圧力 (平均 1 0 _5 t o r r ) と処理室内圧力を示した。 横軸に時間、 縦軸に 対数表示の圧力を示す。 反復する処理の前後に処理室内の排気と雰囲気 圧力設定の時間を含む基板の搬入出を行い、 それに伴い処理室内の圧力 が大き く変化している。
第 2 0図と第 2 1 図とを比較して見ると、 同じ処理を基板に施すための 基板搬入出時間が本発明の場合小さいという特徵と、 処理室内の雰囲気 圧力の変動が本発明の場合極めて小さ く安定しているという特徴が明白 である。
第 9図は本発明の処理時間とスループッ トとの関係の一例図である。 第 7図の本発明実施例と第 8図の従来技術の準備搬送の時間を用いて、 一つの処理時間に対するスループッ トを第 9図 aに示した。 従来技術に 対する本発明技術のスループッ ト比を第 9図 bに示した。 処理時間が 1 2 0秒の時には、 従来技術では 1 9処理 時間に対して、 本発明では 2 7処理 Z時間とスループッ トは 1 . 4倍となる。
処理時間が 6 0秒の時には、 従来技術では 2 9処理 Z時間に対して、 本発明では 5 0処理 Z時問とスループッ トは 1 . 7倍となる。
処理時間が 3 0秒の時には、 従来技術では 3 8処理/時問に対して、 本発明では 8 5処理 時間とスループッ 卜は 2 . 2倍となる。
このように、 スループッ 卜は処理時間に依存するが、 処理時 が短 I、 ほど、 本発明のスループッ ト向上の効果が高いこ とが分かる。
半導体製造装置は、 処理時間の短縮化のための種々の技術改善が日夜 行われているが、 この処理時間の短縮化を背景に、 本発明を適用する と スループッ トが相乗的に向上する特徵があるこ とを意味している。
さ らに、 従来技術とは異なり、 本発明では基板搬入出のたびごとに処 理室の雰囲気条件を再設定する必要がないので、 処理室内の微妙な雰囲 気を高精度に維持するこ とが容易となるため、 高性能な半導体装置の各 種の処理工程を安定に保って製造する こ とが容易になる。 その結果、 高 い歩留ま りを保証する半導体装置製造ライ ンが構築出来る という特徵も ある。
第 1 0 図は本発明の第 3 の実施例の概略断面図である。 搬送室 1 5 0 とバッ フ ァ室 1 5 1 、 直線状の移動授受手段 1 5 2 とから主に構成され る。 移動授受手段 1 5 2 は案内機構 1 5 3 によ り案内支持され、 磁気誘 導手段 1 5 4 によ り非接触に駆動される。 案内支持部分から生じる塵埃 等のバッ フ ァ室内 1 5 5への侵入防止のため、 微小間隙のコ ンダク タ ン ス手段 1 5 6 と案内支持空間 1 5' 8 とからの排気可能な構造である。
また、 ガス供袷孔 1 6 0 と遮断弁 1 6 1 、 1 6 2 を介して、 高純度の 不活性ガスを供給して、 案内支持空間 1 5 8 内の異物を物理的に吹き流 し排除したり、 所定の洗浄用ガスを供給して案内支持空間 1 5 8 を化学 的に洗浄するこ と も可能である。 さ らには、 バッ フ ァ室内 1 5 5 の 囲 気圧力と同 じ、 または、 若干高めに不活性ガスを案内支持空間 1 5 8 に 導入制御する こ とによ り、 バッ フ ァ室内に導入される活性な雰囲気ガス の侵入による案內支持空間と案内支持部分の汚染を防ぐこ と も可能であ る。
バッ フ ァ室の開口部側のガス供給孔 1 6 0 よ り処理室 1 7 5 と同 じ 5? 囲気ガスを独立に導入できる。 また、 遮断弁 1 6 3 を め、 遮断弁 i 6 2を開けて高純度不活性ガスをバッ フ ァ室内 1 5 5 に喷出させ、 俳気孔 1 5 7ゃ排気孔 1 6 4から排気することにより、 バッファ室内の異物を 物理的に除去することが出来る。 また、 ガス供給孔 1 6 0より洗浄用ガ スを供給して化学的にバッファ室内を洗浄することも可能である。
なお、 排気手段 1 6 5は仕切り弁 1 6 9、 1 7 0を介してそれぞれ独 立に搬送室 1 5 0内とバッファ室内 1 5 5を排気できる。 排気手段 1 6 7は仕切り弁 1 7 1、 1 7 2を介してそれぞれ独立にバッ フ ァ室内 1 5 5 と処理室 1 7 5内とを排気できる。 バッファ室 1 5 1 は磁性流体シー ル手段 1 6 8を介して、 外気と搬送室内とを遮断し、 かつ回転可能な構 造となっている。 さらに、 処理室に対向したバッファ室の圧力差による 半径方向への偏心移動や磁性流体シール手段 1 6 8へのモーメ ン 卜負荷 等を防ぐため、 固定手段 1 7 3を有している。 また、 開口部とバッ フ ァ 室内とを気密に連通させ、 搬送室内の雰囲気から隔離するための遮断手 段 1 7 4 として、 柔軟なベローズ機構を流体圧力で変形させて遮断ノ開 放を行う機構を設けた。
第 1 1 図〜第 1 5図に本発明の移動授受手段の別の実施例について以 下に述べる。
第 1 1 図は本発明の回転腕方式の移動授受手段を用いた一実施例の概略 図である。 第 1 1 図 aは移動授受手段 2 0 1 をバッ フ ァ室内 2 0 3に引 き戻した状態の平面図、 第 1 1 図 bはその側面図、 第 1 1 図 c は移動授 受手段 2 0 1 を処理室側へ挿入した状態の平面図である。 移励授受手段 2 0 1 は、 同軸の回転駆動手段 2 0 4からの駆動力をスチールベル ト に よりアームに内蔵した回転軸に伝え回転制御することにより、 基板 2 0 2を半径方向に移動する栊造である。 バッ フ ァ室内 2 0 3の回転中心軸 上には排気手段 2 0 5につながる開口 2 0 6があり、 コ ンダクタ ンス弁 を介して排気速度を調整可能である。 バッ フ ァ室内 2 0 3の下流側に排 気のための大きな 口 2 0 6を有し、 上流側にガスの給気孔 2 0 8を有 しているので、 バッファ室内 2 0 3に興物が滞 ¾することを効果的に防 止できる特徴を有している構造である。 すなわち、 基板の往復する領域 である処理室に対向した開口 2 5 5から離れた、 下流側に回転駆動手段 2 0 4を設けたため、 駆動部から発生する塵埃の基板への影響を除ける という利点がある。
第 1 2図は本発明の多段蛙足方式の移動授受手段による一実施例の概 略図である。 第 1 2図 aは移動授受手段 2 2 1 をバッ フ ァ室内 2 2 3 に 引き戻した状態の平面図、 第 1 2図 bはその側面図、 第 1 2図 c は移動 授受手段 2 2 1 を処理室側へ挿入した状態の平面図である。 移動授受手 段 2 2 1 は直線の案内手段でガイ ドされ、 同期して互いに反対の方向に 直線移動する駆動手段 2 2 4からの駆動力を、 多段の蛙足方式のアーム に伝え制御することにより、 基板 2 2 2を半径方向に移動出来るという 特徴を有する構造である。
第 1 3図は本発明の多段娃足方式の移動授受手段による第 2の実施例 の概略図である。 第 1 3図 aは移動授受手段 2 3 1 をバッファ室内 2 3 3に引き戻した状態の平面図、 第 1 3図 bはその側面図、 第 1 3図 c は 移動授受手段 2 3 1 を処理室側へ挿入した状態の平面図である。 移動授 受手段 2 3 1 は平行板バネ状の平行移動案内手段で支持され同期して互 いに反対の方向に移動する駆動手段 2 3 4からの駆動力を、 多段の娃足 方式のアームに伝え制御することにより、 基板 2 3 2を半径方向に移動 できるという特徴を有する構造である。
なお、 ス 卜ロークを拡大できる機能を特徴とする娃足方式と機械的剛 性の高さを有する直線ガイ ドとを複合させた移動授受手段も考えられる。 第 1 4図は本発明のスチールベル ト方式の移動授受手段による一 ¾施 例の概略図である。 第 1 4図 aは移動授受手段 2 4 1 をバッファ室内 2 4 3に引き戻した状態の平面図、 第 1 4図 bはその側而図、 笫 1 -1図 c は移動授受手段 2 4 1 を処理室側へ抑入した状想の平而図である。 移動 授受手段 2 4 1 は、 一組のスチールベル ト 2 4 4 に支持され、 狭い間隙 のコンダクタンス機構 2 4 6を介して、 バッファ室外壁に設けた駆動手 段 2 4 5 と案内手段 2 4 7により駆動制御され、 基板 2 4 2を半径方向 にシンプルな機構で移動できる特徴の構造である。
すなわち、 第 1 4図の実施例は、 バ'ッファ室内に回転等の摺動部分がな いため、 発塵がなく、 また、 バッファ室内 2 4 3の雰囲気が活性ガスで あっても耐久性の高い移動授受手段を構成できる利点がある。
また、 水平方向のみに柔軟なスチールベルト 2 4 4を使用しているため、 案内手段 2 4 7等を介して移動方向を曲げたり、 巻取るなどの構造とす ることにより、 支持手段移動授受手段の移動範囲を拡大出来る利点があ る。 これは、 逆に搬送室やバッファ室を小型化できることを意味してい る。
第 1 5図は本発明の小型回転腕方式の移動授受手段による一実施例の 概略図である。 第 1 5図 aは移動授受手段 2 5 1 をバッファ室内 2 5 3 に引き戻した状態の平面図、 第 1 5図 bはその側面図、 第 1 5図 c は移 動授受手段 2 5 1 を処理室側へ挿入した状態の平面図である。 移動授受 手段 2 δ 1 は同軸の回転駆動手段 2 5 4からの駆動力をスチールベル ト でアームに内蔵した回転軸に伝え、 回転制御することにより基板 2 5 2 を半径方向に移動出来る構造である。 第 1 1 図に示した実施例に比べて、 回転駆動手段 2 5 4をバッファ室内の処理室への開口部側に設けること により、 アームの長さを短く小型化したことを特徴とする楕造である。
第 1 1 図〜第 1 5図に示した実施例は、 図番の大なる実施例ほどバッ ファ室内の内容積が小さ く小型になるという特徴を有している。
% 1 6図は本発明の回転駆動手段の一実施例の拡大概略断面図である 第 4 図や第 1 1 図、 第 1 5図に示したような移動授受手段のアーム 2 6 2に固定した回転軸 2 6 3が、 バッ ファ室 2 6 1 の壁の ¾Πί&孔に設けた 案内支持手段で支持され搬送室内側に設けられた回転導入機 ½ 2 6 4 に つながつている。 回転導入機構 2 6 4は柔軟なベローズ機構によりバッ フ ァ室内と搬送室内との雰囲気を遮断し、 回転力は駆動源軸に設けた歯 車 2 6 7から回転導入機に直結した歯車 2 6 5へと伝達され、 アーム 2
6 2を回転させる。
上述の実施例では、 第 6図に示したように 1つの移動授受手段で処理 済み基板を受け取り、 未処理基板を設置させていた。 この場合にの回転 移動手段は、 バッファ室の天井壁あるいは床壁に一式設ければ良い。 未 処理基板搭載用と処理済み基板搭載用の移動授受手段を独立にバッ フ ァ 室内に設ける場合には、 第 1 6図に示したように、 上側の回転導入機構 2 6 4 と下側の回転導入機構とアーム 2 6 9等を設ければ良い。 いずれ の場合もバッ フ ァ室内と搬送室内との雰囲気を簡単な構造で完全に遮断 出来るという特徴を有している。
第 1 7図は本発明の回転駆動手段の別の一実施例の拡大概略断面図で ある。 第 4図や第 1 1 図、 第 1 5図に示したような移動授受手段のァー 厶 2 7 2 に固定した回転軸 2 7 3力く、 パ'ッ フ ァ室 2 7 1 の壁の貫通孔に 設けた案内支持手段で支持され搬送室内側に設けられた磁気結合機構 2
7 4 につながっている。 磁気結合機構 2 7 4は薄板状の円筒壁を介 して、 搬送室内側の歯車 2 7 5 と磁気力で拘束され、 しかも、 バッ ファ室内と 搬送室内との雰囲気を遮断している。 回転力は駆動源軸に設けた歯車 2
7 7から歯車 2 7 5経由で磁気結合機構 2 7 4へと伝達され、 アーム 2 7 2を回転させる。 本例も第 1 6図の実施例と同様に、 上側の磁気結合 機構 2 7 と下側の磁気結合機構 2 7 8 とアーム 2 7 9等を設けた。 パ ッ フ ァ室内と搬送室内との雰囲気を簡単な栊造で完全に遮断出来るとい う特徴を有している。
なお、 微小な間隙によるコ ンダクタ ンス低減効果を利用して、 バッ フ ァ室内から搬送室内側へ軸を貫通させ、 バッ クラ ッ シ無しに駆動源に接 続する ¾造とすることにより、 より強靱な剛性で駆動力を伝速する機 を構成することも可能であり、 長いアームを駆動する場合に有効な特徴 の回転駆動手段となる。
第 6図で示した移動授受手段は、 二つのフォークを設け 2個のフォー クに距離 rだけ偏らせて基板を載せることにより、 処理室内の試料台手 段が上下方向のみに可動するという簡素な試料台手段に対応出来るとい う特徴があつた。
第 1 8図は本発明の試料台手段の一実施例の断面概略図である。
第 1 8図 a〜第 1 8図 eのそれぞれの左側には、 移動授受手段の移動方 向を処理室側から見た試料台手段の断面図を示し、 右側には移動授受手 段を移動方向の横側から見た試料台手段の断面図を示した。
処理室での所定の処理を終えた処理済み基板 3 0 2を、 先端が L字状 の構造の退避用サセプタ 3 0 3で支えて試料台 3 0 1 の直上に持ち上げ、 この処理済み基板 3 0 2に接触しないように、 未処理基板 3 0 6を載せ た移動授受手段 3 0 5を挿入する (第 1 8図 a ) 。
試料台の直上で停止した未処理基板 3 0 6を受け取るため、 先端が L 字状の構造の授受用サセプタ 3 0 4を上昇させる (第 1 8図 b ) 。
移動授受手段からオーバーハングした未処理基板 3 0 6の裏面周辺の 一部を用いて授受用サセプタ 3 0 4で移動授受手段 3 0 5から未処理基 板 3 0 6を離脱させ、 移動授受手段 3 0 5を矢印方向に、 基板の直径よ り大きい距離だけバッ フ ァ室に引き戻す。 その後、 未処理基板 3 0 6を 戯せた授受用サセプタ 3 0 4を降下させて、 未処理基板 3 0 6を試料台 3 0 1 上に設置する (第 1 8図 c ) 。
再度、 移動授受手段 3 0 5を処理室内に挿入して、 処理済み基板 3 0 2を載せた退避用サセプタ 3 0 3を降下させて、 処理済み ¾板を 3 0 2 を移動授受手段 3 0 5に設置する (第 1 8図 d ) 。
処理済み基板 3 0 2を載せた移動授受手段 3 0 5を矢印方向のように バッ フ ァ室に引き戻す。 退避用サセプタ 3 0 3をさ らに降下させ、 試料 台上の未処理基板 3 0 6に接触しないよう に矢印 3 0 8方向に開いて、 試料台の退避溝 3 0 7に収納する (第 1 8図 e ) 。
なお、 退避用サセプタ 3 0 3の基板に接触しないよう に試料台に退避 させる機構と しては、 上述の機構以外に、 基板に垂直な軸周りの方向に 回転回避する機構や L字構造のサセプ夕の先端を引き込めたり、 折れ曲 がる構造の回避機構にするこ と も考えられる。 いずれの場合にも、 移動 授受手段上の基板を載せる部分が一力所とシンプルな構造と出来る特徴 がある。
本発明の半導体装置の実施例を、 文献 月刊 S e m i c o n d u c t o r W o r l d 増刊号 「' 9 4最新半導体プロセス技術」 の 2 3 頁〜 3 1頁に記載されている D R AM ( D y n a m i c R a n d o m
A c c e s s M e m o r y ) のスタ ッ ク型 D R A Mの工程を参考に して述べる。
第 1 9図は本発明の半導体装置の製造方法の一実施例の断面概略図で ある。
通常、 基板段差形成、 ゥ ヱル形成、 アイ ソ レーシ ョ ン、 ト ラ ンジスタ形 成、 ビッ ト線形成、 キャパシタ形成、 配線形成を反復する こ とによ り 、 D R A M等の半導体装置の機能が形成される。 これらのプロセスは リ ソ グラ フ ィ 処理、 エッ チング処理、 熱処理 (酸化、 ァニール、 拡散) 、 ィ ォ ン注入処理、 薄膜形成処理 ( C V D、 スパッ タ リ ング、 蒸着) 、 洗浄 処理 ( レジス 卜除去、 溶液による洗浄) 、 検査処理等を適宜組み合わせ て構成される。
第 1 9図は、 D R A Mの製造プロセスのう ち、 ビッ ト線形成とキャパ シタ形成の一例を示したものである。 特に、 素子構造が変化する工程で の断面構造の概略図を示した。 各図の左側にメ モ リ セル部の断而^造を 示 し、 右側に周辺 C M 0 S部の断而 造を示した。 製造プロセスは笫 1 9図 aから第 1 9図 gへと進行する。 主な処理内容は次の 2 5処理である。
すなわち、 第 1処理 : S i 02堆積、 第 2処理 ; リ ソグラフィ 、 第 3処 理 : エッチング (第 1 9図 a ) 、 第 4処理 : S i 02堆積、 第 5処理 ; ポ リ サイ ド堆積、 第 6処理 ; リ ソグラフィ (ビッ ト線) 、 第 7処理 ; ェ ツチング (第 1 9図 b ) 、 第 8処理 : S i 02堆積、 第 9処理 ; S i 3N 4堆積、 第 1 0処理 ; S i 02堆積 (第 1 9図 c ) 、 第 1 1処理 : リ ソグ ラフィ 、 第 1 2処理 ; エッチング、 第 1 3処理 : p o 】 y— S i (蓄積 電極) 形成、 第 1 4処理 : S i 02堆積、 第 1 5処理 ; エッチング (第 1 9図 d ) 、 第 1 6処理 : p o 1 y - S i (蓄積電極) 形成 (第 1 9図 e ) 、 第 1 7処理 ; S i 02堆積、 第 1 8処理 : エッ チング、 第 1 9処 理 : p o 1 y - S i エッチング、 第 2 0処理 ; S i 02エッチング、 第 2 1処理 ; S i 3N4エッチ ング、 第 2 2処理 : T a 205形成 (キャパシ タ絶緣膜) 、 第 2 3処理 : W ( p 0 1 y - S i ) 形成 (第 1 9図 g ) 、 第 2 4処理 : リ ソグラフ ィ (プレー ト) 、 第 2 5処理 ; エッ チ ングの各 処理からなる。
また、 第 1 9図に示した各構成材料は次の通りである。 すなわち、 基 板 6 0 1 、 レジス ト 6 0 2、 S i 02 (ノ、。ッ シベーシ ヨ ン膜) 6 0 3、 S i 3N46 0 4、 n +層 6 0 5、 p +層 6 0 6、 p o l y — S i (ポ リ サイ ド) 6 0 7、 S i O26 0 8、 S i 3N46 0 9、 S i O26 1 0、 p o l y — S i 6 1 1 、 S i 026 1 2、 p o l y - S i 6 1 3、 6 1 . T a 2 O 56 1 5、 W ( p o 1 y - S i ) 6 1 6である。
S i 02堆租、 p o 1 y - S i 堆積、 S i 3N4堆積、 p o 1 y - S i エッ チ ング、 S i 02エッチング、 S i 3 N 4エッチ ング、 T a 205形成、 W形成等の各処理を適宜配置した本発明の半導体製造装置を用いる こ と によ り、 上記 2 5処理のう ち、 第 3処理〜第 5処理、 第 7処理〜第 1 0 処理、 第 1 3処理〜第 2 3処理は、 大気下に基板を暴露する こ とな く 迚 続的に行う こ とが可能となる。 その結果、 界而制御、 すなわち、 自然 ¾ 化膜や汚染を除去し、 清浄な表面へのそれぞれの処理を再現性良く、 し かも高いスループッ 卜で実現できるので、 高性能で高信頼性を特徴とす る半導体装置を容易に提供可能となった。
第 2 2図は本発明の高温処理特性の一実施例図である。
自然酸化膜の影键を除去して、 耐酸化性に優れた極薄のシリ コン窒化膜 を形成する工程の一例である。
温度 9 5 0 °Cで雰囲気圧力 5 0 t o r rでの H2処理 8 0 1 、 9 0 0 °Cで 1 0 t 0 r rでの熱窒化処理 8 0 2、 8 5 0 °Cで 1. 5 t o r rで の S i H4、 N H3処理 8 0 3、 7 2 0。Cで 5 t o r rでの S i H4処理 8 0 4、 8 3 0 °Cで 2 t o r rでの S i H4処理 8 0 5をそれぞれ独立 した処理室に設定し、 各処理室へは基板搬入出 8 1 1 、 8 1 2、 8 1 3、 8 1 4、 8 1 5、 8 1 6を行う ことにより、 計 5分 3 0秒で本工程を終 える。
第 2 3図は従来技術の高温処理特性の一例図である。 第 2 2図に示し たのと同じ処理を行う ものと した結果である。 温度 9 5 0てで雰囲気圧 力 5 0 t o r rでの H2処理 9 0 1、 9 0 0 °Cで 1 0 t o r rでの熱窒 化処理 9 0 2、 8 5 0てで 1. 5 t O Γ Γでの S i H4、 N H3処理 9 0 3、 7 2 0 °Cで 5 t o r rでの S i H4処理 9 0 4、 8 3 0 で 2 【 0 r rでの S i H4処理 9 0 5を二つの処理室で行った。 処理 9 0 1 、 9 0 2、 9 0 3は同一処理室で行い、 処理 9 0 4、 9 0 5は別の処理室で 行った。 同一処理室内では温度とガス等の雰囲気条件設定 9 1 2、 9 1 3、 9 1 6の反復を必要と し、 さ らに、 異なる処理室への搬入出に際し ては、 雰囲気条件設定 9 1 1 、 9 1 4、 9 1 5、 9 1 7に長時間を要し ている。 その結果、 この工程を終えるのに計 8分 2 0秒を必要と した。
第 2 2図と第 2 3図の高溫処理を行う工程の特性例の比較から、 温度 やガス雰囲気条件の異なる処理を連続して行う上で、 本発明を用いるこ とによつて作業時間を短縮する点で効果が著しい特徴があることが明ら かになつた。
上述してきた以外の製造プロセスへの応用例と して、 自然酸化膜、 水 分や汚染を除去して洗浄な表面への各処理である界面制御を必要と し、 表面の化学的違いを利用する選択 W成膜、 低抵抗コ ンタ ク 卜の A 1 やじ uの成膜に、 本発明を適用 した結果、 高性能で高信頼性を特徴とする半 導体装置を高いスループッ トで提供可能となる特徴が明らかになった。 さ らには、 p o 1 y - S i 、 酸化膜、 A 1 、 W、 ト レ ンチ、 多層 レジス ト、 レジス トアツ シング等の処理室を備えた本発明の半導体製造装置で、 ポ リサイ ドのェッチングと酸化膜ェッチングを連続的に行う こ とが容易 にな り、 莨性能で高信頼性なフラ ッ シュメ モ リ を高歩留ま りで生産可能 となる特徴が明らかになつた。
本発明は半導体装置の製造に限定される ものでな く 、 薄膜 ト ラ ンジス 夕( T F T : T h i n F i l m T r a n s i s t o r ) を用いた液 晶パネルの生産ライ ンに適用する こ と も出来る。
ロー ドロ ッ ク室よ り挿入された液晶基板を、 それぞれ所定の加熱温度 (〜 4 0 0 °C ) で所定ガスを供給や放電条件を制御した雰囲気に設定制 御維持したプラズマ C V D処理室内に搬入出させ、 プラズマク リ ーニン グ、 シ リ コ ンナイ ト ライ ド、 アモルフ ァスシ リ コ ン、 ドープ ドアモルフ ァスシ リ コ ン、 二酸化シ リ コ ン、 ォキシナイ ト ライ ド等の成膜処理を行 う処理室を備えた本発明の半導体製造装置を用いる こ とによ り、 自然酸 化膜、 水分や汚染を除去した環境下での生産が容易とな り 、 高性能で高 信頼性な液晶パネル高スループッ 卜で行う こ とが可能となる特徴が明ら かになつた。
本発明では、 種々の処理室の雰囲気を維持制御 したまま基板を搬入出 して、 処理を行う力 処理中あるいは処理後の基板の計则校查のデータ を中央制御システムに転送して、 基板や処理室の履歴管理や記錄を行う こ とができるので、 各処理室や製造装置の自己診断を行い適切な指示を 出力する システムを構築するこ とができ、 本発明の半導体製造装置や製 造方法を実施する上で、 装置信頼性を格段に向上できるという特徴もあ る。
本発明は、 バッ ファ室内の雰囲気条件を処理室の雰囲気条件と同じに して、 基板を搬送できるため、 基板表面の原子眉 レベルでの処理を行い、 基板表面からの分子や原子の脱離させるこ とな く処理室から次の処理室 へ基板を搬送する こ とが可能である。 従来の装置では、 基板の搬送に際 して、 必ず、 真空排気を必要と していたため、 極微量の原子等が基板表 面から脱離して しまい、 新しい機能の素子を安定に製造する こ とが困難 であつた。 このような従来技術での課題が本発明で初めて解決できる と 言う特徴もある。
なお、 バッ フ ァ室内に搬送室内とは異なる雰囲気ガスを封じて、 搬送 室内で回転移動させる場合には、 図 5 に示したシール機構の非接触間隙 を数 m以下と小さ く 設計し、 コ ンダクタ ンスを小さ く してバッ フ ァ室 内の雰囲気圧を搬送室内よ り数十 t o r r 程度以上大き く 保った り 、 バ ッ フ ァ室の開口側に簡単な遮蔽弁を設けたりする こ とが、 本発明では容 易に行える こ とは明らかである。
また、 塩素系や臭素系のガスを用いるエッ チ ング処理の場合には、 基 板表面にい処理ガスが吸着しやすいので、 単に次の処理室に基板を搬入 する と、 基板に吸着していたガス成分による ク ロスコ ンク ミ が生 じる。 このよ う なク ロスコ ンタ ミ 現象を防ぐため、 バッ フ ァ室内の圧力を超高 真空に して吸着ガスを離脱促進させたり、 中和ガスをバッ フ ァ室内に送 気して不要なガス成分を不活性化した り、 バッ フ ァ室内でガス洗'净除去 したり、 パ'ッ フ ァ室内でプラズマアツ シ ング除去する こ と も本発明では 容易に行える特徴がある。 このように、 ク ロスコ ンタ ミ 除去作業を搬送 中のバッ フ ァ室内で短時 で行う こ とが可能と言う点も本発明の大きな 特徴である。 これらの利点は、 雰囲気を独立に制御できる小容積の可動なバッファ 室を有している本発明の特徴に基づく ものである。
温度差の大きな雰囲気下で処理を行うことにより、 緻密で高性能な薄 膜形成等を反復する工程の場合、 従来技術では、 試料台の熱容量の大き さや処理室の排気や圧力設定等のため、 短時間での急激な温度変化を基 板に付与する処理は不可能であった。 しかし、 本発明では、 処理室の温 度や雰囲気を保ったままで、 基板をバッファ室に取り出し、 別の温度と 雰囲気に設定された処理室へ短時間で搬送し、 処理が行えるため、 より 高精度で界面を制御して、 高性能な半導体機能を基板に再現性良く容易 に施すことが初めて可能となった。
本発明は、 金属や樹脂等界面を制御して、 ゆらぎを押さえ、 所望の材 料特性勾配を有する新規なェンジニァリ ング材料を特殊環境下で製造す るためのマルチ処理装置と しての応用も可能であることは容易にわかる。 以上述べたように、 本発明は、 複数の処理室の雰囲気条件を一定に維 持したままで基板を搬入出できる。
また、 移動可能なバッファ室に基板を包含し雰囲気を対向する処理室 に揃えて基板を搬入出する。
さ らに、 複数の処理室が基板搬送用のバッファ室を共用して基板を搬 入出する。 これらの特徴の結果、 基板表面の界面を原子レベルで制御す ることが短時間に容易かつ高精度に行え、 その結朵、 高性能な半^体装 置を高スループッ 卜で生産可能となった。

Claims

請 求 の 範 囲
1 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室から処理室に基 板を搬送する方法において、 該処理室内の雰囲気条件を保持したまま基 板を搬入出し、 該基板に所定の処理を施す工程を少なく とも有すること を特徴とする半導体装置の製造方法。
2 - 請求の範囲第 1項に記載の半導体装置の製造方法において、 基板搬 入時の雰囲気条件で処理を開始する工程と、 処理の進行に伴って該雰囲 気条件を異なる複数の条件に変化制御して処理を行う工程とを含むこと を特徴とする半導体装置の製造方法。
3 . 請求の範囲第 2項に記載の半導体装置の製造方法において、 雰囲気 条件として雰囲気圧力を異なる条件に変化制御して処理を行う工程とを 含むことを特徴とする半導体装置の製造方法。
4 . 請求の範囲第 2項に記載の半導体装置の製造方法において、 雰囲気 条件として基板温度を異なる条件に変化制御して処理を行う工程とを含 むことを特徴とする半導体装置の製造方法。
5 . 請求の範囲第 2項に記載の半導体装置の製造方法において、 雰囲気 条件と して雰囲気ガス成分を異なる条件に変化制御して処理を行う工程 とを含むことを特徴とする半導体装置の製造方法。
6 . 請求の範囲第 2項に記載の半導体装置の製造方法において、 雰囲気 条件と してビームエネルギーを照射し制御して処理を行う工程とを含む ことを特徴とする半導体装置の製造方法。
7 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 可動す るバッファ室の雰囲気条件を対向する処理室の雰囲気条件に合わせるェ 程を含むことを特徴とする半導体装置の製造方法。
8 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 可励す るバッファ室の雰 GH気条件を対向する処理室の雰 [ffl気条件に合わせるェ 程を含むことを特徴とする半導体装置の製造方法。
9 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 バッフ ァ室の雰囲気条件を移動し対向する処理室の雰囲気条件に合わせる工程 を含むことを特徴とする半導体装置の製造方法。
1 0 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 処理 室の内容積より小さな内容積のバッファ室の雰囲気条件を対向する処理 室の雰囲気条件に合わせる工程を含むことを特徴とする半導体装置の製 造方法。
1 1 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 基板 を搬送可能な搬送授受手段を有するバッファ室の雰囲気条件を対向する 処理室の雰囲気条件に合わせる工程を含むことを特徴とする半導体装置 の製造方法。
1 2 . 請求の範囲第 1 1項に記載の半導体装置の製造方法において、 少 なく とも 2枚の基板を搬送可能な搬送授受手段を有するバッファ室の雰 囲気条件を対向する処理室の雰囲気条件に合わせる工程を含むことを特 徴とする半導体装置の製造方法。
1 3 . 請求の範囲第 1 項に記載の半導体装置の製造方法において、 処理 室内の基板を一時退避させて、 バッファ室内の基板を処理室内に設置す る工程を含むことを特徴とする半導体装置の製造方法。
1 4 . 請求の範囲第 1項に記截の半導体装置の製造方法において、 次に 対向する処理室内の雰囲気条件と同一の雰囲気条件の可動な複数のバッ ファ室に基板を封じて搬送する工程を含むことを特徴とする半導体装 ffi の製造方法。
1 5 . 請求の範囲第 1 項に記載の半導体装置の製造方法において、 次に 対向する処理室内の雰囲気条件と同一の雰囲気条件を形成可能な祓数の バッ ファ室で搬送する工程を含むことを特徴とする半導体装置の製造方 法。
1 6 . 請求の範囲第 1 5項に記載の半導体装置の製造方法において、 加 熱雰囲気を形成可能な複数のバッファ室で搬送する工程を含むことを特 徴とする半導体装置の製造方法。
1 7 . 請求の範囲第 1 5項に記載の半導体装置の製造方法において、 冷 却雰囲気を形成可能な複数のバッファ室で搬送する工程を含むことを特 徴とする半導体装置の製造方法。
1 8 . 請求の範囲第 1 5項に記載の半導体装置の製造方法において、 洗 浄雰囲気を形成可能な複数のバッファ室で搬送する工程を含むことを特 徴とする半導体装置の製造方法。
1 9 . 請求の範囲第 1 5項に記載の半導体装置の製造方法において、 灰 化雰囲気を形成可能な複数のバッファ室で搬送する工程を含むことを特 徴とする半導体装置の製造方法。
2 0 . 請求の範囲第 1 5項に記載の半導体装置の製造方法において、 脱 ガス雰囲気を形成可能な複数のバッファ室で搬送する工程を含むことを 特徴とする半導体装置の製造方法。
2 1 . 請求の範囲第 1 5項に記載の半導体装置の製造方法において、 脱 ガス抑止雰囲気を形成可能な複数のバッファ室で搬送する工程を含むこ とを特徴とする半導体装置の製造方法。
2 2 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 基板 を垂直に搬送可能な搬送授受手段を有するバッファ室の雰囲気条件を対 向する処理室の雰囲気条件に合わせる工程を含むことを特徴とする半 体装置の製造方法。
2 3 . 請求の範囲第 1項に記載の半導体装置の製造方法において、 基板 を反転して搬送可能な搬送授受手段を有するバッファ室の雰囲気条件を 対向する処理室の雰 ϋ気条件に合わせる工程を含むことを特徴とする半 導体装置の製造方法。
2 4 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室から処理室に 基板を搬送する方法において、 該基板を包含して可動式のバッ フ ァ室内 の雰囲気条件を該処理室内の雰囲気条件と合わせて基板を搬入出し、 該 基板に所定の処理を施す工程を少なく とも有することを特徴とする半導 体装置の製造方法。
2 5 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室から複数の処 理室に基板を搬送する方法において、 該複数の処理室が共用可能なバッ ファ室を用いて、 基板を該処理室へ搬入出し、 該基板に所定の処理を施 す工程を少なく とも有することを特徵とする半導体装置の製造方法。
2 6 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室から処理室に 基板を搬送する方法において、 処理室の開口部に対向して、 搬送室内で 基板と該基板の搬送授受手段とを搬送室内の雰囲気に対して気密に封じ たバッ フ ァ空間を形成する工程と、
該バッファ空間に対向した該処理室内と同種または同質の雰囲気に該 バッ フ ァ空間內を設定する袷排気工程と、
その後に、 処理室の遮蔽手段を開け、 該基板を該処理室内に搬入して 授受する工程と、
その後に、 該バッファ空間に該搬送授受手段を引き戻し、 該処理室を 遮蔽する工程と、
その後に、 該バッファ空間內を該搬送室内と同種または同質の雰囲気 に該バッ フ ァ空間内を設定する給排気工程と、
その後に、 別の処理室の開口部に対向して、 搬送室内で基板と該基板 の搬送授受手段とを搬送室内の雰囲気に対して気密に封じたバッフ ァ空 間を形成する工程とを反復することにより、
各処理室の雰囲気と搬送室の雰囲気とを互いに独立に維持した状態で 基板を顺次処理室から別の処理室へと搬送授受することを特徴とする半 導体装置の製造方法。
2 7 . 誚求の範囲第 2 6項に記戦の半導体装置の製造方法において、 ¾ 板を包含して搬入出可能なバッ フ ァ室内を不活性ガス等の供給制御によ り、 洗浄操作する工程と該基板に所定の処理を施す工程とを少な く と も 有するこ とを特徴とする半導体装置の製造方法。
2 8 . 請求の範囲第 2 6項に記載の半導体装置の製造方法において、 バ ッ フ ァ室内の雰囲気条件を処理室の雰囲気条件と同 じに して、 基板表面 からの分子や原子の脱雜を防止して処理室から次の処理室へ基板を搬送 する工程を少な く と も有するこ とを特徴とする半導体装置の製造方法。
2 9 . 請求の範囲第 2 6項に記載の半導体装置の製造方法において、 バ ッ フ ァ室内を超高真空にして基板上の吸着ガスを離脱させる ク ロス コ ン 夕 ミ 除去作業を搬送中のバッ フ ァ室内で行う工程を少な く と も有する こ とを特徴とする半導体装置の製造方法。
3 0 . 請求の範囲第 2 6項に記載の半導体装置の製造方法において、 中 和ガスをバッ フ ァ室内に送気して基板上の不要なガス成分を不活性化し, 洗浄除去する ク ロス コ ンタ ミ 除去作業を搬送中のバッ フ ァ室内で行うェ 程を有するこ とを特徴とする半導体装置の製造方法。
3 1 . 請求の範囲第 2 6項に記載の半導体装置の製造方法において、 処 理室の温度雰囲気をバッ フ ァ室内に合わせて設定 し基板界面を制御 しな がら処理室へ基板を搬送する工程を含むこ とを特徴とする半導体装置の 製造方法。
3 2 . 互いに隣接し、 互いに異なる雰囲気条件の少な く と も一つ以上の 処理室や搬送室を有する半導体製造装置において、 該処理室内の雰囲気 条件を保持したまま基板を搬入出可能なバッ フ ァ室手段を少な く と も有 する こ とを特徴とする半導体製造装置。
3 3 . 互いに隣接し、 互いに異なる雰囲気条件の少な く と も一つ以上の 処理室や搬送室を有する半導体製造装置において、 該基板を包含して可 動式のバッ フ ァ室内の雰囲気条件を該処理室内の雰囲気条件と合わせる 手段と、 基板の搬入出手段とを少な く と も有する こ とを特徴とする半^ 体製造装置。
3 4 . 互いに隣接し、 互いに異なる雰囲気条件の少なく とも一つ以上の 処理室や搬送室を有する半導体製造装置において、 該処理室が共用可能 なバッファ室手段と基板の搬入出手段とを少なく とも有することを特徵 とする半導体製造装置。
3 5 . 互いに隣接し、 互いに異なる雰囲気条件の少なく とも一つ以上の 処理室や搬送室を有する半導体製造装置において、
基板の移動授受手段と、 基板を載せた状態での該移動授受手段を包含 するバッファ手段とを搬送室内に有し、
さらに、 該バッ フ ァ手段を任意の処理室の開口部に対向させ位置決め する駆動手段と、 該バッファ手段と該開口部とを気密に接続する気密手 段と、 該開口部を遮蔽する遮蔽手段と、
さ らに、 該バッファ手段内と該搬送室内、 各処理室内とを互いに独立 に排気する排気手段、 および、 それぞれに所望のガスを互いに独立に供 給する供給手段と、
さ らに、 該バッ フ ァ手段の駆動制御ゃ該移動授受手段の授受制御、 該 移動授受手段の移動制御、 該気密手段の開閉制御、 該遮断手段の開閉制 御、 該排気手段の排気制御、 給気手段の給気制御をそれぞれ行う制御手 段を少なく とも有することを特徴とする半導体製造装置。
3 6 . 請求の範囲第 3 5項に記載の半導体製造装置において、 処理室と して、 荷電粒子線によるパターン描画処理を行うパターン形成手段を有 することを特徴とする半導体製造装置。
3 7 . 請求の範囲第 3 5項に記載の半導体製造装置において、 処理室と して、 所望のガスの供袷排気により該処理室内を洗浄制御を行うガス洗 浄手段を有することを特徴とする半導体製造装置。
3 8 . 請求の範 EH第 3 5項に記截の半導体製造装 Siにおいて、 処理室と して、 所望のガスを励起し試料面にパターンを形成する励起手段を有す ることを特徴とする半導体製造装置。
3 9 . 請求の範囲第 3 5項に記載の半導体製造装置において、 処理室と して、 所望のパター ンの自己成長処理を行う育成手段を有することを特 徴とする半導体製造装置。
4 0 . 請求の範囲第 3 5項に記載の半導体製造装置において、 処理室と して、 所望パターンの除去加工処理を行う除去加工手段を有することを 特徴とする半導体製造装置。
4 1 . 請求の範囲第 3 5項に記載の半導体製造装置において、 処理室と して、 所望パターンの堆積加工処理を行う堆積加工手段を有することを 特徴とする半導体製造装置。
4 2 . 請求の範囲第 3 5項に記載の半導体製造装置において、 バッ フ ァ 室内への活性ガス等の供給洗浄制御手段を有することを特徴とする半導 体製造装置。
4 3 . 請求の範囲第 3 5項に記載の半導体製造装置において、 基板を包 含して搬入出可能な可動式バッファ室内雰囲気を搬送室内雰囲気から隔 離遮蔽する遮蔽手段を有することを特徴とする半導体製造装置。
4 4 . 請求の範囲第 3 5項に記載の半導体製造装置において、 少なく と も 2個の基板を載せる手段を有する 1軸方向可動な移動授受手段を有す るバッ フ ァ室手段と、 該 1 軸方向と直角な方向に可動な試料台手段を有 する処理室とを有することを特徴とする半導体製造装置。
4 5 . 請求の範囲第 3 5項に記載の半導体製造装置において、 案内支持 部分から異物等のバッファ室内への侵入防止手段と案内支持空間からの 排気手段とを有することを特徴とする半導体製造装置。
4 6 . 請求の範囲第 3 5項に記載の半導体製造装 fSにおいて、 案内支持 部分へのバッ フ ァ室内雰囲気ガスの侵入防止手段を有することを特徴と する半導体製造装置。
4 7 . 請求の範囲第 3 5项に記載の半導体製造装 において、 処 1中あ るいは処理後の基板の計測検査手段、 データの中央制御システムへの転 送手段、 基板や処理室の履歴管理手段、 各処理室や製造装置の自己診断 手段を有することを特徴とする半導体製造装置。
4 8. 互いに隣接し、 互いに異なる条件の雰囲気の搬送室と種々の処理 室を経て製造される半導体装置において、
各処理室の雰囲気と搬送室の雰囲気とを互いに独立に維持した状態で 基板を順次処理室から別の処理室へと搬送授受して、 半導体装置の機能 を処理付加することを反復して、 製造されることを特徴とする半導体装 置の製造方法。
4 9. 請求の範囲第 4 8項に記載の半導体装置の製造方法において、 S i 〇2堆積、 p o 1 y - S i 堆積、 S i 3N4堆積、 o 1 y - S i エツ チング、 S i 02エッチング、 S i 3 N 4エッチング、 T a 205形成、 W 形成の少なく とも 2処理以上を、 可動式のバッファ室経由で基板に施す ことを特徴とする半導体装置の製造方法。
5 0. 請求の範囲第 4 8項に記載の半導体装置の製造方法において、 温 度 9 5 0 °Cで雰囲気圧力 5 0 t o r rでの H2処理、 9 0 0 °Cで 1 0 t o r rでの熱窒化処理、 8 5 0 °Cで 1. 5 t o r rでの S i H 4、 H 3 処理、 7 2 0てで 5 0 1" 1"での 5 1 !^4処理、 8 3 0 °(:で 2 1 0 厂 厂 での S i H4処理の少なく とも 2処理以上を、 可動式のバッファ室経由 で基板に施すことによる極薄のシ リ コン窒化膜形成工程を少なく とも含 んで製造されることを特徴とする半導体装置の製造方法。
5 1 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室と ¾1々 の処理 室を経て製造される半導体装置において、
各処理室の雰囲気と搬送室の雰囲気とを互いに独立に維持した状態で基 板を順次処理室から別の処理室へと搬送授受して、 半導体装 ifの機能を 処理付加することを反復して、 製造されることを特徴とする半導体装^ の製造方法。
5 2 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室と種々の処理 室を経て製造される半導体装置の製造方法において、 プラズマク リ ー二 ング、 シ リ コ ンナイ トライ ド、 アモルフ ァスシ リ コ ン、 ドープ ドアモル フ ァスシ リ コ ン、 二酸化シ リ コ ン、 ォキシナイ ト ライ ドの成膜処理の少 な く と も 2処理以上を、 可動式のバッ フ ァ室経由で基板に施すこ とによ る薄膜 ト ラ ンジスタ形成工程を含んで製造される こ とを特徴とする液晶 パネル。
5 3 . 互いに隣接し、 互いに異なる条件の雰囲気の搬送室と種々の処理 室を経て製造される複合材料において、 金属や樹脂等の少な く と も 2種 類以上の複合材料処理を、 可動式のバッ フ ァ室経由で材料に施すこ とに よ り材料特性勾配を付加する工程を含んで製造されるこ とを特徴とする エンジニア リ ング材料。
PCT/JP1995/000210 1995-02-15 1995-02-15 Procede et machine de fabrication de semiconducteurs WO1996025760A1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US08/894,308 US5981399A (en) 1995-02-15 1995-02-15 Method and apparatus for fabricating semiconductor devices
JP52481596A JP3644036B2 (ja) 1995-02-15 1995-02-15 半導体装置の製造方法および半導体製造装置
PCT/JP1995/000210 WO1996025760A1 (fr) 1995-02-15 1995-02-15 Procede et machine de fabrication de semiconducteurs
KR1019970705155A KR100382292B1 (ko) 1995-02-15 1995-02-15 반도체장치의제조방법및반도체제조장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP1995/000210 WO1996025760A1 (fr) 1995-02-15 1995-02-15 Procede et machine de fabrication de semiconducteurs

Publications (1)

Publication Number Publication Date
WO1996025760A1 true WO1996025760A1 (fr) 1996-08-22

Family

ID=14125639

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1995/000210 WO1996025760A1 (fr) 1995-02-15 1995-02-15 Procede et machine de fabrication de semiconducteurs

Country Status (4)

Country Link
US (1) US5981399A (ja)
JP (1) JP3644036B2 (ja)
KR (1) KR100382292B1 (ja)
WO (1) WO1996025760A1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000030155A1 (en) * 1998-11-12 2000-05-25 Tokyo Electron Limited Buffer chamber and method for integrating physical and chemical vapor deposition chambers together in a processing system
US7324855B2 (en) 2004-09-03 2008-01-29 Kabushiki Kaisha Toshiba Process-state management system, management server and control server adapted for the system, method for managing process-states, method for manufacturing a product, and computer program product for the management server
JP2008226891A (ja) * 2007-03-08 2008-09-25 Hitachi High-Technologies Corp プラズマ処理方法
JP2009099859A (ja) * 2007-10-18 2009-05-07 Fenwall Controls Of Japan Ltd 半導体処理ユニット及び半導体製造装置
US7702413B2 (en) 2003-09-08 2010-04-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing system and method for manufacturing semiconductor devices including calculating oxide film thickness using real time simulator
JP2010182919A (ja) * 2009-02-06 2010-08-19 Tokyo Electron Ltd 基板処理システム
JP2012199555A (ja) * 2002-06-12 2012-10-18 Applied Materials Inc プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
EP1071117B1 (en) * 1999-07-23 2013-10-09 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a display device, and apparatus for forming a thin film
JP2016058481A (ja) * 2014-09-08 2016-04-21 信越半導体株式会社 半導体製造装置、半導体基板の製造方法及び搬送ロボット
KR20190143759A (ko) * 2018-06-21 2019-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2022507132A (ja) * 2018-11-13 2022-01-18 アプライド マテリアルズ インコーポレイテッド 基板製造のための方法および装置
JP7304738B2 (ja) 2019-05-17 2023-07-07 株式会社Screenホールディングス 基板処理装置
JP2024006122A (ja) * 2022-06-30 2024-01-17 蘇州芯慧聯半導体科技有限公司 基板自動搬送装置
JP2024006121A (ja) * 2022-06-30 2024-01-17 蘇州芯慧聯半導体科技有限公司 真空ウエーハ搬送システム

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2942239B2 (ja) * 1997-05-23 1999-08-30 キヤノン株式会社 排気方法及び排気装置、それを用いたプラズマ処理方法及びプラズマ処理装置
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
JP2000133736A (ja) * 1998-10-26 2000-05-12 Furukawa Electric Co Ltd:The 半導体レーザ素子の気密封止方法及び気密封止装置
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6376387B2 (en) * 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
CN1175470C (zh) * 1999-11-02 2004-11-10 东京威力科创股份有限公司 多个工件的超临界处理的方法和装置
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
JP2001229512A (ja) * 2000-02-10 2001-08-24 Tdk Corp 薄膜磁気ヘッドおよびその製造方法
JP4677654B2 (ja) * 2000-04-19 2011-04-27 日本電気株式会社 透過型液晶表示装置及びその製造方法
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
KR100808870B1 (ko) * 2000-10-20 2008-03-03 주성엔지니어링(주) 반도체소자 제조용 클러스터 장비 및 이를 이용하는 박막형성방법
JP4471487B2 (ja) * 2000-11-24 2010-06-02 株式会社アルバック 真空処理装置、真空処理方法
US6415843B1 (en) 2001-01-10 2002-07-09 Anadigics, Inc. Spatula for separation of thinned wafer from mounting carrier
US6436194B1 (en) 2001-02-16 2002-08-20 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
US6518193B1 (en) * 2001-03-09 2003-02-11 Lsi Logic Corporation Substrate processing system
KR100421036B1 (ko) * 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US6847006B2 (en) * 2001-08-10 2005-01-25 Semiconductor Energy Laboratory Co., Ltd. Laser annealing apparatus and semiconductor device manufacturing method
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
JP3727277B2 (ja) * 2002-02-26 2005-12-14 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4531557B2 (ja) * 2002-05-21 2010-08-25 エーエスエム アメリカ インコーポレイテッド 半導体処理ツール内チャンバ間の相互汚染の減少
GB2396331A (en) * 2002-12-20 2004-06-23 Inca Digital Printers Ltd Curing ink
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
SG141228A1 (en) * 2003-05-19 2008-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR100560666B1 (ko) * 2003-07-07 2006-03-16 삼성전자주식회사 반도체 소자 제조용 금속막 증착 시스템 및 그 운용 방법
GB0329933D0 (en) * 2003-12-24 2004-01-28 Boc Group Plc Load lock
JP2005310990A (ja) * 2004-04-20 2005-11-04 Renesas Technology Corp 半導体装置の製造方法および半導体製造装置
US7231321B2 (en) * 2004-11-10 2007-06-12 Tokyo Electron Limited Method of resetting substrate processing apparatus, storage medium storing program for implementing the method, and substrate processing apparatus
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
JP2009295800A (ja) * 2008-06-05 2009-12-17 Komatsu Ltd Euv光発生装置における集光ミラーのクリーニング方法および装置
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
JP2013033965A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
KR101400157B1 (ko) * 2011-07-29 2014-05-30 세메스 주식회사 기판처리장치, 기판처리설비 및 기판처리방법
DE102013009484B4 (de) * 2013-06-06 2021-05-20 Asys Automatic Systems Gmbh & Co. Kg Bearbeitungsanlage polaren Aufbaus für planare Substrate, Handhabungsvorrichtung, Kupplungsanordnung und Roboter für eine Bearbeitungsanlage
CN105405788B (zh) * 2014-09-16 2021-09-17 北京北方华创微电子装备有限公司 一种反应腔室
US10103046B2 (en) 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11162174B2 (en) * 2018-09-20 2021-11-02 Taiwan Semiconductor Manufacturing Co, Ltd. Liquid delivery and vaporization apparatus and method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60184678A (ja) * 1984-03-02 1985-09-20 Canon Inc 真空処理装置
JPS6328047A (ja) * 1986-07-22 1988-02-05 Tdk Corp クリ−ン搬送方法
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPH0319252A (ja) * 1989-05-19 1991-01-28 Applied Materials Inc 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
JPH04206547A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 装置間搬送方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62147726A (ja) * 1985-12-23 1987-07-01 Hitachi Ltd 電子線装置
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2849458B2 (ja) * 1990-07-03 1999-01-20 キヤノン株式会社 半導体装置の製造方法および製造装置
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60184678A (ja) * 1984-03-02 1985-09-20 Canon Inc 真空処理装置
JPS6328047A (ja) * 1986-07-22 1988-02-05 Tdk Corp クリ−ン搬送方法
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPH0319252A (ja) * 1989-05-19 1991-01-28 Applied Materials Inc 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
JPH04206547A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 装置間搬送方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
GB2349893A (en) * 1998-11-12 2000-11-15 Tokyo Electron Ltd Buffer chamber and method for intergrating physical and chemical vapor deposition chambers together in a processing system
GB2349893B (en) * 1998-11-12 2003-07-02 Tokyo Electron Ltd Buffer chamber and method for intergrating physical and chemical vapor deposition chambers together in a processing system
WO2000030155A1 (en) * 1998-11-12 2000-05-25 Tokyo Electron Limited Buffer chamber and method for integrating physical and chemical vapor deposition chambers together in a processing system
EP1071117B1 (en) * 1999-07-23 2013-10-09 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a display device, and apparatus for forming a thin film
JP2012199555A (ja) * 2002-06-12 2012-10-18 Applied Materials Inc プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
US7702413B2 (en) 2003-09-08 2010-04-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing system and method for manufacturing semiconductor devices including calculating oxide film thickness using real time simulator
US7324855B2 (en) 2004-09-03 2008-01-29 Kabushiki Kaisha Toshiba Process-state management system, management server and control server adapted for the system, method for managing process-states, method for manufacturing a product, and computer program product for the management server
JP2008226891A (ja) * 2007-03-08 2008-09-25 Hitachi High-Technologies Corp プラズマ処理方法
JP2009099859A (ja) * 2007-10-18 2009-05-07 Fenwall Controls Of Japan Ltd 半導体処理ユニット及び半導体製造装置
JP4665037B2 (ja) * 2009-02-06 2011-04-06 東京エレクトロン株式会社 基板処理システム
JP2010182919A (ja) * 2009-02-06 2010-08-19 Tokyo Electron Ltd 基板処理システム
JP2016058481A (ja) * 2014-09-08 2016-04-21 信越半導体株式会社 半導体製造装置、半導体基板の製造方法及び搬送ロボット
KR20190143759A (ko) * 2018-06-21 2019-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2022507132A (ja) * 2018-11-13 2022-01-18 アプライド マテリアルズ インコーポレイテッド 基板製造のための方法および装置
JP7304738B2 (ja) 2019-05-17 2023-07-07 株式会社Screenホールディングス 基板処理装置
JP2024006122A (ja) * 2022-06-30 2024-01-17 蘇州芯慧聯半導体科技有限公司 基板自動搬送装置
JP2024006121A (ja) * 2022-06-30 2024-01-17 蘇州芯慧聯半導体科技有限公司 真空ウエーハ搬送システム

Also Published As

Publication number Publication date
KR19980701759A (ko) 1998-06-25
JP3644036B2 (ja) 2005-04-27
US5981399A (en) 1999-11-09
KR100382292B1 (ko) 2003-07-22

Similar Documents

Publication Publication Date Title
WO1996025760A1 (fr) Procede et machine de fabrication de semiconducteurs
KR100310249B1 (ko) 기판처리장치
KR100456105B1 (ko) 반도체 제조방법, 기판 처리방법 및 반도체 제조장치
US5217501A (en) Vertical wafer heat treatment apparatus having dual load lock chambers
TWI815898B (zh) 蝕刻方法及蝕刻裝置
JP3023982B2 (ja) 成膜方法
JP4916140B2 (ja) 真空処理システム
JP4809175B2 (ja) 半導体装置の製造方法
JPH0555148A (ja) マルチチヤンバ型枚葉処理方法およびその装置
JP2007186757A (ja) 真空処理装置及び真空処理方法
JP5805461B2 (ja) 基板処理装置および半導体装置の製造方法
TW201028804A (en) Substrate processing method
US11127597B2 (en) Etching method
KR20090117603A (ko) 반도체 제조 장치
JPH09104982A (ja) 基板処理装置
US6350321B1 (en) UHV horizontal hot wall cluster CVD/growth design
JP2021015947A (ja) RuSi膜の形成方法及び基板処理システム
JPH03218017A (ja) 縦型熱処理装置
US20030175426A1 (en) Heat treatment apparatus and method for processing substrates
JP3150620B2 (ja) 基板処理装置
JP4669257B2 (ja) 半導体製造方法、基板処理方法、及び基板処理装置
US7211514B2 (en) Heat-processing method for semiconductor process under a vacuum pressure
JPH09104983A (ja) 基板処理装置
JPH08181183A (ja) 試料の搬送装置
JPH1050802A (ja) 基板処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1019970705155

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 08894308

Country of ref document: US

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 1019970705155

Country of ref document: KR

WWR Wipo information: refused in national office

Ref document number: 1019970705155

Country of ref document: KR