WO1997001864A1 - Removal rate behavior of spin-on dielectrics with chemical mechanical polish - Google Patents

Removal rate behavior of spin-on dielectrics with chemical mechanical polish Download PDF

Info

Publication number
WO1997001864A1
WO1997001864A1 PCT/US1996/010836 US9610836W WO9701864A1 WO 1997001864 A1 WO1997001864 A1 WO 1997001864A1 US 9610836 W US9610836 W US 9610836W WO 9701864 A1 WO9701864 A1 WO 9701864A1
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric
layer
substrate
dielectric composition
dielectric layer
Prior art date
Application number
PCT/US1996/010836
Other languages
French (fr)
Inventor
Lynn Forester
Dong K. Choi
Reza Hosseini
Original Assignee
Alliedsignal Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alliedsignal Inc. filed Critical Alliedsignal Inc.
Priority to DE69634800T priority Critical patent/DE69634800T2/en
Priority to JP50451697A priority patent/JP3264936B2/en
Priority to EP96923416A priority patent/EP0836746B1/en
Publication of WO1997001864A1 publication Critical patent/WO1997001864A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Definitions

  • the present invention relates to the preparation of substrates used in the
  • microelectronic devices More particularly, the invention pertains to an
  • interconnects must be electrically insulated from each other except where
  • interconnects is the topography ofthe device surface. Not only is the
  • substrate gap filling techniques include deposit-etch-deposit cycles, and applications of Sub- Atmospheric Tetra-Ethyl Ortho Silicate (SATEOS), Atmospheric Plasma Tetra-Ethyl Ortho Silicate (APTEOS), Chemical Vapor Deposition (CVD), High Density Plasma (HDP) systems and spin-on glass (SOG) materials.
  • SATEOS Sub- Atmospheric Tetra-Ethyl Ortho Silicate
  • APTEOS Atmospheric Plasma Tetra-Ethyl Ortho Silicate
  • CVD Chemical Vapor Deposition
  • HDP High Density Plasma
  • SOG spin-on glass
  • planarization or smoothing of surfaces is essential in the fabrication of integrated circuits.
  • optical lithography techniques are used to define smaller and smaller features, the depth of focus ofthe exposure tool decreases. Therefore, it is necessary to employ planarizing films to smooth or "level" the topography of microelectronic devices in order to properly pattern the increasingly complex integrated circuits.
  • IC features produced using photolithographic techniques require regional and global dielectric planarization where the lithographic depth of focus is extremely limited
  • One method for improving the planarization of IC surfaces includes
  • CMP chemical-mechanical polishing
  • CMP can reduce more of
  • CMP achieves greater planarization than that obtained by conventional etching.
  • polish rate of SOG would be much higher than that of other gap-filling
  • the invention provides a process for forming a void-free, continuous planarized substrate surface comprising:
  • the invention also provides a process for treating a semiconductor substrate surface comprising: a) spin depositing a layer of a liquid dielectric composition onto a surface of a semiconductor substrate; b) heating said dielectric layer at a temperature and for a time sufficient to form a continuous, dry dielectric layer on the surface; and c) chemical-mechanical polishing the dielectric layer to remove at least a portion ofthe dielectric layer.
  • Fig. 1 (a) is an atomic force micrograph (AFM) image of a siloxane
  • Fig. 2 is a graph of polishing rate (A/min.) of AlliedSignal SOG
  • Fig. 3 is a graph ofthe polishing rate (A min.) of substrates coated with
  • Fig. 4 (a-d) are graphs ofthe polishing rate (A/min.) of substrates coated
  • FlareTM fluorinated poly(arylether) available from AlliedSignal Inc. using SC-112 slurry at varying platen rpm.
  • Fig. 5 is a graph ofthe polishing rate (A/min.) of silicate SOG material
  • Fig. 6 is a graphic screen of a Luxtron 2350 end point detector
  • Figures 7 (a) and (b) show wafer surfaces by scanning electron
  • SEM microscopy
  • Fig. 8 shows a substrate having a pattern of metal contacts on which is deposited a CVD layer and an SOG layer, respectively.
  • Fig. 9 shows a substrate having a pattern of metal contacts on which is
  • the process includes the steps of providing an upper surface of a semiconductor substrate with a dielectric layer. Thereafter, CMP is conducted to remove at least a portion ofthe dielectric layer in an amount sufficient to formed a planarized layer on the
  • the dielectric compositions are applied onto wafer substrates, which are to be processed into an IC or another microelectronic device.
  • Suitable planar substrates for the present invention non-exclusively
  • GaAs gallium arsenide
  • silicon and compositions containing silicon such as crystalline silicon, polysilicon, amo ⁇ hous silicon, epitaxial
  • silicon and silicon dioxide (SiO 2 ) and mixtures thereof, which may or
  • the substrates may not have a circuit pattern on their surface.
  • the substrates have a diameter in the range of from about 2 inches to about 12 inches, although the present invention would still be effective for larger or smaller substrates.
  • liquid dielectric layer preferably a spin-on glass (hereinafter SOG) such as a silicate or siloxane in a suitable solvent
  • SOG spin-on glass
  • suitable solvents non- exclusively include water and organic solvents in an amount sufficient to
  • substrates include, but are not limited to, silicates,
  • phosphosilicates such as phenylsiloxanes, methylsiloxanes,
  • silsesquioxane methylphenyl silsesquioxane
  • organic polymers such as fluorinated polymers, in particular fluorinated poly(arylethers)
  • siloxanes are amo ⁇ hous, crosslinked glass-type materials having the formula SiOx wherein x is greater than or equal to one and less than or equal to two, and have, based on the total weight ofthe siloxane materials, from about 2 % to about 90%, and preferably from about 10% to about 25% of organic groups such as alkyl
  • aromatic groups having from about 1 to about 10 carbons, aromatic groups having from about 1 to about 10 carbons, aromatic groups having from about 1 to about 10 carbons, aromatic groups having
  • the siloxane and silicate materials may also contain phosphorus in an amount from about 0% to about 10%, and preferably from about 2 % to about 4% based on the total mol percent ofthe dielectric materials.
  • Suitable siloxane materials contain about 100 parts per billion or less
  • the dielectric materials have a viscosity when applied ranging from about .8 to about 70 cP, a percent solids when applied ranging from, based on the total weight ofthe dielectric
  • the dielectric material may be applied to the substrates via conventional means
  • thickness ofthe dielectric film on the substrate may vary depending on the amount of liquid dielectric that is applied to the substrate, but
  • the thickness may range from about 500 A to about 2 microns,
  • dielectric liquid applied to the substrate may vary from about 1 ml to
  • the liquid material is spun onto the upper surface the substrate according to known spin techniques.
  • the dielectric is applied from a solution which is centrally applied to the substrate and then spun on a rotating wheel at speeds ranging between about 500 and about 6000 rpm, preferably between about 1500 and
  • the liquid dielectric composition fills valleys or crevices both in the substrate and between densely spaced metal conductors on the substrate to provide a smoothing effect which does present some planarization in the crevices, however, not enough to fiilly planarize that area.
  • the dielectric- substrate combination is optionally, but preferably heated for a time and at a temperature sufficient to evaporate any residual solvent present within the dielectric film, to further reduce the viscosity ofthe film, and to enhance leveling ofthe film on the substrate, and to increase or decrease the density, chemical resistance properties and/or physical abrasion resistance properties ofthe film, as may be determined by one skilled in the art without undue experimentation.
  • a change in the density and chemical properties ofthe dielectric affords a change in its
  • CMP removal An increase in temperature or exposure time to heat will incrementally change the chemical and mechanical properties ofthe dielectric layer thereby bringing about a change in CMP removal rate. Removal can be fixed at a higher or lower rate according to the properties ofthe dielectric layer material and the particular CMP chemical slurry and mechanical pressure conditions being applied.
  • the dielectric coated substrate is heated at a temperature of
  • the dielectric is
  • liquid dielectric material partially crosslinks and solidifies as a result of such heating.
  • the thickness ofthe resulting film ranges from about 0.2 to about 3.0 micrometers, preferably from about 0.5 to about 2.5 micrometers, and most preferably from about 0.7 to about 2.0 micrometers.
  • the films produced by this invention generally exhibit a
  • thickness standard deviation less than 2%, and preferably less than 1%, of the average film thickness.
  • the dielectric layer may optionally receive a curing cycle defined at a level and duration necessary to density and change the chemical composition of the dielectric layer.
  • a curing cycle defined at a level and duration necessary to density and change the chemical composition of the dielectric layer.
  • the dielectric layer is heated to cause an incremental mechanical hardening and chemical change in the dielectric composition
  • the dielectric layer may be exposed to electron beam radiation under conditions sufficient to cure the dielectric material prior to removal ofthe dielectric layer.
  • the dielectric coated substrate is cured by exposing the surface ofthe substrate to a flux of electrons while in the presence of a gas selected from the group consisting of oxygen, argon, nitrogen, helium and mixtures thereof, and preferably oxygen, argon, nitrogen, and mixtures thereof. Nitrogen gas is
  • the temperature at which the electron beam exposure is conducted will depend on the desired characteristics ofthe resulting film
  • pressure during electron beam curing will range between from about 10
  • mtorr to about 200 mtorr, and preferably from about 10 mtorr to about
  • the exposure will range from about 5 to about 45 minutes, and preferably from about 7 to about 15 minutes with application of an electron beam dose of about 2000 to about 50,000, preferably from about 7500 to about 10,000 microcoulombs per square
  • the accelerating voltage ofthe electron beam may range from about
  • the dielectric coated substrate may be exposed to electron beams in any chamber having a means for
  • the chamber is also equipped with a means for emitting electrons into a
  • gaseous atmosphere comprising oxygen, argon, nitrogen, helium and
  • the dielectric coated substrate is placed into a chamber which is commercially available from Electron Vision, San Diego, California,
  • the chemical-mechanical polish step is conducted by abrading the dielectric layer with an abrasive powder slurry comprised of an alkali silica, a umed silica or cerium oxide, using a polishing pad such as a foamed fluorocarbon polishing pad employing a pressure of from about 5 to about 20 lbs/in 2 , preferably from about 5 to about 10 lbs/in 2 .
  • the preferred slurry is cerium oxide having a crystallite size of from about 14 to about 100 nm, and preferably from about 14 to about 20 nm.
  • the slurry composition has a pH range of from about 2.8 to about 11, or more preferably from about 10.3 to about 11.
  • Particularly useful slurry materials nonexclusively include SCI 12, which is a fumed
  • silica available commercially from Cabot Co ⁇ oration of Aurora, Illinois and has a pH of 10.3; ILD 1300 which is a fumed silica available commercially from Rodel Co ⁇ oration of Scottsdale, Arizona and has a pH of 11.0 and SS25 which is a fumed silica available commercially from
  • Cabot Co ⁇ oration and has a pH of 10.8.
  • CMP is done with a
  • polisher such as a Avanti 372 or 472 from
  • IPEC/Westech having a platen speed of from about 10 to about 100
  • CMP may be conducted for
  • the dielectric layer is partially removed such that after such portions are removed, the remaining layer is more planar than prior to CMP.
  • the following non-limiting examples serve to illustrate the invention.
  • coated wafers are additionally spun at 3000
  • the coated wafers from step 1 are then cured in a furnace, manufactured by MRL Industries for 1 hour at 425°C and 1 atmosphere in the presence of nitrogen to produce a cured dielectric layer.
  • the wafers produced in Example 1 are then polished with an Avanti 472
  • polisher manufactured by IPEC/Westech with slurries that have pH's ranging from 10.3 pH to 11 pH. Polishing is conducted at a down
  • polishing is done at 110 °F using an IC 1000
  • Wafer thicknesses are pre-measured before CMP using a
  • Polished SOG coated wafers are then post-measured after CMP for thickness at twenty five different locations on the wafers, then the twenty
  • Fig. 1 (a) shows an
  • FIG. 2 shows a graph of polishing rate (A/min.) of SOG
  • Accuglass ® 311 range from 0 to 2000 A/min. with slurries that have
  • a thermal oxide film is grown on a bare Si wafer in a fumace to produce a thermal oxide wafer which is then thermally cured according to the procedure set forth in Example 1.
  • An additional layer ofthe SOG used in Example 1 is applied thereon according to the procedure of Example
  • the wafer is cured in a vacuum furnace, manufactured by DNS, to
  • This example shows the polish rate of thermal oxide film, thermally cured SOG and vacuum cured SOG as a function of organic content in the SOG.
  • EXAMPLE 4 Preparation of wafers using different SOG materials Example 1 is repeated, but with different dielectric SOG materials,
  • EXAMPLE 5 Preparation of wafers with different phosphorus contents Example 1 is repeated with different SOG materials having different phosphorous wt.%, which are available from AlliedSignal Inc. under the tradename Accuglass ® 203 AS, Pl 12A and Pl 14A. The coated wafers are
  • ILD- 1300 slurries Wafer thickness is pre-measured and post measured
  • Fig.5 This example shows the use of SOG materials having various phosphorus contents.
  • the CMP may be conducted through the dielectric layer until an underlying layer is reached.
  • This underlying layer is usually a substrate with metal conductors and an oxide layer. A stopping or end-point is reached when a portion ofthe desired underlying layer is reached by the
  • a layer of TEOS film having a thickness of 2kA is applied onto
  • polysilicon wafers via CVD at a temperature of about 350°C to 400°C and a pressure at 10 mtorr. The wafers are then similarly deposited with
  • the prepared wafer is polished and monitored by a Luxtron 2350 End ⁇
  • EXAMPLE 7 Endpoint Detection of PESJH4 Coated Wafers
  • Example 6 is repeated but with a different layer of CVD film, PESiH,, to produce test wafers. Then the wafers are polished and monitored by a Luxtron 2350 End-point detection system to observe constant rotational velocity ofthe wafer polishing head in order to maintain constant polishing rate.
  • EXAMPLE 8 SEM shows local and global planarization by the SOG/CMP combined process when Examples 1 and 2 are repeated on a bare unpattemed Si wafer.
  • Figures 7 (a) and (b) show the surface ofthe wafers both before and after CMP.
  • Example 1 and 2 are repeated except the slurry used for CMP is cerium oxide at a variety of weight percentages in deionized water, pH's and
  • the dielectric layer is comprised of Accuglass ® 311
  • cell refers to the cell ofthe Prometrix measurement instrument.
  • endpoint control i.e. operator control ofthe point at which CMP is ended when the polishing through the dielectric layer reaches an underlying layer.
  • the underlying layer is typically the substrate with metal conductors and an oxide layer.
  • a stopping or end-point is reached when a portion ofthe underlying layer is reached by the polish operation.
  • the use ofthe SOG layer as a stop layer in the planarization process is shown in Fig. 8 and Fig. 9, which illustrate a silicon substrate 1 having a pattem of metal contacts 2 thereon, onto which is deposited a CVD layer 3 of PETEOS or PESiH, , followed by a SOG layer 4.
  • Fig. 9 shows an additional CVD exterior layer 5 of PETEOS or PESiH,.
  • the CMP step may be conducted wherein polishing can stop in SOG layer 4, CVD layer 5 or CVD layer 3, depending on the end use.

Abstract

A method for forming a gap-filled, planarization structure of dielectric materials on a substrate topography useful for forming microelectronic devices. A dielectric material is first deposited as continuous, dry dielectric layer, preferably a SOG layer. Then the dielectric layer is partially removed by chemical-mechanical polishing (CMP). The chemical and mechanical properties of the structure can be chosen by varying the composition of the SOG layer and the subsequent CMP conditions.

Description

REMOVAL RATE BEHAVIOR OF SPIN-ON DIELECTRICS WITH
5 CHEMICAL MECHANICAL POLISH
CROSS REFERENCE TO RELATED APPLICAΗON
This appUcation claims the benefit of U.S. provisional application serial
number 60/000,515, filed June 26, 1995 (pending) which is incorporated
l o herein by reference.
BACKGROUND OF THE INVENTION FTFT D OF THE INVENTION
The present invention relates to the preparation of substrates used in the
15 manufacture of integrated circuits ("IC"), multichip modules, printed circuit boards, high-speed logic devices, flat panel displays, and other
microelectronic devices. More particularly, the invention pertains to an
improved technique for filling surface voids, leveling spaces between metal contacts and planarizing dielectric layers of substrates suitable for
20 use in the manufacture ofthe above submicron size devices. This is done using spin-on glass materials together with a chemical mechanical
polishing process. DESCRIPTION OF THE PRIOR ART
A continuing trend in semiconductor technology is the formation of integrated circuit chips having more and faster circuits thereon. Such
ultralarge scale integration has resulted in a continued shrinkage of
feature sizes with the result that a large number of devices are available on a single chip. With a limited chip surface area, the interconnect density
typically expands above the substrate in a multi-level arrangement and the
devices have to be interconnected across these multiple levels. The
interconnects must be electrically insulated from each other except where
designed to make contact. Usually electrical insulation requires
depositing or spinning-on dielectric films onto a surface. See, i.e., "Spin/Bake Cure Procedure for Spin-On-Glass Materials for Interlevel and Intermetal Dielectric Planarization" brochure by AlliedSignal Inc. (1994)(thermally cured spun-on films).
A key processing difficulty associated with the formation of local
interconnects is the topography ofthe device surface. Not only is the
substrate surface itself quite non-planar, but device forming processes
additionally create topographical irregularities such as gaps thereon. Loss
of planarity can cause many problems which can adversely impact
manufacturing yield including failure to open vias due to interlevel dielectric thickness disparity, poor adhesion to underlying materials, step
coverage, as well as depth-of-focus problems. Thus the ability to fill narrow gaps in IC substrates is critical for forming sub-micron size
elements thereon. Various substrate gap filling techniques known in the art include deposit-etch-deposit cycles, and applications of Sub- Atmospheric Tetra-Ethyl Ortho Silicate (SATEOS), Atmospheric Plasma Tetra-Ethyl Ortho Silicate (APTEOS), Chemical Vapor Deposition (CVD), High Density Plasma (HDP) systems and spin-on glass (SOG) materials. The technique employing the application of SOG materials is more economic than the other above equipment-intense techniques.
Other critical concerns in IC substrate processing include regional and global dielectric planarization. The planarization or smoothing of surfaces is essential in the fabrication of integrated circuits. As optical lithography techniques are used to define smaller and smaller features, the depth of focus ofthe exposure tool decreases. Therefore, it is necessary to employ planarizing films to smooth or "level" the topography of microelectronic devices in order to properly pattern the increasingly complex integrated circuits. IC features produced using photolithographic techniques require regional and global dielectric planarization where the lithographic depth of focus is extremely limited,
i.e., at 0.35 μm and below. Without sufficient regional and global planarization, the lack of depth of focus will manifest itself as a limited lithographic processing window. One method for improving the planarization of IC surfaces includes
chemical-mechanical polishing ("CMP"). Thus CMP has a unique
advantage in that it can rapidly remove elevated topographical features
without significantly thinning flat areas. CMP can reduce more of
applied oxide coating thicknesses in raised areas than in recessed areas since the raised areas have a greater surface contact with the polishing
pad and thus can be abraded to a greater extent than recessed areas. By
applying mechanical as well as chemical abrasion to the upper surfaces,
CMP achieves greater planarization than that obtained by conventional etching.
While planarization can be achieved with CMP, its use does not eliminate the need for gap-filling. It has been heretofore believed that the use of
SOG and CMP techniques were mutually exclusive processes. This is
because ofthe common belief that SOG layers are porous and hence the
polish rate of SOG would be much higher than that of other gap-filling
processes.
It would be desirable to provide an improved process for forming
continuously uniform microelectronic substrates whereby the substrate
would be essentially void-free, the metal contacts would be effectively
insulated, and the layers would be effectively planarized. SUMMARY OF THE INVENTION The invention provides a process for forming a void-free, continuous planarized substrate surface comprising:
(a) applying a dielectric composition to a surface of a substrate in an amount sufficient to uniformly coat and fill voids on the surface; and
(b) performing a chemical mechanical polishing step to said dielectric composition until said dielectric composition on said substrate surface is substantially planarized.
The invention also provides a process for treating a semiconductor substrate surface comprising: a) spin depositing a layer of a liquid dielectric composition onto a surface of a semiconductor substrate; b) heating said dielectric layer at a temperature and for a time sufficient to form a continuous, dry dielectric layer on the surface; and c) chemical-mechanical polishing the dielectric layer to remove at least a portion ofthe dielectric layer.
BRIEF DESCRIPTION THE DRAWINGS OF Fig. 1 (a) is an atomic force micrograph (AFM) image of a siloxane
available from AlliedSignal under the tradename Accuglass® 311 after
thermal cure for 60 min. at 425°C under nitrogen atmosphere, and (b) is the AFM image ofthe SOG Accuglass® 311 siloxane after being CMP
processed with SC-112 brand fumed silica slurry.
Fig. 2 is a graph of polishing rate (A/min.) of AlliedSignal SOG
Accuglass® 311 siloxane using slurries of varying pH.
Fig. 3 is a graph ofthe polishing rate (A min.) of substrates coated with
thermal oxide, thermally cured SOG siloxane and vacuum cured SOG
siloxane using SC-112 slurry at various organic ratios.
Fig. 4 (a-d) are graphs ofthe polishing rate (A/min.) of substrates coated
with thermal oxide, PETEOS, PESiHj, Accuglass® 311 siloxane and
Flare™ fluorinated poly(arylether) available from AlliedSignal Inc. using SC-112 slurry at varying platen rpm.
Fig. 5 is a graph ofthe polishing rate (A/min.) of silicate SOG material
with varying phosphorus content rates using various different slurries.
Fig. 6 is a graphic screen of a Luxtron 2350 end point detector
monitoring variations of motor current to detect the stop point. Figures 7 (a) and (b) show wafer surfaces by scanning electron
microscopy (SEM) micrograph to present the surface condition of wafers before and after CMP.
Fig. 8 shows a substrate having a pattern of metal contacts on which is deposited a CVD layer and an SOG layer, respectively.
Fig. 9 shows a substrate having a pattern of metal contacts on which is
deposited a CVD layer , an SOG layer an additional CVD layer,
respectively.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
In its broadest embodiment, the process includes the steps of providing an upper surface of a semiconductor substrate with a dielectric layer. Thereafter, CMP is conducted to remove at least a portion ofthe dielectric layer in an amount sufficient to formed a planarized layer on the
substrate.
Typically the dielectric compositions are applied onto wafer substrates, which are to be processed into an IC or another microelectronic device.
Suitable planar substrates for the present invention non-exclusively
include gallium arsenide (GaAs), silicon and compositions containing silicon such as crystalline silicon, polysilicon, amoφhous silicon, epitaxial
silicon, and silicon dioxide (SiO2) and mixtures thereof, which may or
may not have a circuit pattern on their surface. Typically, the substrates have a diameter in the range of from about 2 inches to about 12 inches, although the present invention would still be effective for larger or smaller substrates.
One applies a liquid dielectric layer, preferably a spin-on glass (hereinafter SOG) such as a silicate or siloxane in a suitable solvent, to the substrate surface under ambient conditions. Suitable solvents non- exclusively include water and organic solvents in an amount sufficient to
form a uniform solution or dispersion ofthe dielectric material.
A wide variety of commercially available dielectric materials may be used for this puφose. Illustrative of such suitable dielectric materials which
may be spun-on to substrates include, but are not limited to, silicates,
phosphosilicates, siloxanes such as phenylsiloxanes, methylsiloxanes,
methylphenylsiloxanes, phosphosiloxanes, silsesquioxane, methyl
silsesquioxane, methylphenyl silsesquioxane, and organic polymers such as fluorinated polymers, in particular fluorinated poly(arylethers)
available under the tradename Flare™ from AlliedSignal Inc., and copolymers mixtures thereof. Organic dielectrics, i.e. those containing carbon atoms are preferred and siloxanes and fluorinated poly(arylethers) are more preferred. Preferred siloxanes are amoφhous, crosslinked glass-type materials having the formula SiOx wherein x is greater than or equal to one and less than or equal to two, and have, based on the total weight ofthe siloxane materials, from about 2 % to about 90%, and preferably from about 10% to about 25% of organic groups such as alkyl
groups having from about 1 to about 10 carbons, aromatic groups having
from about 4 to about 10 carbons, aliphatic groups having from about 4
to about 10 carbons, and mixtures thereof. Optionally, the siloxane and silicate materials may also contain phosphorus in an amount from about 0% to about 10%, and preferably from about 2 % to about 4% based on the total mol percent ofthe dielectric materials. Preferred siloxane
materials suitable for use in this invention are commercially available
from AlliedSignal Inc. under the tradename Accuglass®.
Suitable siloxane materials contain about 100 parts per billion or less,
preferably 50 parts per billion or less, and more preferably 10 parts per billion or less of trace element impurities such as sodium, potassium, chlorine, nickel, magnesium, chromium, copper, manganese, iron, calcium, and the like, and preferably have a molecular weight of from about 1,000 to about 50,000, and more preferably from about 300 to
about 1,000 molecular weight units.
In the preferred embodiment, the dielectric materials have a viscosity when applied ranging from about .8 to about 70 cP, a percent solids when applied ranging from, based on the total weight ofthe dielectric
material, solvent and water, about 3% to about 36% and a water content
of from about 0 to about 11%, a dielectric constant of about 10 or less,
preferably from about 2.4 to about 3.2, and a refractive index of from
about 1.37 to about 1.65.
The dielectric material may be applied to the substrates via conventional
spin-coating, dip coating, spraying, or meniscus coating methods which
are well-known in the art. Details of such methods are described in, for
example, "Processing Equipment and Automated Systems", a brochure by Integrated Technologies. Spin-coating is most prefeπed. The
thickness ofthe dielectric film on the substrate may vary depending on the amount of liquid dielectric that is applied to the substrate, but
typically the thickness may range from about 500 A to about 2 microns,
and preferably from about 3000 A to about 9000 A. The amount of
dielectric liquid applied to the substrate may vary from about 1 ml to
about 10 ml, and preferably from about 2 ml to about 8 ml. In the preferred embodiment, the liquid material is spun onto the upper surface the substrate according to known spin techniques. Preferably, the dielectric is applied from a solution which is centrally applied to the substrate and then spun on a rotating wheel at speeds ranging between about 500 and about 6000 rpm, preferably between about 1500 and
about 4000 φm, for about 5 to about 60 seconds, preferably about 10 to
about 30 seconds, in order to spread the solution evenly across the substrate surface. Once placed, the liquid dielectric composition fills valleys or crevices both in the substrate and between densely spaced metal conductors on the substrate to provide a smoothing effect which does present some planarization in the crevices, however, not enough to fiilly planarize that area.
After the dielectric material is applied to the substrate, the dielectric- substrate combination is optionally, but preferably heated for a time and at a temperature sufficient to evaporate any residual solvent present within the dielectric film, to further reduce the viscosity ofthe film, and to enhance leveling ofthe film on the substrate, and to increase or decrease the density, chemical resistance properties and/or physical abrasion resistance properties ofthe film, as may be determined by one skilled in the art without undue experimentation. A change in the density and chemical properties ofthe dielectric affords a change in its
susceptibility to CMP removal. An increase in temperature or exposure time to heat will incrementally change the chemical and mechanical properties ofthe dielectric layer thereby bringing about a change in CMP removal rate. Removal can be fixed at a higher or lower rate according to the properties ofthe dielectric layer material and the particular CMP chemical slurry and mechanical pressure conditions being applied.
Generally, the dielectric coated substrate is heated at a temperature of
from about 50 °C to about 400 °C , more preferably from about 50 °C
to about 250 °C for about .5 to about 10 minutes, more preferably from about 1 to about 3 minutes. This is preferably done on a hot plate but may also be done in an oven. In a prefeπed embodiment, the dielectric is
first heated at about 50 °C for about 30 seconds to one minute, then
heated at about 150 °C for about 30 seconds to one minute, and heated a
third time at about 250 °C for about 30 seconds to one minute. The
liquid dielectric material partially crosslinks and solidifies as a result of such heating.
After the coating is heated, the thickness ofthe resulting film ranges from about 0.2 to about 3.0 micrometers, preferably from about 0.5 to about 2.5 micrometers, and most preferably from about 0.7 to about 2.0 micrometers. The films produced by this invention generally exhibit a
thickness standard deviation less than 2%, and preferably less than 1%, of the average film thickness.
Prior to CMP, the dielectric layer may optionally receive a curing cycle defined at a level and duration necessary to density and change the chemical composition of the dielectric layer. In the preferred curing
embodiment, the dielectric layer is heated to cause an incremental mechanical hardening and chemical change in the dielectric composition
under temperatures of from about 250 °C to about 1,000 °C for a period
of from about 5 minutes to about 240 minutes, more preferably from
about 300 °C to about 800 °C for from about 30 minutes to about 120
minutes, and most preferably from about 350 °C to about 450 °C for from about 30 minutes to about 120 minutes to further cure the layer.
In an alternate curing embodiment, the dielectric layer may be exposed to electron beam radiation under conditions sufficient to cure the dielectric material prior to removal ofthe dielectric layer. The dielectric coated substrate is cured by exposing the surface ofthe substrate to a flux of electrons while in the presence of a gas selected from the group consisting of oxygen, argon, nitrogen, helium and mixtures thereof, and preferably oxygen, argon, nitrogen, and mixtures thereof. Nitrogen gas is
more preferred. The temperature at which the electron beam exposure is conducted will depend on the desired characteristics ofthe resulting film
and the length of desired processing time. One of ordinary skill in the art
can readily optimize the conditions of exposure to get the claimed results
but the temperature will generally be in the range of about 25 °C to about
250 °C, and preferably from about 150 °C to about 250 °C. The
pressure during electron beam curing will range between from about 10
mtorr to about 200 mtorr, and preferably from about 10 mtorr to about
40 mtorr. The period of electron beam exposure will be dependent upon
the strength ofthe beam dosage applied to the substrate. One of
ordinary skill in the art can readily optimize the conditions of exposure to
get the claimed results, but generally the exposure will range from about 5 to about 45 minutes, and preferably from about 7 to about 15 minutes with application of an electron beam dose of about 2000 to about 50,000, preferably from about 7500 to about 10,000 microcoulombs per square
cm. The accelerating voltage ofthe electron beam may range from about
5 to about 15 KeV. The dose and energy selected will be proportional to
the thickness ofthe films to be processed. The dielectric coated substrate may be exposed to electron beams in any chamber having a means for
providing electron beam radiation to substrates placed therein. Typically,
the chamber is also equipped with a means for emitting electrons into a
gaseous atmosphere comprising oxygen, argon, nitrogen, helium and
mixtures thereof, and preferably oxygen, argon, and nitrogen, simultaneously with electron beam exposure. In a prefeπed embodiment, the dielectric coated substrate is placed into a chamber which is commercially available from Electron Vision, San Diego, California,
under the tradename "ElectronCure"™, the principles of operation and
performance characteristics of which are described in U.S. Patent Number 5,001,178, which is incoφorated herein by reference.
After the dielectric layer is optionally cured, one performs a CMP to the
dielectric layer according to known techniques. Details of such
techniques are well known in the art, see for example, U.S. patent 5,516,729 which is incoφorated herein by reference. In general, the chemical-mechanical polish step is conducted by abrading the dielectric layer with an abrasive powder slurry comprised of an alkali silica, a umed silica or cerium oxide, using a polishing pad such as a foamed fluorocarbon polishing pad employing a pressure of from about 5 to about 20 lbs/in2, preferably from about 5 to about 10 lbs/in2. The preferred slurry is cerium oxide having a crystallite size of from about 14 to about 100 nm, and preferably from about 14 to about 20 nm. Preferably the slurry composition has a pH range of from about 2.8 to about 11, or more preferably from about 10.3 to about 11. Particularly useful slurry materials nonexclusively include SCI 12, which is a fumed
silica available commercially from Cabot Coφoration of Aurora, Illinois and has a pH of 10.3; ILD 1300 which is a fumed silica available commercially from Rodel Coφoration of Scottsdale, Arizona and has a pH of 11.0 and SS25 which is a fumed silica available commercially from
Cabot Coφoration and has a pH of 10.8. Preferably CMP is done with a
commercially available polisher such as a Avanti 372 or 472 from
IPEC/Westech, having a platen speed of from about 10 to about 100
φm, preferably from about 25 to about 60 φm and a downward pressure
from a polishing pad to the dielectric surface of from about 5 to about 20
psi, preferably from about 7 to about 11 psi. CMP may be conducted for
from about .5 to about 60 minutes, preferably from about .5 to about 30 minutes and more preferably from about .5 to about 60 minutes. The
amount of dielectric layer typically removed depends on the dielectric
layer thickness applied.
The dielectric layer is partially removed such that after such portions are removed, the remaining layer is more planar than prior to CMP. The following non-limiting examples serve to illustrate the invention.
EXAMPLE 1: Preparation of SOG coated wafers
Six inch diameter silicon wafers are coated with a siloxane SOG, namely
Accuglass®311 available from AlliedSignal Inc., by dispensing 3 to 4 ml
of the SOG onto the surface of the wafers. The wafers are then spun on
a SOG coater track, manufactured by DNS Inc., for 2 seconds at 72°F at
about 350 φm. After the coated wafers are additionally spun at 3000
φm for about 20 seconds under similar conditions, they are then heated on hot plates in the coater track for three consecutive intervals of 120 seconds at 80°C, 120°C and 175°C.
The coated wafers from step 1 are then cured in a furnace, manufactured by MRL Industries for 1 hour at 425°C and 1 atmosphere in the presence of nitrogen to produce a cured dielectric layer.
EXAMPLE 2: Thickness of Polished wafers
The wafers produced in Example 1 are then polished with an Avanti 472
polisher manufactured by IPEC/Westech, with slurries that have pH's ranging from 10.3 pH to 11 pH. Polishing is conducted at a down
pressure of 7.0 psi, plate speed of 28 φm, oscillating at a 5 mm range at
speed of 2 mm/minute. Polishing is done at 110 °F using an IC 1000
polishing pad available from Rodel Coφoration. The slurry flows at 130
ml/minute. Wafer thicknesses are pre-measured before CMP using a
Prometrix instrument manufactured by Tencor at twenty five different
locations on the wafers and then the twenty five values are averaged.
Polished SOG coated wafers are then post-measured after CMP for thickness at twenty five different locations on the wafers, then the twenty
five values are averaged. The polish rate is obtained by subtracting post- measurement thickness from pre-measurement thickness. Automated calculation is done by the Prometrix instrument. Fig. 1 (a) shows an
atomic force micrograph (AFM) image of SOG Accuglass® 311 after
thermal cure for 60 min. at 425°C under a nitrogen atmosphere, and (b)
is the AFM image of SOG Accuglass® 311 after CMP processed with
SC-112 slurry. Fig. 2 shows a graph of polishing rate (A/min.) of SOG
Accuglass® 311, range from 0 to 2000 A/min. with slurries that have
different pH rates from a 10.3 pH to 11 pH. EXAMPLE 3: Preparation of wafers with different organic ratios
A thermal oxide film is grown on a bare Si wafer in a fumace to produce a thermal oxide wafer which is then thermally cured according to the procedure set forth in Example 1. An additional layer ofthe SOG used in Example 1 is applied thereon according to the procedure of Example
1, then the wafer is cured in a vacuum furnace, manufactured by DNS, to
produce vacuum cured SOG wafer. Figure 3 shows the polishing rate of
different dielectric surfaces as a function of percent organic content of the SOG. This example shows the polish rate of thermal oxide film, thermally cured SOG and vacuum cured SOG as a function of organic content in the SOG.
EXAMPLE 4: Preparation of wafers using different SOG materials Example 1 is repeated, but with different dielectric SOG materials,
namely, a thermal oxide, PETEOS, PESiHt , Accuglass® 311 silane and FLARE™ fluorinated poly(arylether). This example exemplifies CMP polish rates, as shown in Figures 4 (a-d), as a function of polishing down pressure and platen φm for a variety of SOG materials.
EXAMPLE 5: Preparation of wafers with different phosphorus contents Example 1 is repeated with different SOG materials having different phosphorous wt.%, which are available from AlliedSignal Inc. under the tradename Accuglass® 203 AS, Pl 12A and Pl 14A. The coated wafers are
then polished with an Avanti 472 polisher, using SC-112, SS-25 and
ILD- 1300 slurries. Wafer thickness is pre-measured and post measured
as set forth in Example 2. The polishing rate as a function of phosphorus
content is shown in Fig.5. This example shows the use of SOG materials having various phosphorus contents.
EXAMPLE 6: End Point Detection bv
Preparation of CVD TEOS/SOG coated wafer
The CMP may be conducted through the dielectric layer until an underlying layer is reached. This underlying layer is usually a substrate with metal conductors and an oxide layer. A stopping or end-point is reached when a portion ofthe desired underlying layer is reached by the
CMP.
A layer of TEOS film having a thickness of 2kA is applied onto
polysilicon wafers via CVD at a temperature of about 350°C to 400°C and a pressure at 10 mtorr. The wafers are then similarly deposited with
another layer of TEOS film at a thickness of 8 kA, after the wafer was
processed by the method of Example 1.
The prepared wafer is polished and monitored by a Luxtron 2350 End¬
point detection system to observe constant rotational velocity ofthe wafer polishing head in order to maintain constant a polishing rate. Results are shown in Fig.6.
EXAMPLE 7: Endpoint Detection of PESJH4 Coated Wafers Example 6 is repeated but with a different layer of CVD film, PESiH,, to produce test wafers. Then the wafers are polished and monitored by a Luxtron 2350 End-point detection system to observe constant rotational velocity ofthe wafer polishing head in order to maintain constant polishing rate.
EXAMPLE 8 SEM shows local and global planarization by the SOG/CMP combined process when Examples 1 and 2 are repeated on a bare unpattemed Si wafer. Figures 7 (a) and (b) show the surface ofthe wafers both before and after CMP.
EXAMPLE 9
Example 1 and 2 are repeated except the slurry used for CMP is cerium oxide at a variety of weight percentages in deionized water, pH's and
particle sizes, and the dielectric layer is comprised of Accuglass® 311
siloxane, Accuglass® 418 methylsilsesquioxane, Flare™ fluorinated
poly(arylether) and TEOS Polishing rates are measured as set forth in Example 2 and results of which are presented in Table 1 TABLE 1
Slurry Polish Rate (<$/ min.)
Cell' % CeO pH Particle Accuglass® Accuglass® Flare TEOS Size 311 418
Cell 1 10% (+) 7.0 (+) 350 nm (+) 2484 9900 4000 6000
Cell 2 10% (+) 2.8 (-) 20 nm (-) 2833 165 1034 740
Cell 3 10% (+) 7.0 (+) 170 nm (-) 2490 3719 5000 2120
Cell 4 10% (+) 2.8 (-) 350 nm (+) 519 4263 4655 1135
Cell 5 3% (-) 2.8 (-) 20 nm (-) 1092 1053 1295 1321
Cell 6 3% (-) 7.0 (+) 170 nm (-) 5328 10278 1650 5124
Cell 7 3% (-) 7.0 (+) 350 nm (+) 5060 2171 4380 2432
Cell 8 3% (-) 2.8 (-) 350 nm (+) 248 8172 2432 1434
cell refers to the cell ofthe Prometrix measurement instrument.
One ofthe most important uses for the SOG/CMP process is endpoint control, i.e. operator control ofthe point at which CMP is ended when the polishing through the dielectric layer reaches an underlying layer.
The underlying layer is typically the substrate with metal conductors and an oxide layer. A stopping or end-point is reached when a portion ofthe underlying layer is reached by the polish operation. The use ofthe SOG layer as a stop layer in the planarization process is shown in Fig. 8 and Fig. 9, which illustrate a silicon substrate 1 having a pattem of metal contacts 2 thereon, onto which is deposited a CVD layer 3 of PETEOS or PESiH, , followed by a SOG layer 4. Fig. 9 shows an additional CVD exterior layer 5 of PETEOS or PESiH,. The CMP step may be conducted wherein polishing can stop in SOG layer 4, CVD layer 5 or CVD layer 3, depending on the end use.
It will be appreciated to those skilled in the art having the benefit of
this disclosure that this invention is capable of applications with multiple
levels of interconnect and can be repeated to form interlevel dielectrics between each level of interconnect. Furthermore, it is also to be understood that the form ofthe invention shown and described is to be taken as exemplary, presently preferred embodiments. Various modifications and changes may be made without departing from the spirit and scope ofthe invention as set forth in the claims. It is intended that the following claims be inteφreted to embrace all such variations and modifications.

Claims

What is claimed is:
1. A process for forming a void-free, continuous planarized substrate surface
comprising:
(a) applying a dielectric composition to a surface of a substrate in an amount sufficient to uniformly coat and fill voids on the surface; and
(b) performing a chemical mechanical polishing step to said dielectric composition until said dielectric composition on said substrate surface is substantially
planarized.
2. The process of claim 1 further comprising heating the dielectric composition and substrate at a temperature and for a time sufficient to form a continuous, dry
dielectric film on the surface after step (a) but before step (b).
3. The process of claim 1 wherein the dielectric composition comprises a material
selected from the group consisting of silicates, phosphosilicates, siloxanes,
silsesquioxane, organic polymers, copolymers and mixtures thereof.
4. The process of claim 1 wherein said dielectric composition comprises a siloxane having, based upon the total weight of said siloxane, of from about 2% to
about 90% of organic groups comprising alkyl groups having from about 1 to
about 10 carbons, aromatic groups having from about 4 to about 10 carbons,
aliphatic groups having from about 4 to about 10 carbons, or mixtures thereof.
5. The process of claim 2 wherein said dielectric composition is further cured by an additional subsequent heating before step (b) at a temperature of from about 25 °C to about 250 °C.
6. The process of claim 2 wherein said dielectric composition is further cured by an electron beam curing treatment.
7. The process of claim 1 wherein the chemical mechamcal polishing is conducted with an alkali silica slurry.
8. The process of claim 1 wherein the surface comprises a pattem of metallic electrical conductors and a layer of an oxide on the metal contacts.
9. The process of claim 1 wherein the surface comprises a pattem of metallic electrical conductors and a layer of an oxide on the metal contacts and the process further comprises depositing a second oxide layer on the dielectric layer before conducting step (b).
10. A substrate produced according to the process of claim 1.
l l. A microelectronic device comprising the substrate of claim 21.
12. A process for treating a semiconductor substrate surface comprising a) spin depositing a layer of a liquid dielectric composition onto a surface of a semiconductor substrate; b) heating said dielectric layer at a temperature and for a time sufficient to form a continuous, dry dielectric layer on the surface; and c) chemical-mechanical polishing the dielectric layer to remove at least a portion ofthe dielectric layer.
PCT/US1996/010836 1995-06-26 1996-06-26 Removal rate behavior of spin-on dielectrics with chemical mechanical polish WO1997001864A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE69634800T DE69634800T2 (en) 1995-06-26 1996-06-26 DRAFT RATE BEHAVIOR OF PUNCHED DIELECTRIC WITH CHEMICAL-MECHANICAL POLISHING METHOD
JP50451697A JP3264936B2 (en) 1995-06-26 1996-06-26 Method for forming a continuously planarized surface without voids
EP96923416A EP0836746B1 (en) 1995-06-26 1996-06-26 Removal rate behavior of spin-on dielectrics with chemical mechanical polish

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51595P 1995-06-26 1995-06-26
US60/000,515 1995-06-26
US08/669,184 US5952243A (en) 1995-06-26 1996-06-24 Removal rate behavior of spin-on dielectrics with chemical mechanical polish
US08/669,184 1996-06-24

Publications (1)

Publication Number Publication Date
WO1997001864A1 true WO1997001864A1 (en) 1997-01-16

Family

ID=26667759

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1996/010836 WO1997001864A1 (en) 1995-06-26 1996-06-26 Removal rate behavior of spin-on dielectrics with chemical mechanical polish

Country Status (7)

Country Link
US (1) US5952243A (en)
EP (1) EP0836746B1 (en)
JP (1) JP3264936B2 (en)
KR (1) KR100434929B1 (en)
CN (1) CN1129173C (en)
DE (1) DE69634800T2 (en)
WO (1) WO1997001864A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2320808A (en) * 1996-12-28 1998-07-01 Hyundai Electronics Ind A method of curing a spin-on-glass film of a semiconductor device
WO1998040911A1 (en) * 1997-03-13 1998-09-17 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
WO2000077275A1 (en) * 1999-06-11 2000-12-21 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
EP1100124A1 (en) * 1998-06-26 2001-05-16 Mitsubishi Materials Silicon Corporation Dielectric separation wafer and production method thereof
CN1112731C (en) * 1997-04-30 2003-06-25 三星电子株式会社 Method for making capacitor used for analog function
US10384947B2 (en) 2012-06-15 2019-08-20 Intellectual Discovery Co., Ltd. Substrate having at least one partially or entirely flat surface and use thereof

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW274625B (en) * 1994-09-30 1996-04-21 Hitachi Seisakusyo Kk
US6420269B2 (en) * 1996-02-07 2002-07-16 Hitachi Chemical Company, Ltd. Cerium oxide abrasive for polishing insulating films formed on substrate and methods for using the same
US6177143B1 (en) * 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
US6376377B1 (en) * 2000-04-03 2002-04-23 Taiwan Semiconductor Manufacturing Company Post chemical mechanical polish (CMP) planarizing substrate cleaning method employing enhanced substrate hydrophilicity
US6660640B1 (en) * 2000-07-11 2003-12-09 International Business Machines Corporation Process for planarizing patterned metal structures for magnetic thin film heads
JP3848070B2 (en) * 2000-09-27 2006-11-22 株式会社東芝 Pattern formation method
TW559860B (en) 2001-05-10 2003-11-01 Toshiba Corp Method for manufacturing semiconductor device
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6580586B1 (en) 2001-11-21 2003-06-17 International Business Machines Corporation Magnetic transducer with recessed magnetic elements
JP2004253775A (en) 2003-01-31 2004-09-09 Nec Electronics Corp Chemical mechanical polishing method
US7129151B2 (en) * 2003-11-04 2006-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Planarizing method employing hydrogenated silicon nitride planarizing stop layer
US7135122B2 (en) * 2004-03-31 2006-11-14 Freudenberg-Nok General Partnership Polytetrafluoroethylene composites
US7244329B2 (en) * 2004-06-30 2007-07-17 Freudenberg-Nok General Partnership Electron beam curing in a composite having a flow resistant adhesive layer
US7342072B2 (en) 2004-06-30 2008-03-11 Freudenberg-Nok General Partnership Bimodal compounds having an elastomeric moiety
US7230038B2 (en) * 2004-06-30 2007-06-12 Freudenberg-Nok General Partnership Branched chain fluoropolymers
US7452577B2 (en) * 2004-06-30 2008-11-18 Freudenberg-Nok General Partnership Electron beam curing of fabricated polymeric structures
US7521508B2 (en) * 2004-06-30 2009-04-21 Freudenberg-Nok General Partnership Electron beam inter-curing of plastic and elastomer blends
US20060000801A1 (en) * 2004-06-30 2006-01-05 Park Edward H Surface bonding in halogenated polymeric components
US7381765B2 (en) 2004-11-08 2008-06-03 Freudenberg-Nok General Partnership Electrostatically dissipative fluoropolymers
US20060100368A1 (en) * 2004-11-08 2006-05-11 Park Edward H Elastomer gum polymer systems
US20060099368A1 (en) * 2004-11-08 2006-05-11 Park Edward H Fuel hose with a fluoropolymer inner layer
US20070048476A1 (en) * 2005-08-31 2007-03-01 Freudenberg-Nok General Partnership Assemblies sealed with multilayer composite compression seals having a layer of dispersed fluoroelastomer in thermoplastic
US20070045967A1 (en) * 2005-08-31 2007-03-01 Freudenberg-Nok General Partnership Assemblies sealed with multilayer composite torsion seals having a layer of dispersed fluoroelastomer in thermoplastic
US20070044906A1 (en) * 2005-08-31 2007-03-01 Freudenberg-Nok General Partnership Multilayer polymeric composites having a layer of dispersed fluoroelastomer in thermoplastic
US20070176254A1 (en) * 2006-01-30 2007-08-02 Bcd Semiconductor Manufacturing Limited Poly emitter bipolar device configuration and fabrication method with an inter-level dielectric deposited by plasma enhanced chemical vapor deposition
US7863365B2 (en) 2006-12-20 2011-01-04 Freudenberg-Nok General Partnership Robust magnetizable elastomeric thermoplastic blends
US8435898B2 (en) 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
JP2011166058A (en) * 2010-02-15 2011-08-25 Fujitsu Ltd Grinding method, manufacturing method of electronic device, and grinding device
WO2013187541A1 (en) * 2012-06-15 2013-12-19 인텔렉추얼 디스커버리 주식회사 Substrate having at least one partially or entirely flat surface, and use thereof
US8748317B2 (en) * 2012-08-03 2014-06-10 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device including a dielectric structure
CN105097851A (en) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 CMOS image sensor, manufacturing method thereof and electronic device
KR20210116775A (en) 2020-03-13 2021-09-28 삼성디스플레이 주식회사 Display device and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222792A (en) * 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
KR960001339B1 (en) * 1992-06-30 1996-01-26 삼성전자주식회사 Semiconductor memory device and the manufacturing
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5397741A (en) * 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
US5449314A (en) * 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US5525191A (en) * 1994-07-25 1996-06-11 Motorola, Inc. Process for polishing a semiconductor substrate
US5447874A (en) * 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222792A (en) * 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"PREIMIDIZATION CHEMICAL MECHANICAL POLISHING OF POLYIMIDE COATINGS", RESEARCH DISCLOSURE, no. 328, 1 August 1991 (1991-08-01), pages 583, XP000217885 *
SRINIVASAN SIVARAM ET AL: "PLANARIZING INTERLEVEL DIELECTRICS BY CHEMICAL-MECHANICAL POLISHING", SOLID STATE TECHNOLOGY, vol. 35, no. 5, 1 May 1992 (1992-05-01), pages 87 - 91, XP000277409 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2320808A (en) * 1996-12-28 1998-07-01 Hyundai Electronics Ind A method of curing a spin-on-glass film of a semiconductor device
GB2320808B (en) * 1996-12-28 2001-10-17 Hyundai Electronics Ind Method of forming a spin on glass film of a semiconductor device
US6689701B1 (en) 1996-12-28 2004-02-10 Hyundai Electronics Industries Co., Ltd. Method of forming a spin on glass film of a semiconductor device
WO1998040911A1 (en) * 1997-03-13 1998-09-17 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US6153525A (en) * 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
KR100499688B1 (en) * 1997-03-13 2005-07-07 얼라이드시그날 인코퍼레이티드 Methods for chemical mechanical polish of organic polymer dielectric films
CN1112731C (en) * 1997-04-30 2003-06-25 三星电子株式会社 Method for making capacitor used for analog function
EP1100124A1 (en) * 1998-06-26 2001-05-16 Mitsubishi Materials Silicon Corporation Dielectric separation wafer and production method thereof
EP1100124A4 (en) * 1998-06-26 2007-05-02 Mitsubishi Material Silicon Dielectric separation wafer and production method thereof
WO2000077275A1 (en) * 1999-06-11 2000-12-21 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US10384947B2 (en) 2012-06-15 2019-08-20 Intellectual Discovery Co., Ltd. Substrate having at least one partially or entirely flat surface and use thereof

Also Published As

Publication number Publication date
CN1196829A (en) 1998-10-21
JPH10510399A (en) 1998-10-06
KR100434929B1 (en) 2004-09-10
US5952243A (en) 1999-09-14
JP3264936B2 (en) 2002-03-11
DE69634800D1 (en) 2005-07-07
KR19990028284A (en) 1999-04-15
CN1129173C (en) 2003-11-26
DE69634800T2 (en) 2006-04-27
EP0836746B1 (en) 2005-06-01
EP0836746A1 (en) 1998-04-22

Similar Documents

Publication Publication Date Title
US5952243A (en) Removal rate behavior of spin-on dielectrics with chemical mechanical polish
US6153525A (en) Methods for chemical mechanical polish of organic polymer dielectric films
US6600229B2 (en) Planarizers for spin etch planarization of electronic components
US5516729A (en) Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US20010006246A1 (en) Method of manufacturing semiconductor devices, etching composition for manufacturing semiconductor devices, and semiconductor devices made using the method
Kohl et al. Air-gaps in 0.3 μm electrical interconnections
EP0686680A1 (en) Isolation film of semiconductor device, coating fluid for forming the film, and process for producing the film
JP3303544B2 (en) Semiconductor device manufacturing method, wiring layer surface polishing slurry, and wiring layer surface polishing slurry manufacturing method
US5893750A (en) Method for forming a highly planarized interlevel dielectric structure
US7105925B2 (en) Differential planarization
US6358853B2 (en) Ceria based slurry for chemical-mechanical polishing
EP1105919B1 (en) A method for avoiding polishing residue entrapment utilizing a sacrificial fill for semiconductor fabrication
US6150274A (en) Method of enhancing CMP removal rate of polymer-like material and improving planarization in integrated circuit structure
US20040124438A1 (en) Planarizers for spin etch planarization of electronic components and methods of use thereof
Nakamura et al. Reconditioning-free polishing for interlayer-dielectric planarization
US20050136643A1 (en) Dielectric film forming method for semiconductor device and semiconductor device
TW299463B (en)
EP1354355A1 (en) Planarizers for spin etch planarization of electronic components and methods of use thereof
Weling et al. DIELECTRIC CMP PLANARIZATION CONSIDERATIONS FOR DEEP SUB-MICRON MULTI-LEVEL INTERCONNECT PROCESSES
Ryuzaki et al. Chemical mechanical polishing with nanocolloidal ceria slurry for low-damage planarization of dielectric films
JPH0362588A (en) Wiring board and manufacture thereof
Saman Investigation on Inter-layer Dielectric Processed by Chemical Mechanical Polishing and Spin-on Dielectric for Complementary Metal-oxide-semiconductor Compatible Devices
Chooi et al. Comparison of spin-on materials in IMD planarization
Neirynck Copper/polymer damascene interconnects: Elimination of high-resistivity metallic liners
Borst et al. CMP of Organosilicate Glasses

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 96195054.4

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1996923416

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1019970709598

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1996923416

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1019970709598

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1019970709598

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1996923416

Country of ref document: EP