WO1997004478A3 - Plasma treatment apparatus for large area substrates - Google Patents

Plasma treatment apparatus for large area substrates Download PDF

Info

Publication number
WO1997004478A3
WO1997004478A3 PCT/US1996/011213 US9611213W WO9704478A3 WO 1997004478 A3 WO1997004478 A3 WO 1997004478A3 US 9611213 W US9611213 W US 9611213W WO 9704478 A3 WO9704478 A3 WO 9704478A3
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
sources
large area
area substrates
treatment apparatus
Prior art date
Application number
PCT/US1996/011213
Other languages
French (fr)
Other versions
WO1997004478B1 (en
WO1997004478A2 (en
Inventor
Chung Chan
Original Assignee
Chung Chan
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chung Chan filed Critical Chung Chan
Priority to EP96922642A priority Critical patent/EP0842307B1/en
Priority to JP50669797A priority patent/JP4128217B2/en
Priority to DE69625068T priority patent/DE69625068D1/en
Priority to CA002227233A priority patent/CA2227233C/en
Priority to AU63449/96A priority patent/AU718941B2/en
Publication of WO1997004478A2 publication Critical patent/WO1997004478A2/en
Publication of WO1997004478A3 publication Critical patent/WO1997004478A3/en
Publication of WO1997004478B1 publication Critical patent/WO1997004478B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

A plasma system (10) for processing large area substrates. In one embodiment the system includes a plurality of radiofrequency (rf) plasma sources (40) removably attached to the rf transparent windows (26) of a processing chamber (14). The number and distribution of sources is varied to provide the size and uniformity of the plasma field required to treat the substrate. A plurality of plasma probes (74), such as Langmuir probes, Faraday cups and optical sensor are positioned within the chamber and in electrical communication with the plasma sources adjust the rf field produced by the individual sources to maintain the desired degree of field uniformity.
PCT/US1996/011213 1995-07-19 1996-07-02 Plasma treatment apparatus for large area substrates WO1997004478A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP96922642A EP0842307B1 (en) 1995-07-19 1996-07-02 System for the plasma treatment of large area substrates
JP50669797A JP4128217B2 (en) 1995-07-19 1996-07-02 System for plasma processing of large area substrates
DE69625068T DE69625068D1 (en) 1995-07-19 1996-07-02 SYSTEM FOR PLASMA TREATMENT OF LARGE AREA SUBSTRATES
CA002227233A CA2227233C (en) 1995-07-19 1996-07-02 Plasma treatment apparatus for large area substrates
AU63449/96A AU718941B2 (en) 1995-07-19 1996-07-02 System for the plasma treatment of large area substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/503,973 1995-07-19
US08/503,973 US5653811A (en) 1995-07-19 1995-07-19 System for the plasma treatment of large area substrates

Publications (3)

Publication Number Publication Date
WO1997004478A2 WO1997004478A2 (en) 1997-02-06
WO1997004478A3 true WO1997004478A3 (en) 1997-03-20
WO1997004478B1 WO1997004478B1 (en) 1997-05-15

Family

ID=24004315

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1996/011213 WO1997004478A2 (en) 1995-07-19 1996-07-02 Plasma treatment apparatus for large area substrates

Country Status (9)

Country Link
US (3) US5653811A (en)
EP (1) EP0842307B1 (en)
JP (1) JP4128217B2 (en)
KR (1) KR19990029069A (en)
CN (1) CN1192788A (en)
AU (1) AU718941B2 (en)
CA (1) CA2227233C (en)
DE (1) DE69625068D1 (en)
WO (1) WO1997004478A2 (en)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP4654176B2 (en) * 1996-02-22 2011-03-16 住友精密工業株式会社 Inductively coupled plasma reactor
US6116185A (en) * 1996-05-01 2000-09-12 Rietzel; James G. Gas injector for plasma enhanced chemical vapor deposition
JP3437376B2 (en) 1996-05-21 2003-08-18 キヤノン株式会社 Plasma processing apparatus and processing method
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6140773A (en) * 1996-09-10 2000-10-31 The Regents Of The University Of California Automated control of linear constricted plasma source array
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
KR100505176B1 (en) 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 Plasma Processing Equipment
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5985742A (en) * 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6228176B1 (en) * 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6186091B1 (en) * 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6217724B1 (en) * 1998-02-11 2001-04-17 Silicon General Corporation Coated platen design for plasma immersion ion implantation
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6269765B1 (en) * 1998-02-11 2001-08-07 Silicon Genesis Corporation Collection devices for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
AU1745700A (en) * 1998-12-01 2000-06-19 Silicon Genesis Corporation Enhanced plasma mode, method, and system for plasma immersion ion implantation
KR100687971B1 (en) 1998-12-30 2007-02-27 동경 엘렉트론 주식회사 Large area plasma source
KR100745495B1 (en) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 Semiconductor fabrication method and semiconductor fabrication equipment
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
KR20020019596A (en) * 1999-08-06 2002-03-12 브라이언 알. 바흐맨 System and method for providing implant dose uniformity across the surface of a substrate
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6706541B1 (en) 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
JP3645768B2 (en) * 1999-12-07 2005-05-11 シャープ株式会社 Plasma process equipment
KR100323613B1 (en) * 2000-03-29 2002-02-19 박세근 Apparatus for generating a large area plasma source
US6653852B1 (en) 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6578514B2 (en) * 2000-07-13 2003-06-17 Duratek Inc. Modular device of tubular plasma source
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7309997B1 (en) * 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
JP2002100623A (en) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk Thin film semiconductor manufacturing apparatus
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
US6631693B2 (en) * 2001-01-30 2003-10-14 Novellus Systems, Inc. Absorptive filter for semiconductor processing systems
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
DE60101209T2 (en) * 2001-04-27 2004-09-02 European Community Method and device for sequential plasma treatment
US6673636B2 (en) 2001-05-18 2004-01-06 Applied Materails Inc. Method of real-time plasma charging voltage measurement on powered electrode with electrostatic chuck in plasma process chambers
US7282721B2 (en) * 2001-08-30 2007-10-16 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for tuning ion implanters
US6493078B1 (en) * 2001-09-19 2002-12-10 International Business Machines Corporation Method and apparatus to improve coating quality
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
GB0208261D0 (en) * 2002-04-10 2002-05-22 Dow Corning An atmospheric pressure plasma assembly
JP2004043910A (en) * 2002-07-12 2004-02-12 Canon Inc Process and apparatus for forming deposition film
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
WO2004026096A2 (en) * 2002-09-19 2004-04-01 Tokyo Electron Limited Viewing window cleaning apparatus
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6969953B2 (en) * 2003-06-30 2005-11-29 General Electric Company System and method for inductive coupling of an expanding thermal plasma
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US7273533B2 (en) * 2003-11-19 2007-09-25 Tokyo Electron Limited Plasma processing system with locally-efficient inductive plasma coupling
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050188922A1 (en) * 2004-02-26 2005-09-01 Tokyo Electron Limited. Plasma processing unit
US7138187B2 (en) * 2004-03-19 2006-11-21 Younger Mfg. Co. Polyvinyl alcohol-based film exhibiting improved adhesion
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
EP1605493A1 (en) * 2004-06-07 2005-12-14 HELYSSEN S.à.r.l. Plasma processing control
US7400096B1 (en) 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7109499B2 (en) * 2004-11-05 2006-09-19 Varian Semiconductor Equipment Associates, Inc. Apparatus and methods for two-dimensional ion beam profiling
ATE543925T1 (en) * 2004-11-24 2012-02-15 Oerlikon Solar Ag VACUUM TREATMENT CHAMBER FOR VERY LARGE SUBSTRATES
KR100670509B1 (en) * 2005-02-01 2007-01-16 삼성에스디아이 주식회사 Plasma display panel manufacturing equipment
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
US9123512B2 (en) 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US20060198485A1 (en) * 2005-03-07 2006-09-07 Michl Binderbauer Plasma electric generation and propulsion system
JP4621914B2 (en) * 2005-04-19 2011-02-02 国立大学法人 長崎大学 Method and apparatus for coating inner wall of extra-fine tube
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
CN100547726C (en) 2005-06-03 2009-10-07 Csg索拉尔有限公司 Be used to make the method and apparatus of thin film silicon hydrogenation on glass
US8179050B2 (en) 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
JP2009507363A (en) * 2005-07-27 2009-02-19 シリコン・ジェネシス・コーポレーション Method and structure for forming multiple tile portions on a plate using a controlled cleavage process
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7466740B2 (en) * 2005-12-07 2008-12-16 Ajax Tocco Magnethermic Corporation Induction coil having internal and external faradic rings
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
WO2008024392A2 (en) 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5111913B2 (en) * 2007-03-23 2013-01-09 株式会社東芝 Opto-electric hybrid integrated circuit
FR2917753B1 (en) * 2007-06-20 2011-05-06 Quertech Ingenierie MULTI-SOURCE DEVICE FOR THE TREATMENT OF PIECES BY ION IMPLANTATION AND METHOD IMPLEMENTING IT
US20090001599A1 (en) * 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
DE102008027363B4 (en) * 2008-06-09 2018-04-26 Meyer Burger (Germany) Ag Apparatus for treating large volume substrates in plasma and method of use
JP5520290B2 (en) 2008-06-11 2014-06-11 インテバック・インコーポレイテッド Semiconductor device and solar cell manufacturing method
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
JP5478058B2 (en) * 2008-12-09 2014-04-23 国立大学法人東北大学 Plasma processing equipment
CN101754564B (en) * 2008-12-09 2014-02-19 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing device
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
JP4621287B2 (en) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー Plasma processing equipment
SG174289A1 (en) * 2009-03-20 2011-10-28 Solar Implant Technologies Inc Advanced high efficiency crystalline solar cell fabrication method
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20100326271A1 (en) * 2009-06-25 2010-12-30 Omax Corporation Reciprocating pump and method for making a system with enhanced dynamic seal reliability
KR101081743B1 (en) * 2009-08-17 2011-11-09 주성엔지니어링(주) Appratus for treating substrate
US8124939B2 (en) * 2009-09-24 2012-02-28 Asml Netherlands B.V. Radiation detector
US20110097518A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Vertically integrated processing chamber
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
KR101587053B1 (en) * 2009-11-23 2016-01-21 주성엔지니어링(주) Appratus for treating substrate
KR101589109B1 (en) * 2009-11-23 2016-01-28 주성엔지니어링(주) Appratus for treating substrate
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
CN102834905B (en) * 2010-02-09 2016-05-11 因特瓦克公司 The adjustable shadow mask assembly that solar cell uses in manufacturing
WO2011104803A1 (en) * 2010-02-25 2011-09-01 シャープ株式会社 Plasma generator
JP5403151B2 (en) * 2010-03-31 2014-01-29 東京エレクトロン株式会社 Dielectric window for plasma processing apparatus, plasma processing apparatus, and method for attaching dielectric window for plasma processing apparatus
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
KR101241049B1 (en) 2011-08-01 2013-03-15 주식회사 플라즈마트 Plasma generation apparatus and plasma generation method
KR101246191B1 (en) * 2011-10-13 2013-03-21 주식회사 윈텔 Plasma generation apparatus and substrate processing apparatus
CN104428883B (en) 2011-11-08 2017-02-22 因特瓦克公司 substrate processing system and method
US9997261B2 (en) 2011-11-14 2018-06-12 The Regents Of The University Of California Systems and methods for forming and maintaining a high performance FRC
US8809803B2 (en) * 2012-08-13 2014-08-19 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma ion source with multiple antennas for wide ion beam
WO2014075163A1 (en) * 2012-11-15 2014-05-22 James Andrew Leskosek Plasma gate
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US9783884B2 (en) * 2013-03-14 2017-10-10 Varian Semiconductor Equipment Associates, Inc. Method for implementing low dose implant in a plasma system
JP6101535B2 (en) * 2013-03-27 2017-03-22 株式会社Screenホールディングス Plasma processing equipment
US20150042017A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
JP6469688B2 (en) 2013-08-16 2019-02-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated An elongated capacitively coupled plasma source for high temperature and low pressure environments
PL3312843T3 (en) 2013-09-24 2020-05-18 Tae Technologies, Inc. Systems for forming and maintaining a high performance frc
JP2015074792A (en) * 2013-10-07 2015-04-20 株式会社Screenホールディングス Plasma cvd device
US9299536B2 (en) * 2013-10-17 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Wide metal-free plasma flood gun
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US9433071B2 (en) * 2014-06-13 2016-08-30 Plasma Innovations, LLC Dielectric barrier discharge plasma generator
HUE055365T2 (en) 2014-10-13 2021-11-29 Tae Tech Inc Method for merging and compressing compact tori
BR112017008768B1 (en) 2014-10-30 2022-12-06 Tae Technologies, Inc METHOD AND SYSTEM FOR GENERATING AND MAINTAINING A MAGNETIC FIELD WITH A REVERSE FIELD CONFIGURATION
KR101682881B1 (en) * 2014-12-05 2016-12-06 인베니아 주식회사 An plasma generating module and plasma processing apparatus comprising the same
US9646843B2 (en) * 2014-12-08 2017-05-09 Applied Materials, Inc. Tunable magnetic field to improve uniformity
EA036012B1 (en) 2015-05-12 2020-09-14 Таэ Текнолоджиз, Инк. Systems and methods for reducing undesired eddy currents
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
UA126789C2 (en) 2015-11-13 2023-02-08 Тае Текнолоджиз, Інк. Systems and methods for frc plasma position stability
US9721759B1 (en) * 2016-04-04 2017-08-01 Aixtron Se System and method for distributing RF power to a plasma source
KR101798384B1 (en) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 RF antenna structure for inductively coupled plasma processing apparatus
CA3041826A1 (en) 2016-10-28 2018-05-03 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance frc elevated energies utilizing neutral beam injectors with tunable beam energies
EA201991117A1 (en) 2016-11-04 2019-09-30 Таэ Текнолоджиз, Инк. SYSTEMS AND METHODS OF IMPROVED SUPPORT OF HIGH-EFFICIENT CONFIGURATION WITH A REVERSED FIELD WITH VACUUMING WITH CAPTURE OF A MULTI-SCALE TYPE
CN116170928A (en) 2016-11-15 2023-05-26 阿尔法能源技术公司 System and method for improved support for high performance FRC and higher harmonic fast wave electronic heating in high performance FRC
US11339477B2 (en) * 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
CN106756888B (en) 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 A kind of nano-coating equipment rotation frame equipments for goods
CN106622824B (en) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 A kind of plasma polymerized coating device
US20180174801A1 (en) * 2016-12-21 2018-06-21 Ulvac Technologies, Inc. Apparatuses and methods for surface treatment
US10808688B1 (en) 2017-07-03 2020-10-20 Omax Corporation High pressure pumps having a check valve keeper and associated systems and methods
KR102009348B1 (en) 2017-09-20 2019-08-09 주식회사 유진테크 Batch type plasma substrate processing apparatus
DE102018113444B3 (en) 2018-06-06 2019-10-10 Meyer Burger (Germany) Gmbh Linear microwave plasma source with separate plasma spaces
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
KR20230005840A (en) 2020-03-30 2023-01-10 하이퍼썸, 인크. Cylinder for liquid jet pump with multifunctional connecting longitudinal ends
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
CN114453345B (en) * 2021-12-30 2023-04-11 广东鼎泰高科技术股份有限公司 Plasma cleaning system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59193904A (en) * 1983-04-18 1984-11-02 Matsushita Electric Ind Co Ltd Apparatus for forming thin film of polymer
US4846928A (en) * 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5368710A (en) * 1992-05-14 1994-11-29 Lam Research Corporation Method of treating an article with a plasma apparatus in which a uniform electric field is induced by a dielectric window

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2245779B1 (en) * 1973-09-28 1978-02-10 Cit Alcatel
US3855110A (en) 1973-11-15 1974-12-17 United Aircraft Corp Cylindrical rf sputtering apparatus
US3926147A (en) 1974-11-15 1975-12-16 Mc Donnell Douglas Corp Glow discharge-tumbling vapor deposition apparatus
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS6029295B2 (en) 1979-08-16 1985-07-10 舜平 山崎 Non-single crystal film formation method
US4322661A (en) 1979-12-26 1982-03-30 Huges Aircraft Company Cross-field plasma mode electric conduction control device
US4304983A (en) 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
US4345968A (en) * 1981-08-27 1982-08-24 Ncr Corporation End point detection using gas flow
JPH06105597B2 (en) 1982-08-30 1994-12-21 株式会社日立製作所 Microwave plasma source
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
CA1247757A (en) 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
FR2583250B1 (en) 1985-06-07 1989-06-30 France Etat METHOD AND DEVICE FOR EXCITTING A MICROWAVE PLASMA WITH ELECTRONIC CYCLOTRONIC RESONANCE
US4756882A (en) 1985-06-21 1988-07-12 Surgikos Inc. Hydrogen peroxide plasma sterilization system
US4632719A (en) 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JP2635021B2 (en) * 1985-09-26 1997-07-30 宣夫 御子柴 Deposition film forming method and apparatus used for the same
US4826646A (en) 1985-10-29 1989-05-02 Energy/Matter Conversion Corporation, Inc. Method and apparatus for controlling charged particles
KR880000215A (en) 1986-06-10 1988-03-24 나까므라 히사오 Plasma treatment apparatus for sheet-like objects
JPS6393881A (en) * 1986-10-08 1988-04-25 Anelva Corp Plasma treatment apparatus
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) * 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US5015353A (en) * 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4853250A (en) * 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (en) * 1988-09-19 1997-10-29 アネルバ株式会社 Microwave plasma processing equipment
US4952273A (en) * 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5202095A (en) * 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5133826A (en) * 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5203960A (en) * 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5032205A (en) 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5183775A (en) 1990-01-23 1993-02-02 Applied Materials, Inc. Method for forming capacitor in trench of semiconductor wafer by implantation of trench surfaces with oxygen
DE4114108C1 (en) * 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JPH0810634B2 (en) * 1990-06-01 1996-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション Microwave-fed material / plasma processing system
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5296272A (en) 1990-10-10 1994-03-22 Hughes Aircraft Company Method of implanting ions from a plasma into an object
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5304282A (en) 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
JPH04362091A (en) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd Plasma chemical vapor deposition apparatus
US5772832A (en) 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0156011B1 (en) * 1991-08-12 1998-12-01 이노우에 아키라 Plasma treating apparatus and method thereof
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5223108A (en) 1991-12-30 1993-06-29 Materials Research Corporation Extended lifetime collimator
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
WO1993018201A1 (en) 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5490910A (en) 1992-03-09 1996-02-13 Tulip Memory Systems, Inc. Circularly symmetric sputtering apparatus with hollow-cathode plasma devices
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
JP3266163B2 (en) * 1992-10-14 2002-03-18 東京応化工業株式会社 Plasma processing equipment
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
DE69331291T2 (en) 1992-11-13 2002-08-08 Energy Conversion Devices Inc Process for producing a barrier coating using plasma-assisted CVD
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5289010A (en) 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US6136140A (en) 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
KR100238627B1 (en) * 1993-01-12 2000-01-15 히가시 데쓰로 Plasma processing apparatus
US5362353A (en) 1993-02-26 1994-11-08 Lsi Logic Corporation Faraday cage for barrel-style plasma etchers
TW249313B (en) 1993-03-06 1995-06-11 Tokyo Electron Co
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5498290A (en) 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
JPH07106512A (en) 1993-10-04 1995-04-21 Sharp Corp Simox processing method based on molecule ion implantation
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
KR100276736B1 (en) 1993-10-20 2001-03-02 히가시 데쓰로 Plasma processing equipment
US5431799A (en) 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5413955A (en) 1993-12-21 1995-05-09 Delco Electronics Corporation Method of bonding silicon wafers at temperatures below 500 degrees centigrade for sensor applications
DE4403125A1 (en) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Plasma generating device
JP3365067B2 (en) 1994-02-10 2003-01-08 ソニー株式会社 Plasma apparatus and plasma processing method using the same
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5661043A (en) 1994-07-25 1997-08-26 Rissman; Paul Forming a buried insulator layer using plasma source ion implantation
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5504328A (en) * 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
JP3426382B2 (en) 1995-01-24 2003-07-14 アネルバ株式会社 Plasma processing equipment
DE69510032T2 (en) * 1995-03-31 2000-01-27 Ibm Method and apparatus for monitoring dry etching of a dielectric film to a given thickness
JP2666768B2 (en) * 1995-04-27 1997-10-22 日本電気株式会社 Dry etching method and apparatus
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5985032A (en) * 1995-05-17 1999-11-16 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
DE69737311T2 (en) 1996-02-09 2007-06-28 ULVAC, Inc., Chigasaki Device for generating a plasma with discharge along a magnetic-neutral line
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5641969A (en) 1996-03-28 1997-06-24 Applied Materials, Inc. Ion implantation apparatus
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5824602A (en) 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US6051073A (en) 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59193904A (en) * 1983-04-18 1984-11-02 Matsushita Electric Ind Co Ltd Apparatus for forming thin film of polymer
US4846928A (en) * 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5368710A (en) * 1992-05-14 1994-11-29 Lam Research Corporation Method of treating an article with a plasma apparatus in which a uniform electric field is induced by a dielectric window
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0842307A4 *

Also Published As

Publication number Publication date
US5653811A (en) 1997-08-05
AU6344996A (en) 1997-02-18
CA2227233A1 (en) 1997-02-06
JPH11510302A (en) 1999-09-07
AU718941B2 (en) 2000-05-04
EP0842307A4 (en) 1999-12-01
CN1192788A (en) 1998-09-09
EP0842307A2 (en) 1998-05-20
KR19990029069A (en) 1999-04-15
JP4128217B2 (en) 2008-07-30
US6632324B2 (en) 2003-10-14
US20020029850A1 (en) 2002-03-14
US6338313B1 (en) 2002-01-15
EP0842307B1 (en) 2002-11-27
DE69625068D1 (en) 2003-01-09
WO1997004478A2 (en) 1997-02-06
CA2227233C (en) 2001-10-30

Similar Documents

Publication Publication Date Title
WO1997004478A3 (en) Plasma treatment apparatus for large area substrates
WO1997004478B1 (en) Plasma treatment apparatus for large area substrates
TW271484B (en) Apparatus for obtaining dose uniformity in plasma doping (plad) ion implantation process
AU7450596A (en) Gas injection system for semiconductor processing
CA1269950C (en) Glow-discharge decomposition apparatus
ATE420454T1 (en) PULSED PLASMA TREATMENT METHOD AND APPARATUS
KR940010866A (en) Microwave Plasma Treatment System and Processing Method
AU582989B2 (en) Multiple port plasma spray apparatus and method for providing sprayed abradable coatings
AU2515684A (en) Magnetron cathode sputtering
NO941075D0 (en) Apparatus and method for rapid plasma therapy
TW349242B (en) Plasma processing method and apparatus
TW328617B (en) Plasma processing device and plasma processing method
AU1764988A (en) Apparatus suitable for plasma surface treating and process for preparing membrane layers
TW365018B (en) Tandem process chamber
TW350977B (en) Plasma processing apparatus
AU6339590A (en) Sputtering apparatus and sputtering processing system using the same
NO912151L (en) ESSENTIAL fatty acid TREATMENT.
EP0420117A3 (en) Microwave plasma generating apparatus and process for the preparation of diamond thin film utilizing same
DE60007287T2 (en) METHOD AND DEVICE FOR COATING BY ARCH DISCHARGE
WO2005045873A3 (en) Plasma processing system and plasma treatment process
CA2006916A1 (en) Method of testing components of pulsed droplet deposition apparatus
ATE115647T1 (en) PHYSICAL VAPOR DEPOSITION DOUBLE COATING APPARATUS AND PROCESS.
IE901842L (en) Electrostatic liquid spraying apparatus
AU8742291A (en) Method of improving ion flux distribution uniformity on a substrate
CA2041495A1 (en) Cvd apparatus containing single u-shaped discharge electrode held in parallel with a substrate

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 96196235.6

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AU CA CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

AK Designated states

Kind code of ref document: A3

Designated state(s): AU CA CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2227233

Country of ref document: CA

Ref document number: 2227233

Country of ref document: CA

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 1997 506697

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1019980700373

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1996922642

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1996922642

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1019980700373

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1996922642

Country of ref document: EP

WWR Wipo information: refused in national office

Ref document number: 1019980700373

Country of ref document: KR