WO1998043294A1 - Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation - Google Patents

Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation Download PDF

Info

Publication number
WO1998043294A1
WO1998043294A1 PCT/US1998/004560 US9804560W WO9843294A1 WO 1998043294 A1 WO1998043294 A1 WO 1998043294A1 US 9804560 W US9804560 W US 9804560W WO 9843294 A1 WO9843294 A1 WO 9843294A1
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric layer
dielectric
electron beam
layer
substrate
Prior art date
Application number
PCT/US1998/004560
Other languages
French (fr)
Inventor
Jing Jun Yang
Lynn Forester
Dong Kyu Choi
Shi-Qing Wang
Neil H. Hendricks
Original Assignee
Alliedsignal Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alliedsignal Inc. filed Critical Alliedsignal Inc.
Priority to IL13201798A priority Critical patent/IL132017A0/en
Priority to DE69836009T priority patent/DE69836009D1/en
Priority to JP54573798A priority patent/JP3276963B2/en
Priority to KR10-1999-7008771A priority patent/KR100477455B1/en
Priority to CA002284760A priority patent/CA2284760A1/en
Priority to EP98911525A priority patent/EP0970519B1/en
Publication of WO1998043294A1 publication Critical patent/WO1998043294A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Definitions

  • the present invention relates to the preparation of substrates used in the manufacture of integrated circuits. More particularly, the invention relates to spin- on low dielectric constant (low-k) polymer films on semiconductor substrates.
  • the invention provides a method for achieving non-etchback processing of spin- on low-k polymer films and for retaining the low dielectric constant of spin-on polymer films in areas between metal lines on semiconductor substrates, utilizing electron beam radiation in integration of low-k polymer films into interlevel dielectric (ILD) layers of semiconductor devices.
  • ILD interlevel dielectric
  • low-k low dielectric constant
  • IC integrated circuit
  • the dielectric constant of many thermally cured low-k polymer films is not stable and is prone to increase upon exposure to either high temperature encountered in metal deposition, or to oxidative environments such as oxygen plasma used in photoresist stripping.
  • thermally cured spin-on polymer films are often related to their intrinsic features such as low density, susceptibility to moisture absorption, poor thermal stability and susceptibility to oxygen plasma-induced oxidation. Therefore, the integration of many thermally cured spin-on low-k polymers into ILD layers is complex and often requires additional process steps such as etchback and capping.
  • the films are deposited by spin-coating onto a semiconductor substrate and then subjected to a full thermal cure.
  • the invention provides a process for forming a dielectric film on a substrate which comprises applying and drying a polymeric, dielectric composition layer onto a substrate and exposing the dried layer to electron beam radiation under conditions sufficient to partially cure the dielectric layer and wherein the exposing forms a relatively more hardened topmost portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer.
  • the invention provides improved methods for achieving non- etchback process for spin-on low-k dielectrics using controlled electron beam radiation processes.
  • the invention also provides improved methods for protecting the underlying dielectric layer from process environment induced degradation, particularly dielectric constant of certain spin-on low-k polymer films using a controlled electron beam radiation process.
  • the invention also provides improved methods for retaining the intrinsic dielectric constant for spin- on polymer films in areas such as in between metal lines using controlled electron beam radiation process.
  • a "skin" is formed as the outer layer of the film which has been most directly contacted by the electron beam radiation.
  • the thickness of this "skin” can be regulated by the electron beam energy levels, including the time duration of exposure, and the integrated (total) electron beam dosage delivered to the film.
  • the film can be only lightly cured such that a thin skin of nominally 500-6000 angstroms can be formed, depending on the interlayer dielectric thickness. A continuum of extents of cure for the films can be thus be advantageously used in semiconductor processing.
  • a relatively brief cure in which a skin is formed simultaneously allows retention of the low dielectric constant of the film, attainment of a hardened, oxidation resistant outer layer on the film, and minimization of process time when compared to the electron beam radiation cure of the full thickness of the film.
  • the original homogeneous dielectric layer can be converted into two distinctive layers.
  • the dielectric layer on the top of metals is significantly modified and thus some of its properties have been significantly changed.
  • This layer has such excellent properties as low or no moisture absorption and low or no degradation upon exposure to high temperature and oxygen plasma environments, and thus a non-etchback process can be achieved without degradation.
  • the underlying dielectric layer, particularly in between- metal lines, retains its intrinsic dielectric properties such as low dielectric constant.
  • Figure 2 is a schematic representation of another embodiment of the invention o showing a non-etchback process for spin-on low-k polymers using selective electron beam curing of a dielectric under removed portions of a photoresist layer.
  • Figure 3a shows FTIR spectra of thermally cured, partially e-beam cured and fully e-beam cured hydrogen silsesquioxane polymer films. 5
  • Figure 3b shows FTIR spectra of partially e-beam cured hydrogen silsesquioxane polymer films at different e-beam doses.
  • Figure 4 shows wet etch rates in BOE (50: 1) as a function of depth of film o thickness for hydrogen silsesquioxane films subj ected to various e-beam exposure conditions.
  • Figure 5a shows FTIR spectra for thermally cured hydrogen silsesquioxane polymer films after exposure to 400 °C, 450 °C, 500 °C and 600 °C for 30 minutes respectively.
  • Figure 5b shows FTIR spectra for partially e-beam cured hydrogen silsesquioxane polymer films after exposure to 400 °C, 450 °C, 500 °C and 600 °C for 30 minutes respectively.
  • Figure 6a shows FTIR spectra for thermally cured methyl silsesquioxane polymer films before and after oxygen plasma exposure.
  • Figure 6b shows FTIR spectra for partially e-beam cured (partial e-beam cure 1) methyl silsesquioxane polymer films before and after oxygen plasma exposure.
  • a first embodiment of the invention forms a dielectric film on a substrate by applying and drying a polymeric, dielectric composition layer onto a substrate. The dried layer is then exposed to electron beam radiation under conditions sufficient to partially cure the dielectric layer such that a relatively more hardened
  • topmost portion of the dielectric layer forms on a top part of the layer and a relatively less hardened underlying portion of the dielectric layer forms under the top of the layer.
  • Suitable planar substrates for the present invention non-exclusively include semiconductor materials such as gallium arsenide (GaAs), silicon and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, and silicon dioxide (SiO 2 ) and mixtures thereof, which may or may not have a circuit pattern on their surface.
  • GaAs gallium arsenide
  • silicon and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, and silicon dioxide (SiO 2 ) and mixtures thereof, which may or may not have a circuit pattern on their surface.
  • a liquid dielectric layer preferably a spin-on glass in a suitable solvent is applied to the substrate surface under ambient conditions.
  • the dielectric layer may comprises a silsesquioxane polymer, a siloxane polymer, a polyarylene ether, a fluorinated polyarylene ether, polymeric dielectric materials or mixtures thereof.
  • Polymeric dielectric materials useful for the invention include hydrogensiloxanes which have the formula [(HSiOi. 5 ) x O y ] n hydrogensilsesquioxanes which have the formula (HSiO] , 5 ) n , and hydroorganosiloxanes which have the formulae
  • n ranges from about 100 to about 800 yielding a molecular weight of from about 5,000 to about 45,000. More preferably, n ranges from about 250 to about 650 yielding a o molecular weight of from about 14,000 to about 36,000.
  • Useful polymers within the context of this invention nonexclusively include hydrogensiloxane, hydrogensilsesquioxane, hydrogenmethylsiloxane, hydrogenethylsiloxane, hydrogenpropylsiloxane, hydrogenbutylsiloxane, hydrogentert-butylsiloxane, hydrogenphenylsiloxane, hydrogenmethylsilsesquioxane, 5 hydrogenethylsilsesquioxane, hydrogenpropylsilsesquioxane, hydrogenbutylsilsesquioxane, hydrogentert-butylsilsesquioxane and hydrogenphenylsilsesquioxane and mixtures thereof.
  • hydroorganosiloxanes, polyarylene ethers, fluorinated polyarylene ethers and mixtures thereof are preferred.
  • Useful organic polymers include polyimides, fluorinated and o nonfluorinated polymers, in particular fluorinated and nonfiuorinated poly(arylethers) available under the tradename FLARETM from AlliedSignal Inc., and copolymers mixtures thereof.
  • Preferred siloxane materials suitable for use in this invention are commercially available from AlliedSignal Inc. under the tradename Accuglass .
  • the polymer component is preferably present in an amount of from about 10 % to about 30 % by weight of the composition. A more preferred range is from about 15 % to about 30 % and most preferably from about
  • the composition then contains a solvent component comprising at least one solvent.
  • suitable solvents non-exclusively include water and organic solvents in an amount sufficient to form a uniform solution or dispersion of the dielectric material.
  • useful linear solvents nonexclusively include decamethyltetrasiloxane, 1 ,3-dioctyltetramethyldisiloxane, octamethyltrisiloxane, pentamethyldisiloxane, hexamethyldisiloxane, 1,1,3,3,5,5-hexamethyltrisiloxane, 1 ,1,3,3-tetramethyldisiloxane, l,3-bis-(trimethylsiloxy)-l,3-dimethylsiloxane, bis(trimethylsiloxy)ethylsilane, bis(trimethylsiloxy)methy lsilane, decamethyltetrasiloxane, dodecamethylpentasiloxane, 1,1
  • Examples of useful cyclic solvents nonexclusively include decamethylcyclopentasiloxane, hexaethylcyclotrisiloxane, hexamethylcyclotrisiloxane, 1 ,3,5,7-tetramethylcyclotetrasiloxane, pentamethylcyclopentasiloxane, octamethylcyclotetrasiloxane, methylhydrocyclosiloxanes of the formula (CH 3 HSiO) 3 . 5 , 1,3,5,7- tetraethylcyclotetrasiloxane and 1,3,5,7-tetramethylcyclotetrasiloxane.
  • the thickness of the dielectric film on the substrate may vary depending on the amount of liquid dielectric that 5 is applied to the substrate, but typically the thickness may range from about 500 A to about 50,000 A, and preferably from about 2000 A to about 12000 A.
  • the amount of dielectric liquid applied to the substrate may vary from about 1 ml to about 10 ml, and preferably from about 2 ml to about 8 ml.
  • the liquid material is spun onto the upper surface the substrate o according to known spin techniques.
  • the dielectric-substrate combination is optionally, but preferably heated for a time and at a temperature o sufficient to evaporate solvents present within the dielectric film and partially cure the film.
  • the desired degree of partial curing may be determined by one skilled in the art without undue experimentation.
  • This thermal treatment prior to e-beam exposure is dependent on materials and coating methods.
  • the dielectric coated substrate is heated at a temperature of from about 50 °C to 5 about 450 °C , more preferably from about 80 °C to about 375 °C for about .5 to about 30 minutes, more preferably from about 1 to about 3 minutes. This is preferably done on a hot plate but may also be done in an oven.
  • the dielectric is first heated at from about 80 °C to about 180 °C for about 30 seconds to about two minutes, then heated at from about 150 °C to o about 250 °C for about 30 seconds to about two minutes, and heated a third time at from about 180 °C to about 375 °C for from about 30 seconds to about two minutes.
  • the heat treatment of the film partially crosslinks and solidifies and partially planarizes the layer.
  • the thickness of the resulting film ranges from about 500 A to about 50,000 A, preferably from about 500 A to about 20,000 A, and most preferably from about 1,000 A to about 12,000 A.
  • An important feature of the invention is to expose the dried layer to electron beam radiation under conditions sufficient to partially cure the dielectric layer wherein the exposing forms a relatively more hardened topmost skin portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer.
  • a topmost more cured portion of the dielectric layer may have a thickness of from about 200 to about 10,000 angstroms with the underlying balance being less cured or crosslinked.
  • the topmost portion of the dielectric layer is exposed to the electron beam radiation and the underlying portion of the dielectric layer is essentially not exposed to the electron beam radiation at all at the lowest portion adjacent the substrate.
  • the underlying portion is subjected to a graduated degree of exposure throughout the layer.
  • the coated substrate is cured by exposing the surface of the substrate to a flux of electrons.
  • the temperature at which the electron beam exposure is conducted will depend on the desired characteristics of the resulting film and the length of desired processing time. One of ordinary skill in the art can readily optimize the conditions of exposure to get the claimed results but the heating temperature will generally be in the range of from about 50 °C to about 500 °C, preferably from about 150 °C to about 400 °C. Heating may be conducted from about 1 to about 360 minutes, preferably from about 3 minutes to about 30 minutes. In another embodiment, such heating may be done before rather than during the e-beam exposure.
  • the pressure during electron beam curing will range from about 0.1 mtorr to about 100 torr, and preferably from about 1 mtorr to about 100 mtorr.
  • the period of electron beam exposure will be dependent upon the strength of the beam dosage applied to the substrate and the beam current density.
  • One of ordinary skill in the art can readily optimize the conditions of exposure to get the claimed results, but generally the exposure will range from about 1 minute to about 120 minutes, and preferably from about 3 minutes to about 60 minutes 5 with application of an electron beam dose of about 500 to about 20,000 microcoulombs per square cm, preferably from about 2,000 to about 10,000 microcoulombs per square cm.
  • the accelerating voltage of the electron beam may range from about .5 to about 20 KeV.
  • the dose and energy selected will be proportional to the thickness of the films to be processed.
  • the dielectric coated 0 substrate may be exposed to electron beams in any chamber having a means for providing electron beam radiation to substrates placed therein.
  • the dielectric coated substrate is placed into a chamber which is commercially available from Electron Vision, a unit of AlliedSignal Inc., under the tradename "ElectronCure”TM.
  • Electron Vision a unit of AlliedSignal Inc.
  • ElectronCure a unit of AlliedSignal Inc.
  • This system has a large area electron source capable of exposing substrates up to 200mm in diameter. Additionally, the electron source is variable energy.
  • the e-beam exposure is preferably conducted while in the presence of a gas selected from the group consisting hydrogen, o helium, argon, nitrogen, oxygen, xenon and their mixtures thereof, and preferably argon, hydrogen, oxygen and mixtures thereof.
  • the selection of the process gas is mainly dependent on materials.
  • FIG. 1 shows a schematic representation of one embodiment of the invention 5 showing a non-etchback process for spin-on low-k polymers using controlled electron beam curing.
  • a chemically vapor deposited oxide layer 2 which covers metal conductors 4 on a substrate.
  • a dielectric polymer is spin coated onto the oxide and baked until dried.
  • the polymer is exposed to e-beam radiation wherein the e-beam penetration is controlled to be approximately equal to the o thickness 8 of the dielectric film on top of the metal conductors.
  • the underlying region 7 receive little or no e-beam exposure.
  • the dotted line represents the interface between these zones.
  • the most important parameter among other parameters for the said e-beam curing 5 processes is electron beam energy which determines electron beam penetration depth and thus the curing depth of the film.
  • the desired e-beam curing depth depends on the thickness of the dielectric film retained on the top of metal lines, as shown in Figure 1. If the film density is known, the relationship between e- beam penetration depth (or e-beam curing depth) may be determined according to 0 the Grun equation as following:
  • R g is the range of electrons in the material ( ⁇ m) or Grun range
  • d is film s density (g/cm )
  • V a is accelerating voltage or electron energy (KeV).
  • the amount of e-beam dose applied to the dielectric film will depend on the desired characteristics of the resulting film. Greater e-beam doses have beneficial effect for those films susceptible to moisture absorption and oxidation. However, greater e-beam dose will result in gradual transition from e-beam cured to non e- beam cured and thus the electron beam penetrate beyond the desired depth of the 5 film due to secondary e-beam scattering. Therefore, the amount of e-beam dose is also preferred to be selected by conducting a series of experiments involving various amounts of doses under the desired e-beam energy level.
  • the exposed dielectric layer preferably has a dielectric constant in the range of from about 2.6 to about 4.0, depending on the selected polymer materials.
  • the o dielectric constant of the relatively more hardened topmost portion of the dielectric layer is different from the dielectric constant of the relatively less hardened underlying portion of the dielectric layer.
  • the less exposed or non- exposed underlying portion of the dielectric layer preferably will retain its intrinsic dielectric constant, or it may vary slightly.
  • Such may be done at a temperature of from about 50 °C to about 500 °C for from about 1 minute to about 360 minutes, preferably at a temperature of from about 150 °C to about 425 °C for from about 3 minutes to about 60 minutes
  • the invention also provides a method for non-etchback processing of a low dielectric constant intermetal dielectric film comprising applying and drying the dielectric polymer composition to the surface of a semiconductor substrate having conductive lines.
  • a photoresist is applied to the dielectric polymer composition and exposed to actinic radiation and developed in a conventional way, such that 5 the portion of the photoresist directly above conducting metal lines on the device is exposed and removed.
  • the substrate is then subjected to electron beam radiation, thus fully curing only that portion of the dielectric film that is directly above the metal lines. Finally the remaining photoresist is removed using conventional photoresist stripping processes.
  • Figure 2(a) (b) and (c) show a o chemically vapor deposited oxide 2 which covers metal conductors 4 on a substrate.
  • FIG 2(a) shows photoresist 10 applied to the dielectric polymer composition and exposed and developed in a conventional way such that the removed portions of the photoresist are over the metal conductors 4.
  • the polymer is exposed to e- 5 beam radiation where the photoresist is imagewise removed such that the e-beam penetration is controlled to area 8 of the dielectric film on top of the metal conductors.
  • Figure 2(b) shows the structure after e-beam exposure and subsequent stripping away of the entire photoresist layer.
  • the underlying regions 6 have received little or no e-beam exposure.
  • vias 12 are formed in the usual way to o form the structure shown in Figure 2(c).
  • the remaining photoresist also functions as a mask to prevent electrons from penetrating deeply into the dielectric region 6.
  • Line 5 approximates the electron beam penetration depth profile.
  • Suitable positive photoresist compositions and methods of their use are described, for examples in United States Patent Nos. 3,666,473; 4,115,128 and 4,173,470.
  • Silicon wafers (substrates) were coated using conventional spin-coating with a solution comprising a hydrogen silsesquioxane polymer and a mixture of organic solvents.
  • the coated wafers were subjected to successive hot plate treatments of 1 minute at 150 °C followed by 1 minute at 180 °C and finally 1 minute at 275 °C.
  • the coated wafers were then exposed to an electron beam in an electron beam exposure tool (ElectronCure 30 M from Electron Vision, a unit of AlliedSignal Inc.) under conditions given in the Table 1.
  • the coated wafers were removed from the electron beam tool and characterized in the following aspects such as retention of Si-H bonding as a function of e-beam energy and e-beam dose using a FTIR analysis method and wet etching rate through film thickness as a function of e-beam energy and e-beam dose.
  • This experiment was designed to verify partial e-beam curing approach (partial e-beam curing means that under such a condition electron beam penetration is restricted to a certain depth of the film which is less than the total film thickness) and its dependence on e-beam energy and e-beam dose.
  • the penetration depth of energized electrons is dependent on the energy level of electrons and the material density, and for a given material the electron penetration depth is exclusively dependent on the energy level of electrons.
  • Silicon wafers were coated using conventional spin-coating with a solution comprising a hydrogen silsesquioxane polymer and a mixture of organic solvent.
  • the coated wafers were subjected to successive hot plate treatments of 1 minute at 150 °C followed by 1 minute at 180 °C and finally 1 minute at 275 °C. Then, the coated wafers were separated into two sets, one for thermal cure as a control (refer to as thermal cure) and the other one for partial electron beam cure (refer to as partial e-beam cure).
  • the coated wafers in the thermal cure group were thermally cured in a horizontal furnace at 400 °C for 30 minutes under atmospheric pressure with nitrogen flow of 10 liters per minute.
  • the coated wafers in the other group were exposed to electron beam under a condition of partial curing (Energy: 3 KeV; Dose: 3000 ⁇ C/cm ; Temperature: 250 °C; Current: 15 mA and argon gas ambient). Then, one wafer from each group was exposed to 400, 450, 500 and 600 °C, respectively, for 30 minutes in horizontal furnace under atmospheric pressure with nitrogen flow of 10 liters per minute, and then film thickness, shrinkage and FTIR analyses were conducted on these wafers to evaluate thermal stability (Si-H bond retention and film shrinkage). The results are shown in Table 2.
  • Silicon wafers were coated using conventional spin-coating with a solution comprising a methyl silsesquioxane polymer and a mixture of organic solvent.
  • the coated wafers were subjected to successive hot plate treatments of 1 minute at 180 °C followed by 1 minute at 180 °C and finally 1 minute at 250 °C. Then, the coated wafers were separated into three sets, one for thermal cure as a control (refer to as thermal cure), and the other two for partial electron beam cure (referred to as partial e-beam cure 1 and partial e-beam cure 2).
  • the coated wafers in the thermal cure group were thermally cured in a horizontal furnace at 425 °C for 60 minutes under atmospheric pressure with nitrogen flow of 15 liters per minute.
  • the coated wafers in the partial e-beam cure 1 were exposed to electron beam under a condition of Energy: 2 KeV; Dose: 3000 ⁇ C/cm ; Temperature: 250 °C; Current: 15 mA and argon gas ambient.
  • the coated wafers in the partial e-beam cure 2 were exposed to electron beam under the same condition as partial e-beam cure 1 except for the energy which was 3 KeV in this case. Then, all wafers were exposed to oxygen plasma in a Gasonic Aura 1000 stripper tool for 60 seconds under a condition of oxygen flow: 4.5 1/minute; Nitrogen Flow: 0.45 liter/minute; and temperature: about 250 °C.
  • Silicon wafers were coated using conventional spin-coating with a solution comprising either a methyl silsesquioxane polymer and a mixture of organic solvent or a hydrogen silsesquioxane polymer and a mixture of organic solvent.
  • the coated wafers were subjected to successive hot plate treatments followed by either thermal curing, partial e-beam curing or full e-beam curing.
  • Table 4 shows the conditions for this experiment with detailed conditions for bake, thermal cure and e-beam cure.
  • Aluminum dots with thickness of about 1 micron and diameter of 0.5 mm were coated on the top of the coated wafers using a conventional sputtering metal deposition tool. The measured dielectric constant data are given in Table 4.
  • the exposing forms a relatively more hardened topmost portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer.
  • Spin-on low dielectric constant (low-k) polymer films are applied on semiconductor substrates and processed without losing the low dielectric constant feature of the film, utilizing electron beam radiation.

Abstract

A process for the preparation of substrates used in the manufacture of integrated circuits wherein spin-on low dielectric constant (low-k) polymer films are applied on semiconductor substrates. A non-etchback processing of spin-on low-k polymer films, without losing the low dielectric constant feature of the film, especially inbetween metal lines, is achieved utilizing electron beam radiation. A polymeric dielectric film (7, 8) is applied and dried onto a substrate and exposed to electron beam radiation under conditions sufficient to partially cure the dielectric layer. The exposing forms a relatively more hardened topmost portion (8) of the dielectric layer and a relatively less hardened underlying portion (7) of the dielectric layer.

Description

INTEGRATION OF LOW-K POLYMERS INTO INTERLEVEL DIELECTRICS USING CONTROLLED ELECTRON-BEAM RADIATION
CROSS REFERENCE TO RELATED APPLICATION This application claims the benefit of U.S. provisional application serial number 60/041,104, filed March 24, 1997 (pending) which is incorporated herein by reference.
BACKGROUND OF THE INVENTION
FIELD OF THE INVENTION The present invention relates to the preparation of substrates used in the manufacture of integrated circuits. More particularly, the invention relates to spin- on low dielectric constant (low-k) polymer films on semiconductor substrates. The invention provides a method for achieving non-etchback processing of spin- on low-k polymer films and for retaining the low dielectric constant of spin-on polymer films in areas between metal lines on semiconductor substrates, utilizing electron beam radiation in integration of low-k polymer films into interlevel dielectric (ILD) layers of semiconductor devices.
DESCRIPTION OF THE PRIOR ART A continuing trend in semiconductor technology is the formation of integrated circuit (IC) chips having more and faster circuits thereon. Such ultralarge scale integration has resulted in a continued shrinkage of feature sizes with the result that a large number of devices are made available on a single chip. With a limited chip surface area, the interconnect density typically expands above the substrate in a multi-level arrangement and the devices have to be interconnected across these multiple levels. The interconnects must be electrically insulated from each other except where designed to make contact. Usually electrical insulation requires depositing dielectric films onto a surface, for example using a CVD or spinning-on process. The shrinkage in integrated circuit design rules has simultaneously reduced the wiring pitch. These have made the signal propagation delay in the interconnects an appreciable fraction of the total cycle time. The motivation to minimize signal delay has driven extensive studies to develop a low dielectric constant (low-k) material that can be used as interlevel dielectric in integrated circuit (IC) manufacturing. The majority of low-k materials used in the ILD layer are based on thermally cured spin-on organic or inorganic polymers. Although these low-k materials have the desirable low dielectric constant, the integration processes associated with these films are complex, and often require an etchback process followed by capping the dielectric layer using a conventional chemical vapor oxide deposition (CVD). In addition, the dielectric constant of many thermally cured low-k polymer films is not stable and is prone to increase upon exposure to either high temperature encountered in metal deposition, or to oxidative environments such as oxygen plasma used in photoresist stripping. These drawbacks associated with thermally cured spin-on polymer films are often related to their intrinsic features such as low density, susceptibility to moisture absorption, poor thermal stability and susceptibility to oxygen plasma-induced oxidation. Therefore, the integration of many thermally cured spin-on low-k polymers into ILD layers is complex and often requires additional process steps such as etchback and capping. The dielectric constant of many thermally cured low-k polymers is increased during process integration and thus their low-k characteristics are partially or completely degraded upon completing the fabrication of the final semiconductor devices. It is known in the art to use organic polymer dielectrics as the IC interconnect insulator. Such include compositions as polyarylene ethers, fluorinated polyarylene ethers, silsesquioxanes and siloxanes. Examples of other organic polymer dielectrics and articles produced therefrom are disclosed in U.S. patents 5,145,936; 5,108,840; 5,115,082;5,114,780; 5,155,175; 5,179,188; 5,250,667;
5,235,044; 5,173,542; and 5,270,453. In all these cases, the films are deposited by spin-coating onto a semiconductor substrate and then subjected to a full thermal cure.
SUMMARY OF THE INVENTION
The invention provides a process for forming a dielectric film on a substrate which comprises applying and drying a polymeric, dielectric composition layer onto a substrate and exposing the dried layer to electron beam radiation under conditions sufficient to partially cure the dielectric layer and wherein the exposing forms a relatively more hardened topmost portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer.
The invention also provides a process as above and further comprising the steps, before electron beam exposing, of applying a layer of a photoresist onto the at least partially solidified dielectric layer on the substrate, imagewise exposing and developing the photoresist layer to thereby remove a portion of the photoresist layer from the dielectric layer and leaving a portion of the photoresist remaining on the dielectric layer; wherein the part of the dielectric layer underlying the portion of the photoresist remaining on the dielectric layer is exposed to less electron beam radiation than the part of the dielectric layer corresponding to the removed portion of the photoresist layer.
It has now been discovered that the properties of certain spin-on dielectric films used in IC interconnect processing are improved when those films are cured with a controlled electron beam radiation treatment when compared to a thermal cure.
Thus, for example, the invention provides improved methods for achieving non- etchback process for spin-on low-k dielectrics using controlled electron beam radiation processes. The invention also provides improved methods for protecting the underlying dielectric layer from process environment induced degradation, particularly dielectric constant of certain spin-on low-k polymer films using a controlled electron beam radiation process. The invention also provides improved methods for retaining the intrinsic dielectric constant for spin- on polymer films in areas such as in between metal lines using controlled electron beam radiation process.
It has been discovered that if a freshly deposited spin-on dielectric film is exposed to an electron beam radiation under relative low energy conditions, subsequent to standard hotplate treatments, then a "skin" is formed as the outer layer of the film which has been most directly contacted by the electron beam radiation. The thickness of this "skin" can be regulated by the electron beam energy levels, including the time duration of exposure, and the integrated (total) electron beam dosage delivered to the film. Thus, the film can be only lightly cured such that a thin skin of nominally 500-6000 angstroms can be formed, depending on the interlayer dielectric thickness. A continuum of extents of cure for the films can be thus be advantageously used in semiconductor processing. A relatively brief cure in which a skin is formed simultaneously allows retention of the low dielectric constant of the film, attainment of a hardened, oxidation resistant outer layer on the film, and minimization of process time when compared to the electron beam radiation cure of the full thickness of the film. With this process, the original homogeneous dielectric layer can be converted into two distinctive layers. The dielectric layer on the top of metals is significantly modified and thus some of its properties have been significantly changed. This layer has such excellent properties as low or no moisture absorption and low or no degradation upon exposure to high temperature and oxygen plasma environments, and thus a non-etchback process can be achieved without degradation. The underlying dielectric layer, particularly in between- metal lines, retains its intrinsic dielectric properties such as low dielectric constant. The modified top layer protects the underlying dielectric layer and thus the properties of the underlying dielectric is not affected by high temperature and oxygen plasma exposure. In another embodiment of the invention, a non-etchback dielectric process can be employed which eliminates the problem of poison vias caused by residual moisture and carbon-containing residues in the via after etch through dielectric layers. In this process, only the dielectric layer in the region where a photoresist 5 has been developed ,that is, the region on the top of metal lines, will be selectively modified by controlled electron-beam radiation. In this case the controlled e-beam curing depth is dependent on the remaining dielectric thickness on top of metal lines. With this approach the via poisoning can be essentially eliminated while the dielectric between metal lines and the dielectric in interlevel 0 metal lines retain a intrinsically low dielectric constant.
BRIEF DESCRIPTION OF THE DRAWINGS
5 Figure 1 is a schematic representation of one embodiment of the invention showing a non-etchback process for spin-on low-k polymers using controlled electron beam curing.
Figure 2 is a schematic representation of another embodiment of the invention o showing a non-etchback process for spin-on low-k polymers using selective electron beam curing of a dielectric under removed portions of a photoresist layer.
Figure 3a shows FTIR spectra of thermally cured, partially e-beam cured and fully e-beam cured hydrogen silsesquioxane polymer films. 5
Figure 3b shows FTIR spectra of partially e-beam cured hydrogen silsesquioxane polymer films at different e-beam doses.
Figure 4 shows wet etch rates in BOE (50: 1) as a function of depth of film o thickness for hydrogen silsesquioxane films subj ected to various e-beam exposure conditions. Figure 5a shows FTIR spectra for thermally cured hydrogen silsesquioxane polymer films after exposure to 400 °C, 450 °C, 500 °C and 600 °C for 30 minutes respectively.
5
Figure 5b shows FTIR spectra for partially e-beam cured hydrogen silsesquioxane polymer films after exposure to 400 °C, 450 °C, 500 °C and 600 °C for 30 minutes respectively.
l o Figure 6a shows FTIR spectra for thermally cured methyl silsesquioxane polymer films before and after oxygen plasma exposure.
Figure 6b shows FTIR spectra for partially e-beam cured (partial e-beam cure 1) methyl silsesquioxane polymer films before and after oxygen plasma exposure.
15
Figure 6c shows FTIR spectra for partially e-beam cured (partial e-beam cure 2) methyl silsesquioxane polymer films before and after oxygen plasma exposure.
20 DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
A first embodiment of the invention forms a dielectric film on a substrate by applying and drying a polymeric, dielectric composition layer onto a substrate. The dried layer is then exposed to electron beam radiation under conditions sufficient to partially cure the dielectric layer such that a relatively more hardened
25 topmost portion of the dielectric layer forms on a top part of the layer and a relatively less hardened underlying portion of the dielectric layer forms under the top of the layer.
Typically the dielectric compositions are applied onto wafer substrates, which are 30 to be processed into an IC or another microelectronic device. Suitable planar substrates for the present invention non-exclusively include semiconductor materials such as gallium arsenide (GaAs), silicon and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, and silicon dioxide (SiO2) and mixtures thereof, which may or may not have a circuit pattern on their surface.
5
A liquid dielectric layer, preferably a spin-on glass in a suitable solvent is applied to the substrate surface under ambient conditions. The dielectric layer may comprises a silsesquioxane polymer, a siloxane polymer, a polyarylene ether, a fluorinated polyarylene ether, polymeric dielectric materials or mixtures thereof. o Polymeric dielectric materials useful for the invention include hydrogensiloxanes which have the formula [(HSiOi. 5)xOy]n hydrogensilsesquioxanes which have the formula (HSiO], 5)n, and hydroorganosiloxanes which have the formulae
[(HSiO,5)xOy(RSiO,5)z]n, [(HSiO^ RSiO,.^],, and [(HSiO,5)xOy(RSiO,.5)z]n- In each of these polymer formulae, x= about 6 to about 20, y=l to about 3, z= 5 about 6 to about 20, n=l to about 4,000, and each R is independently H, C\ to C8 alkyl or C6 to C12 aryl. The weight average molecular weight may range from about 1 ,000 to about 220,000. In the preferred embodiment n ranges from about 100 to about 800 yielding a molecular weight of from about 5,000 to about 45,000. More preferably, n ranges from about 250 to about 650 yielding a o molecular weight of from about 14,000 to about 36,000. Useful polymers within the context of this invention nonexclusively include hydrogensiloxane, hydrogensilsesquioxane, hydrogenmethylsiloxane, hydrogenethylsiloxane, hydrogenpropylsiloxane, hydrogenbutylsiloxane, hydrogentert-butylsiloxane, hydrogenphenylsiloxane, hydrogenmethylsilsesquioxane, 5 hydrogenethylsilsesquioxane, hydrogenpropylsilsesquioxane, hydrogenbutylsilsesquioxane, hydrogentert-butylsilsesquioxane and hydrogenphenylsilsesquioxane and mixtures thereof. The hydroorganosiloxanes, polyarylene ethers, fluorinated polyarylene ethers and mixtures thereof are preferred. Useful organic polymers include polyimides, fluorinated and o nonfluorinated polymers, in particular fluorinated and nonfiuorinated poly(arylethers) available under the tradename FLARE™ from AlliedSignal Inc., and copolymers mixtures thereof. Preferred siloxane materials suitable for use in this invention are commercially available from AlliedSignal Inc. under the tradename Accuglass . The polymer component is preferably present in an amount of from about 10 % to about 30 % by weight of the composition. A more preferred range is from about 15 % to about 30 % and most preferably from about
17 % to about 25 % by weight of the composition.
The composition then contains a solvent component comprising at least one solvent. Suitable solvents non-exclusively include water and organic solvents in an amount sufficient to form a uniform solution or dispersion of the dielectric material. Examples of useful linear solvents nonexclusively include decamethyltetrasiloxane, 1 ,3-dioctyltetramethyldisiloxane, octamethyltrisiloxane, pentamethyldisiloxane, hexamethyldisiloxane, 1,1,3,3,5,5-hexamethyltrisiloxane, 1 ,1,3,3-tetramethyldisiloxane, l,3-bis-(trimethylsiloxy)-l,3-dimethylsiloxane, bis(trimethylsiloxy)ethylsilane, bis(trimethylsiloxy)methy lsilane, decamethyltetrasiloxane, dodecamethylpentasiloxane, 1,1 ,1,3,3,5,5- heptamethyltrisiloxane, hexaethyldisiloxane, heptamethyltrisiloxane and 1 ,1,3,3- tetraisopropyldisiloxane. Examples of useful cyclic solvents nonexclusively include decamethylcyclopentasiloxane, hexaethylcyclotrisiloxane, hexamethylcyclotrisiloxane, 1 ,3,5,7-tetramethylcyclotetrasiloxane, pentamethylcyclopentasiloxane, octamethylcyclotetrasiloxane, methylhydrocyclosiloxanes of the formula (CH3HSiO)3.5, 1,3,5,7- tetraethylcyclotetrasiloxane and 1,3,5,7-tetramethylcyclotetrasiloxane. It has been found that blends of the solvents of this invention are particularly preferred since by forming such blends one is able to fine tune the evaporation rate of the composition on a substrate. The solvent component is preferably present in the overall composition in an amount of from about 70 % to about 90 % by weight of the composition, more preferably from about 70 % to about 85 % and most preferably from about 75 % to about 83 % by weight of the composition. The dielectric material may be applied to the substrates via conventional spin- coating, dip coating, spraying, or meniscus coating methods which are well- known in the art. Spin coating is most preferred. The thickness of the dielectric film on the substrate may vary depending on the amount of liquid dielectric that 5 is applied to the substrate, but typically the thickness may range from about 500 A to about 50,000 A, and preferably from about 2000 A to about 12000 A. The amount of dielectric liquid applied to the substrate may vary from about 1 ml to about 10 ml, and preferably from about 2 ml to about 8 ml. In the preferred embodiment, the liquid material is spun onto the upper surface the substrate o according to known spin techniques. Preferably, the dielectric is applied from a solution which is centrally applied to the substrate and then spun on a rotating wheel at speeds ranging between about 500 and about 6000 rpm, preferably from about 1500 to about 4000 rpm, for about 5 to about 60 seconds, preferably from about 10 to about 30 seconds, in order to spread the solution evenly across the 5 substrate surface. The dielectric layer preferably has a density of from about 1 g/cm to about 3 g/cm .
After the dielectric material is applied to the substrate, the dielectric-substrate combination is optionally, but preferably heated for a time and at a temperature o sufficient to evaporate solvents present within the dielectric film and partially cure the film. The desired degree of partial curing may be determined by one skilled in the art without undue experimentation. This thermal treatment prior to e-beam exposure is dependent on materials and coating methods. Generally, the dielectric coated substrate is heated at a temperature of from about 50 °C to 5 about 450 °C , more preferably from about 80 °C to about 375 °C for about .5 to about 30 minutes, more preferably from about 1 to about 3 minutes. This is preferably done on a hot plate but may also be done in an oven. In a preferred embodiment, the dielectric is first heated at from about 80 °C to about 180 °C for about 30 seconds to about two minutes, then heated at from about 150 °C to o about 250 °C for about 30 seconds to about two minutes, and heated a third time at from about 180 °C to about 375 °C for from about 30 seconds to about two minutes. The heat treatment of the film partially crosslinks and solidifies and partially planarizes the layer. After the coating is heated, the thickness of the resulting film ranges from about 500 A to about 50,000 A, preferably from about 500 A to about 20,000 A, and most preferably from about 1,000 A to about 12,000 A.
An important feature of the invention is to expose the dried layer to electron beam radiation under conditions sufficient to partially cure the dielectric layer wherein the exposing forms a relatively more hardened topmost skin portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer. For example, a topmost more cured portion of the dielectric layer may have a thickness of from about 200 to about 10,000 angstroms with the underlying balance being less cured or crosslinked. In one case, the topmost portion of the dielectric layer is exposed to the electron beam radiation and the underlying portion of the dielectric layer is essentially not exposed to the electron beam radiation at all at the lowest portion adjacent the substrate. In another case, the underlying portion is subjected to a graduated degree of exposure throughout the layer.
The coated substrate is cured by exposing the surface of the substrate to a flux of electrons. The temperature at which the electron beam exposure is conducted will depend on the desired characteristics of the resulting film and the length of desired processing time. One of ordinary skill in the art can readily optimize the conditions of exposure to get the claimed results but the heating temperature will generally be in the range of from about 50 °C to about 500 °C, preferably from about 150 °C to about 400 °C. Heating may be conducted from about 1 to about 360 minutes, preferably from about 3 minutes to about 30 minutes. In another embodiment, such heating may be done before rather than during the e-beam exposure. The pressure during electron beam curing will range from about 0.1 mtorr to about 100 torr, and preferably from about 1 mtorr to about 100 mtorr.
The period of electron beam exposure will be dependent upon the strength of the beam dosage applied to the substrate and the beam current density. One of ordinary skill in the art can readily optimize the conditions of exposure to get the claimed results, but generally the exposure will range from about 1 minute to about 120 minutes, and preferably from about 3 minutes to about 60 minutes 5 with application of an electron beam dose of about 500 to about 20,000 microcoulombs per square cm, preferably from about 2,000 to about 10,000 microcoulombs per square cm. The accelerating voltage of the electron beam may range from about .5 to about 20 KeV. The dose and energy selected will be proportional to the thickness of the films to be processed. The dielectric coated 0 substrate may be exposed to electron beams in any chamber having a means for providing electron beam radiation to substrates placed therein. In a preferred embodiment, the dielectric coated substrate is placed into a chamber which is commercially available from Electron Vision, a unit of AlliedSignal Inc., under the tradename "ElectronCure"™. The principles of operation and performance 5 characteristics of which are described in U.S. Patent Number 5,001,178, which is incorporated herein by reference. This system has a large area electron source capable of exposing substrates up to 200mm in diameter. Additionally, the electron source is variable energy. The e-beam exposure is preferably conducted while in the presence of a gas selected from the group consisting hydrogen, o helium, argon, nitrogen, oxygen, xenon and their mixtures thereof, and preferably argon, hydrogen, oxygen and mixtures thereof. The selection of the process gas is mainly dependent on materials.
Figure 1 shows a schematic representation of one embodiment of the invention 5 showing a non-etchback process for spin-on low-k polymers using controlled electron beam curing. There is shown a chemically vapor deposited oxide layer 2 which covers metal conductors 4 on a substrate. A dielectric polymer is spin coated onto the oxide and baked until dried. The polymer is exposed to e-beam radiation wherein the e-beam penetration is controlled to be approximately equal to the o thickness 8 of the dielectric film on top of the metal conductors. The underlying region 7 receive little or no e-beam exposure. The dotted line represents the interface between these zones.
The most important parameter among other parameters for the said e-beam curing 5 processes is electron beam energy which determines electron beam penetration depth and thus the curing depth of the film. The desired e-beam curing depth depends on the thickness of the dielectric film retained on the top of metal lines, as shown in Figure 1. If the film density is known, the relationship between e- beam penetration depth (or e-beam curing depth) may be determined according to 0 the Grun equation as following:
R0 = (0.046/d) Va 1.75
0)
where Rg is the range of electrons in the material (μm) or Grun range, d is film s density (g/cm ) and Va is accelerating voltage or electron energy (KeV).
However, the relationship between e-beam curing depth and electron energy can be established by conducting a series of experiments for the specific material under different levels of e-beam energy.
0 The amount of e-beam dose applied to the dielectric film will depend on the desired characteristics of the resulting film. Greater e-beam doses have beneficial effect for those films susceptible to moisture absorption and oxidation. However, greater e-beam dose will result in gradual transition from e-beam cured to non e- beam cured and thus the electron beam penetrate beyond the desired depth of the 5 film due to secondary e-beam scattering. Therefore, the amount of e-beam dose is also preferred to be selected by conducting a series of experiments involving various amounts of doses under the desired e-beam energy level. The exposed dielectric layer preferably has a dielectric constant in the range of from about 2.6 to about 4.0, depending on the selected polymer materials. Preferably the o dielectric constant of the relatively more hardened topmost portion of the dielectric layer is different from the dielectric constant of the relatively less hardened underlying portion of the dielectric layer. The less exposed or non- exposed underlying portion of the dielectric layer preferably will retain its intrinsic dielectric constant, or it may vary slightly. Optionally one may thereafter further heat the dielectric layer under conditions sufficient to remove 5 electron beam exposure induced by-products after the electron beam exposure.
Such may be done at a temperature of from about 50 °C to about 500 °C for from about 1 minute to about 360 minutes, preferably at a temperature of from about 150 °C to about 425 °C for from about 3 minutes to about 60 minutes
0 The invention also provides a method for non-etchback processing of a low dielectric constant intermetal dielectric film comprising applying and drying the dielectric polymer composition to the surface of a semiconductor substrate having conductive lines. A photoresist is applied to the dielectric polymer composition and exposed to actinic radiation and developed in a conventional way, such that 5 the portion of the photoresist directly above conducting metal lines on the device is exposed and removed. The substrate is then subjected to electron beam radiation, thus fully curing only that portion of the dielectric film that is directly above the metal lines. Finally the remaining photoresist is removed using conventional photoresist stripping processes. Figure 2(a) (b) and (c) show a o chemically vapor deposited oxide 2 which covers metal conductors 4 on a substrate.
A dielectric polymer is spin coated onto the oxide and baked until dried. Figure 2(a) shows photoresist 10 applied to the dielectric polymer composition and exposed and developed in a conventional way such that the removed portions of the photoresist are over the metal conductors 4. The polymer is exposed to e- 5 beam radiation where the photoresist is imagewise removed such that the e-beam penetration is controlled to area 8 of the dielectric film on top of the metal conductors. Figure 2(b) shows the structure after e-beam exposure and subsequent stripping away of the entire photoresist layer. The underlying regions 6 have received little or no e-beam exposure. Then vias 12 are formed in the usual way to o form the structure shown in Figure 2(c). Here the remaining photoresist also functions as a mask to prevent electrons from penetrating deeply into the dielectric region 6. Line 5 approximates the electron beam penetration depth profile.
Suitable positive photoresist compositions and methods of their use are described, for examples in United States Patent Nos. 3,666,473; 4,115,128 and 4,173,470.
These include water insoluble, aqueous alkali-soluble resins together with light- sensitive materials. Upon imagewise exposure of desired portions exposed to actinic radiation, the photoresist is rendered alkali soluble and the exposed areas of the coating therefore become more soluble than the unexposed areas. This difference in solubility rates causes the exposed areas of the photoresist coating to be dissolved when the substrate is immersed in an alkaline developing solution while the unexposed areas are largely unaffected, thus producing a positive relief pattern on the substrate. The photoresist coating protects the areas 6 from e-beam exposure.
The following non-limiting examples serve to illustrate the invention.
EXAMPLE 1
Partial E-Beam Curing:
Silicon wafers (substrates) were coated using conventional spin-coating with a solution comprising a hydrogen silsesquioxane polymer and a mixture of organic solvents. The coated wafers were subjected to successive hot plate treatments of 1 minute at 150 °C followed by 1 minute at 180 °C and finally 1 minute at 275 °C. The coated wafers were then exposed to an electron beam in an electron beam exposure tool (ElectronCure 30 M from Electron Vision, a unit of AlliedSignal Inc.) under conditions given in the Table 1. The coated wafers were removed from the electron beam tool and characterized in the following aspects such as retention of Si-H bonding as a function of e-beam energy and e-beam dose using a FTIR analysis method and wet etching rate through film thickness as a function of e-beam energy and e-beam dose. This experiment was designed to verify partial e-beam curing approach (partial e-beam curing means that under such a condition electron beam penetration is restricted to a certain depth of the film which is less than the total film thickness) and its dependence on e-beam energy and e-beam dose.
TABLE 1
E-Beam Exposure Conditions Film Characteristics Wafer Thermal Energy Dose Temp. Current Amb. Si-H Wet Etch Rate ID Treatmen (KeV) μC/cm (°C) (mA) Gas Retent through the Film t Prior 2 . Depth to E- Beam
Bake and
Thermal
Cure as a control
Bake 3 3000 250 15 Argon 69 Wet etch rate rapidly increases from film depth about 1000 A.
Bake 3 7500 250 15 Argon 56 Wet etch rate rapidly increases from film depth about 1000 A.
Bake 5.5 5000 250 15 Argon 22 Uniform throughout the entire thickness of the film
Bake 8 3000 250 15 Argon 27 Uniform throughout the entire thickness of the film
Bake 8 7500 250 15 Argon 10 Uniform throughout the entire thickness of the film
Bake: 1 minute at 150 °C for 1 minute and then at 180 °C for 1 minute at 275 °C. Thermal Cure: 30 minutes at 400 °C under atmospheric pressure with nitrogen flow of 15 liters per minute. The Si-H peak at a wavenumber of about 2265 cm"1 from FTIR spectra is a characteristic peak for the hydrogen silsesquioxane polymer. Electron beam exposure can decompose the Si-H bonding and drive the hydrogen to diffuse out from the polymer film. Also, electron beam exposure will density the polymer film. This will modify the hydrogen silsesquioxane film to become more similar to silicon dioxide (SiO2) in chemical structures as well as in physical properties. The penetration depth of energized electrons (or Grun Range) is dependent on the energy level of electrons and the material density, and for a given material the electron penetration depth is exclusively dependent on the energy level of electrons. In reference to the results given in Table 1 and Figures 3(a), 3 (b) and
4. The retention of Si-H bonding for e-beam exposure at energy of 3 KeV is as high as 56-69% of the thermally-cured film, indicating that the electron penetration depth was much less than the film thickness (about 1/3 of the film thickness). However, for the e-beam energy of 5.5 and 8 KeV, the peak of Si-H bonding has been significantly reduced, indicating the entire layer of the polymer film was exposed to the electron beam. Also, as shown in Figure 4, for e-beam exposure at 3 KeV, the wet etching rate is relatively uniform up to film thickness of about 1000 A, and then it dramatically increases with the depth of the film thickness. This also indicates that the topmost 1000 A thickness layer of this film is harder than the rest part of the film. For the films exposed to higher energy, the wet etch rate throughout the entire thickness is relatively uniform. In addition, it is clearly seen that the influence of e-beam dose on the e-beam curing depth is not as significant as the e-beam energy.
EXAMPLE 2
Thermal Stability
Silicon wafers were coated using conventional spin-coating with a solution comprising a hydrogen silsesquioxane polymer and a mixture of organic solvent.
The coated wafers were subjected to successive hot plate treatments of 1 minute at 150 °C followed by 1 minute at 180 °C and finally 1 minute at 275 °C. Then, the coated wafers were separated into two sets, one for thermal cure as a control (refer to as thermal cure) and the other one for partial electron beam cure (refer to as partial e-beam cure). The coated wafers in the thermal cure group were thermally cured in a horizontal furnace at 400 °C for 30 minutes under atmospheric pressure with nitrogen flow of 10 liters per minute. The coated wafers in the other group were exposed to electron beam under a condition of partial curing (Energy: 3 KeV; Dose: 3000 μC/cm ; Temperature: 250 °C; Current: 15 mA and argon gas ambient). Then, one wafer from each group was exposed to 400, 450, 500 and 600 °C, respectively, for 30 minutes in horizontal furnace under atmospheric pressure with nitrogen flow of 10 liters per minute, and then film thickness, shrinkage and FTIR analyses were conducted on these wafers to evaluate thermal stability (Si-H bond retention and film shrinkage). The results are shown in Table 2.
TABLE 2
Figure imgf000021_0001
As shown in Table 2 and Figure 5 (a), and 5 (b), for thermally-cured film, the retention of Si-H bonds decreases rapidly with increasing temperature of thermal exposure, and the film shrinkage significantly increases with temperature. However, for the partial e-beam cure film, the retention of Si-H bonds is much higher and the shrinkage is much lower than the former case for given thermal exposure temperature. These results indicate that partial e-beam cure significantly enhanced the thermal stability, at least up to 500 °C. In current IC manufacturing practices pertaining to backend processing, the temperature involved is rarely higher than 450 °C. Such an improvement in thermal stability of this polymer film by partial e-beam exposure would lead to significant benefit to manufacturing easiness, reliability, yield and hence manufacturing cost.
EXAMPLE 3
Oxygen Plasma Resistance
Silicon wafers were coated using conventional spin-coating with a solution comprising a methyl silsesquioxane polymer and a mixture of organic solvent.
The coated wafers were subjected to successive hot plate treatments of 1 minute at 180 °C followed by 1 minute at 180 °C and finally 1 minute at 250 °C. Then, the coated wafers were separated into three sets, one for thermal cure as a control (refer to as thermal cure), and the other two for partial electron beam cure (referred to as partial e-beam cure 1 and partial e-beam cure 2). The coated wafers in the thermal cure group were thermally cured in a horizontal furnace at 425 °C for 60 minutes under atmospheric pressure with nitrogen flow of 15 liters per minute. The coated wafers in the partial e-beam cure 1 were exposed to electron beam under a condition of Energy: 2 KeV; Dose: 3000 μC/cm ; Temperature: 250 °C; Current: 15 mA and argon gas ambient. The coated wafers in the partial e-beam cure 2 were exposed to electron beam under the same condition as partial e-beam cure 1 except for the energy which was 3 KeV in this case. Then, all wafers were exposed to oxygen plasma in a Gasonic Aura 1000 stripper tool for 60 seconds under a condition of oxygen flow: 4.5 1/minute; Nitrogen Flow: 0.45 liter/minute; and temperature: about 250 °C. Then, FTIR analysis for the retention of Si-C (wavenumber ~ 1280 cm'1) and C-H (wavenumber ~ 2970 cm" ) bonds, and FTIR analysis for water or silanol (Si-OH) absorption, and film shrinkage were characterized. The results are shown in Table 3 and Figures 6 (a) - (c). TABLE 3
Curing Method Si-C C-H Si-OH or Film Retention (%)Retention (%) H2O (%) Shrinkage (%)
Thermal Cure 19 34 0.59 17.6
Partial E-Beam 101 100 0.10 1.2 Cure l
Partial E-Beam 102 100 0.13 -1.4 Cure 2
As shown in Table 3 and Figures 6 (a) - (c), for the thermally-cured methyl silsesquioxane film the content of Si-C and C-H was significantly reduced along with significant absorption of water and/or silanol, and a large film shrinkage upon oxygen-plasma exposure, indicating the film is very susceptible to oxygen plasma. On the contrary to this, for the e-beam cured films, both Si-C and C-H bonds retained 100 % with little film shrinkage and water and/or silanol absorption. Partially electron beam treatment greatly enhanced oxygen plasma resistance of the film.
EXAMPLE 4
Dielectric Constant
Silicon wafers were coated using conventional spin-coating with a solution comprising either a methyl silsesquioxane polymer and a mixture of organic solvent or a hydrogen silsesquioxane polymer and a mixture of organic solvent. The coated wafers were subjected to successive hot plate treatments followed by either thermal curing, partial e-beam curing or full e-beam curing. Table 4 shows the conditions for this experiment with detailed conditions for bake, thermal cure and e-beam cure. Aluminum dots with thickness of about 1 micron and diameter of 0.5 mm were coated on the top of the coated wafers using a conventional sputtering metal deposition tool. The measured dielectric constant data are given in Table 4.
TABLE 4
Baking Method Curing Method Dielectric
ID Constant
1 Methyl Bake0 Thermal Cure ° 2.81
Silsesquioxane
2 Methyl Bake0 Partial E-Beam 2.92
Silsesquioxane Cure
3 Methyl Bake0 Full E-Beam ° 3.69
Silsesquioxane Cure
4 Hydrogen Bake2) Thermal Cure 2) 2.71
Silsesquioxane
5 Hydrogen Bake2) Partial E-Beam 2.73
Silsesquioxane Cure
6 Hydrogen Bake2) Full E-Beam ) 3.64
Silsesquioxane Cure
Bake0: 1 minute at 180°C followed by 1 minute at 180°C, and 1 minute at
250 °C.
Bake2): 1 minute at 150°C followed by 1 minute at 180°C, and 1 minute at
275 °C.
Thermal Cure . 60 minutes at 425 °C in nitrogen flow of 15 liters per minute.
Thermal Cure . 30 minutes at 400 °C in nitrogen flow of 10 liters per minute.
Partial E-Beam Cure: Energy: 2 KeV; Dose: 3000 μC/cm ; Temperature:
250°C; Current: 15 mA; and Argon Gas.
Full E-Beam Cure0: Energy: 5 KeV; Dose: 8000 μC/cm2; Temperature: 400°C; Current: 15 mA; and Argon Gas Ambient
Full E-Beam Cure ' Energy: 5.5 KeV; Dose: 7500 μC/cm ; Temperature:
250°C; Current: 15 mA; and Argon Gas Ambient
As one can see, the exposing forms a relatively more hardened topmost portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer. Spin-on low dielectric constant (low-k) polymer films are applied on semiconductor substrates and processed without losing the low dielectric constant feature of the film, utilizing electron beam radiation.

Claims

What is claimed is:
1. A process for forming a dielectric film on a substrate which comprises applying and drying a polymeric, dielectric composition layer onto a substrate and exposing the dried layer to electron beam radiation under conditions sufficient to partially cure the dielectric layer and wherein the exposing forms a relatively more hardened topmost portion of the dielectric layer and a relatively less hardened underlying portion of the dielectric layer.
2. The process of claim 1 wherein the dielectric composition layer comprises a silsesquioxane polymer, a siloxane polymer, a polyimide, a polyarylene ether, a fluorinated polyarylene ether or mixtures thereof.
3. The process of claim 1 wherein the dielectric layer has a thickness of from about 500 to about 50,000 angstroms.
4. The process of claim 1 wherein the dielectric constant of the relatively more hardened topmost portion of the dielectric layer is different from the dielectric constant of the relatively less hardened underlying portion of the dielectric layer.
5. The process of claim 1 wherein the topmost portion of the dielectric layer is exposed to the electron beam radiation and the underlying portion of the dielectric layer is not exposed to the electron beam radiation.
6. The process of claim 1 wherein the dielectric layer has a thickness of from about 500 to about 50,000 angstroms and topmost portion of the dielectric layer has a thickness of from about 200 to about 10,000 angstroms.
7. The process of claim 1 wherein the substrate comprises a semiconductor material.
8. The process of claim 1 wherein the dielectric composition layer comprises at least one polymer having a formula selected from the group consisting of [(HSiO1.5)xOy]n,(HSiO1.5)n, [(HSiO^OyCRSiO^J,,, [(HSiO1 5)x(RSiO,.5)y]n and [(HSiOj 5)xOy(RSiO1 5)z]n wherein x= about 6 to about 20, y=l to about 3, z= about 6 to about 20, n=l to about 4,000, and each R is independently H, C{ to C8 alkyl or C6 to C12 aryl.
5
9. The process of claim 1 wherein the dielectric composition layer comprises hydrogen silsesquioxane or methyl silsesquioxane.
10. The process of claim 1 further comprising the step of heating the dielectric o layer under conditions sufficient to form an at least partially solidified dielectric layer on the substrate either prior to or during the electron beam exposing.
11. The process of claim 10 wherein the heating is conducted at a temperature of from about 25 ┬░C to about 500 ┬░C for from about 1 to about 360 minutes. 5
12. The process of claim 10 further comprising the steps, before electron beam exposing, of applying a layer of a photoresist onto the at least partially solidified dielectric layer on the substrate, imagewise exposing and developing the photoresist layer to thereby remove a portion of the photoresist layer from the 0 dielectric layer and leaving a portion of the photoresist remaining on the dielectric layer; wherein the part of the dielectric layer underlying the portion of the photoresist remaining on the dielectric layer is exposed to less electron beam radiation than the part of the dielectric layer corresponding to the removed portion of the photoresist layer. 5
13. The process of claim 10 wherein the electron beam exposing forms a substantially uniformly hardened dielectric layer.
14. The process of claim 12 further comprising the subsequent step of removing o the portion of the photoresist remaining on the dielectric layer.
15. The process of claim 12 further comprising the subsequent step of forming a via through the part of the dielectric layer corresponding to the removed portion of the photoresist layer to the substrate.
16. The process of claim 15 wherein the portion of the substrate underlying the via comprises an electrically conductive material.
17. The process of claim 1 wherein the electron beam exposing is conducted while in the presence of a gas selected from the group consisting of hydrogen, helium, oxygen, argon, nitrogen, xenon and mixtures thereof.
18. The process of claim 1 wherein the electron beam exposing is conducted at a temperature of from about 25 ┬░C to about 500 ┬░C.
19. The process of claim 1 wherein the exposed dielectric layer has a dielectric constant in the range of from about 2.6 to about 4.0.
20. The process of claim 1 wherein the dielectric layer has a density of from about 1 g/cm to about 3 g/cm .
21. The process of claim 1 wherein the electron beam exposing is conducted at an energy level of from about .5 KeV to about 20 KeV.
22. The process of claim 1 wherein the electron beam exposing is conducted at an electron dose of from about 500 ╬╝C/cm to about 20,000 ╬╝C/cm .
23. The process of claim 1 further comprising the step of heating the dielectric layer under conditions sufficient to remove electron beam exposure induced byproducts after the electron beam exposure.
24. The process of claim 23 wherein the heating is conducted at a temperature of from about 50 ┬░C to about 500 ┬░C for from about 1 to about 360 minutes.
PCT/US1998/004560 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation WO1998043294A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
IL13201798A IL132017A0 (en) 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
DE69836009T DE69836009D1 (en) 1997-03-24 1998-03-09 INTEGRATION OF LOW-K POLYMERS IN DIELECTRIC INTERMEDIATE LAYERS USING CONTROLLED ELECTRON BEAMS
JP54573798A JP3276963B2 (en) 1997-03-24 1998-03-09 Method of forming dielectric film on substrate
KR10-1999-7008771A KR100477455B1 (en) 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
CA002284760A CA2284760A1 (en) 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
EP98911525A EP0970519B1 (en) 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US4110497P 1997-03-24 1997-03-24
US60/041,104 1997-03-24
US09/028,465 US6080526A (en) 1997-03-24 1998-02-24 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US09/028,465 1998-02-24

Publications (1)

Publication Number Publication Date
WO1998043294A1 true WO1998043294A1 (en) 1998-10-01

Family

ID=26703725

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/004560 WO1998043294A1 (en) 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation

Country Status (9)

Country Link
US (1) US6080526A (en)
EP (1) EP0970519B1 (en)
JP (1) JP3276963B2 (en)
KR (1) KR100477455B1 (en)
CN (1) CN1113408C (en)
CA (1) CA2284760A1 (en)
DE (1) DE69836009D1 (en)
IL (1) IL132017A0 (en)
WO (1) WO1998043294A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999036953A1 (en) * 1998-01-20 1999-07-22 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
WO2000055902A1 (en) * 1999-03-17 2000-09-21 Electron Vision Corporation Electron beam process during damascene processing
WO2001048805A1 (en) * 1999-12-29 2001-07-05 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
WO2001048554A1 (en) * 1999-12-28 2001-07-05 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
JP2003502845A (en) * 1999-06-11 2003-01-21 エレクトロン ビジョン コーポレーション Method of treating thin films using electron beam treatment before chemical vapor deposition
US6790792B2 (en) 1999-01-08 2004-09-14 Dow Global Technologies Inc. Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US7473567B2 (en) 2006-03-30 2009-01-06 Tokyo Electron Limited Change rate prediction method, storage medium, and substrate processing system
US8642488B2 (en) 2005-11-09 2014-02-04 Tokyo Electron Limited Multi-step system and method for curing a dielectric film

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US8021976B2 (en) 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
US6361837B2 (en) 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6495478B1 (en) * 1999-06-21 2002-12-17 Taiwan Semiconductor Manufacturing Company Reduction of shrinkage of poly(arylene ether) for low-K IMD
US20060263531A1 (en) * 2003-12-18 2006-11-23 Lichtenhan Joseph D Polyhedral oligomeric silsesquioxanes as glass forming coatings
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6291302B1 (en) * 2000-01-14 2001-09-18 Advanced Micro Devices, Inc. Selective laser anneal process using highly reflective aluminum mask
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP4368498B2 (en) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 Semiconductor device, semiconductor wafer and manufacturing method thereof
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6465361B1 (en) * 2001-02-20 2002-10-15 Advanced Micro Devices, Inc. Method for preventing damage of low-k dielectrics during patterning
US6951707B2 (en) * 2001-03-08 2005-10-04 Ppg Industries Ohio, Inc. Process for creating vias for circuit assemblies
US7000313B2 (en) * 2001-03-08 2006-02-21 Ppg Industries Ohio, Inc. Process for fabricating circuit assemblies using electrodepositable dielectric coating compositions
US6589711B1 (en) 2001-04-04 2003-07-08 Advanced Micro Devices, Inc. Dual inlaid process using a bilayer resist
US6458691B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Dual inlaid process using an imaging layer to protect via from poisoning
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6824959B2 (en) * 2002-06-27 2004-11-30 Ppg Industries Ohio, Inc. Process for creating holes in polymeric substrates
US20060213685A1 (en) * 2002-06-27 2006-09-28 Wang Alan E Single or multi-layer printed circuit board with improved edge via design
JP2005531160A (en) * 2002-06-27 2005-10-13 ピーピージー インダストリーズ オハイオ, インコーポレイテッド Single or multi-layer printed circuit board having recessed or expanded breakaway tabs and method for manufacturing the same
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
CN1302524C (en) * 2002-09-27 2007-02-28 上海华虹(集团)有限公司 Wet resist removing process after silk etching
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6972217B1 (en) * 2002-12-23 2005-12-06 Lsi Logic Corporation Low k polymer E-beam printable mechanical support
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
SG149034A1 (en) * 2003-12-18 2009-01-29 Hybrid Plastics Inc Polyhedral oligomeric silsesquioxanes and metallized polyhedral oligomeric silsesquioxanes as coatings, composites and additives
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7075093B2 (en) 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7259381B2 (en) * 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
US7588803B2 (en) * 2005-02-01 2009-09-15 Applied Materials, Inc. Multi step ebeam process for modifying dielectric materials
JP2006253577A (en) * 2005-03-14 2006-09-21 Fuji Photo Film Co Ltd Insulating film, its manufacturing method and device having same
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
US9558930B2 (en) 2014-08-13 2017-01-31 International Business Machines Corporation Mixed lithography approach for e-beam and optical exposure using HSQ
US10304720B2 (en) 2016-07-15 2019-05-28 Brewer Science, Inc. Laser ablative dielectric material

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
EP0701277A2 (en) * 1994-05-27 1996-03-13 Texas Instruments Incorporated Interconnection method using a porous insulator for line to line capacitance reduction
WO1997000535A1 (en) * 1995-06-15 1997-01-03 Alliedsignal Inc. Electron-beam processed films for microelectronics structures

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222792A (en) * 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
US4503126A (en) * 1982-08-18 1985-03-05 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4435441A (en) * 1982-12-30 1984-03-06 The United States Of America As Represented By The Secretary Of The Army Method of frequency trimming surface acoustic wave devices
US4661193A (en) * 1984-08-27 1987-04-28 The Dow Chemical Company Adhesive compositions for arylcyclobutene monomeric compositions
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5141970A (en) * 1990-12-10 1992-08-25 Loctite (Ireland) Limited Method of forming high-temperature resistant polymers
US5262392A (en) * 1991-07-15 1993-11-16 Eastman Kodak Company Method for patterning metallo-organic percursor film and method for producing a patterned ceramic film and film products
US5229172A (en) * 1993-01-19 1993-07-20 Medtronic, Inc. Modification of polymeric surface by graft polymerization
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5545475A (en) * 1994-09-20 1996-08-13 W. L. Gore & Associates Microfiber-reinforced porous polymer film and a method for manufacturing the same and composites made thereof
US5609925A (en) * 1995-12-04 1997-03-11 Dow Corning Corporation Curing hydrogen silsesquioxane resin with an electron beam
US5789140A (en) * 1996-04-25 1998-08-04 Fujitsu Limited Method of forming a pattern or via structure utilizing supplemental electron beam exposure and development to remove image residue
US5763049A (en) * 1996-04-30 1998-06-09 Minnesota Mining And Manufacturing Company Formed ultra-flexible retroreflective cube-corner composite sheeting with target optical properties and method for making same
US5883212A (en) * 1996-05-08 1999-03-16 Rexam Graphics, Inc. Conductivity exaltation in radiation cured electrically conductive coatings
US5916641A (en) * 1996-08-01 1999-06-29 Loctite (Ireland) Limited Method of forming a monolayer of particles
DE59707198D1 (en) * 1996-08-15 2002-06-13 Alcan Tech & Man Ag Reflector with a resistant surface
US5863963A (en) * 1996-08-29 1999-01-26 Xerox Corporation Halomethylated high performance curable polymers
US5707681A (en) * 1997-02-07 1998-01-13 Dow Corning Corporation Method of producing coatings on electronic substrates
US5843537A (en) * 1997-03-07 1998-12-01 Quantum Corporation Insulator cure process for giant magnetoresistive heads
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
EP0701277A2 (en) * 1994-05-27 1996-03-13 Texas Instruments Incorporated Interconnection method using a porous insulator for line to line capacitance reduction
WO1997000535A1 (en) * 1995-06-15 1997-01-03 Alliedsignal Inc. Electron-beam processed films for microelectronics structures

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
WO1999036953A1 (en) * 1998-01-20 1999-07-22 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6790792B2 (en) 1999-01-08 2004-09-14 Dow Global Technologies Inc. Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
WO2000055902A1 (en) * 1999-03-17 2000-09-21 Electron Vision Corporation Electron beam process during damascene processing
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6831005B1 (en) 1999-03-17 2004-12-14 Allied Signal, Inc. Electron beam process during damascene processing
JP2003502845A (en) * 1999-06-11 2003-01-21 エレクトロン ビジョン コーポレーション Method of treating thin films using electron beam treatment before chemical vapor deposition
WO2001048554A1 (en) * 1999-12-28 2001-07-05 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
JP2003518767A (en) * 1999-12-29 2003-06-10 エレクトロン ビジョン コーポレーション Method for curing a spin-on dielectric coating using electron beam radiation
WO2001048805A1 (en) * 1999-12-29 2001-07-05 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
KR100773305B1 (en) 1999-12-29 2007-11-06 일랙트론 비젼 코포레이션 Method for curing spin-on dielectric films utilizing electron beam radiation
US8642488B2 (en) 2005-11-09 2014-02-04 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US9184047B2 (en) 2005-11-09 2015-11-10 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US9443725B2 (en) 2005-11-09 2016-09-13 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US10068765B2 (en) 2005-11-09 2018-09-04 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7473567B2 (en) 2006-03-30 2009-01-06 Tokyo Electron Limited Change rate prediction method, storage medium, and substrate processing system

Also Published As

Publication number Publication date
KR100477455B1 (en) 2005-03-23
JP2000511006A (en) 2000-08-22
KR20010005703A (en) 2001-01-15
US6080526A (en) 2000-06-27
EP0970519B1 (en) 2006-09-27
DE69836009D1 (en) 2006-11-09
IL132017A0 (en) 2001-03-19
CN1113408C (en) 2003-07-02
CN1257610A (en) 2000-06-21
JP3276963B2 (en) 2002-04-22
EP0970519A1 (en) 2000-01-12
CA2284760A1 (en) 1998-10-01

Similar Documents

Publication Publication Date Title
US6080526A (en) Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6504247B2 (en) Integrated having a self-aligned Cu diffusion barrier
US6818552B2 (en) Method for eliminating reaction between photoresist and OSG
US6831005B1 (en) Electron beam process during damascene processing
US6214748B1 (en) Semiconductor device and method for the fabrication thereof
JP4667165B2 (en) Manufacturing method of semiconductor device
US6177143B1 (en) Electron beam treatment of siloxane resins
US20020123240A1 (en) Electronic device manufacture
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
WO2000075979A1 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
WO2003061002A1 (en) Integration scheme for advanced beol metallization including low-k capping layer and method thereof
US6191183B1 (en) Method for the formation of silica thin films
JPH09241518A (en) Resin composition and method for forming multilayer interconnection
TW409283B (en) Integration of LOW-K polymers into interlevel dielectrics using controlled electron-beam radiation
AU2002232816A1 (en) Method for eliminating reaction between photoresist and organosilicate glass

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 132017

Country of ref document: IL

Ref document number: 98805439.6

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): CA CN IL JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2284760

Country of ref document: CA

Ref document number: 2284760

Country of ref document: CA

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1019997008771

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 1998 545737

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1998911525

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1998911525

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1019997008771

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1019997008771

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1998911525

Country of ref document: EP