WO1999027581A1 - CHEMICAL MECHANICAL POLISHING OF FeRAM CAPACITORS - Google Patents

CHEMICAL MECHANICAL POLISHING OF FeRAM CAPACITORS Download PDF

Info

Publication number
WO1999027581A1
WO1999027581A1 PCT/US1998/024569 US9824569W WO9927581A1 WO 1999027581 A1 WO1999027581 A1 WO 1999027581A1 US 9824569 W US9824569 W US 9824569W WO 9927581 A1 WO9927581 A1 WO 9927581A1
Authority
WO
WIPO (PCT)
Prior art keywords
process according
layer
capacitor
group
noble metal
Prior art date
Application number
PCT/US1998/024569
Other languages
French (fr)
Inventor
Peter C. Van Buskirk
Peter S. Kirlin
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Priority to JP2000522624A priority Critical patent/JP2001524755A/en
Priority to AU15893/99A priority patent/AU1589399A/en
Priority to EP98960248A priority patent/EP1040518A4/en
Publication of WO1999027581A1 publication Critical patent/WO1999027581A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate

Definitions

  • the present invention relates to a chemical mechanical polishing (CMP) method having applicability to the manufacture of ferroelectric random access memory capacitors.
  • CMP chemical mechanical polishing
  • capacitor over plug is also known as a stack capacitor configuration.
  • a barrier layer is needed to prevent oxidation of the plug and _ diffusion of the plug material (p-Si or W) through the noble metal bottom electrode.
  • trench capacitor An alternative to the stack capacitor is a trench capacitor, which utilizes an enhanced surface area capacitor on the walls of a trench that is etched directly into doped silicon.
  • the bottom electrode contact is not to a conductive plug (p-Si or W), but to the conductive substrate itself, and the requirements for the barrier are similar to the stack configuration.
  • the memory cell's transistors are formed on the surface of wafer adjacent to the top of the trench capacitor.
  • Ferroelectric capacitors planarized using chemical mechanical polishing are also more versatile for monolithic integration of ferroelectric memory or dynamic random access memory (DRAM) with logic IC ("embedded memory”), since the 4-6 levels of metal needed for logic IC's places additional demands on the planarity of the underlying structures, compounded by the need for surface flatness in high resolution microhthography, in order to stay within the aligner's specified depth of focus.
  • DRAM dynamic random access memory
  • logic IC embedded memory
  • the polishing pad is presoaked and continually rewet with a slurry consisting of various particles suspended in a solution.
  • the polishing particles range in size from 30 to 1100 nanometers.
  • the suspension solution generally comprises a diluted base or acid for polishing oxide and metals respectively.
  • the wafers go through a post-CMP clean process in which the residual slurry ground oxide/metal particles, and other potential containments are removed.
  • DI deionized
  • CMP oxide and metal (tungsten) plug planarization.
  • the two most essential components of the CMP process are the slurry and polishing pad.
  • the polishing pad generally a polyurethane-based material, performs two primary functions.
  • the polymeric foam cell walls of the pad air in removal of reaction products at the wafer surface and the pores within the pad assist in supplying slurry to the pad/wafer interface.
  • Several "peculiarities" were originally noticed in the material removal rate (RR) as a function of time, pressure, and velocity. Many CMP users noticed that the RR decreased tremendously as high throughput processes were attempted. Research showed that deformation of the pad resulted from the brittle, hard surface of the IC surfaces.
  • the asperity of the pads was found to decline due to pad layer removal.
  • the conditioner was composed of a base material (metal), a diamond grit (for cutting), and a grit-bonding material (Ni plating). The plating bonded the diamond grit to the base material. The conditioner then effectively removed the top layer of the pad until excess, non-desired particles were removed and the nominal surface characteristics of the pad were present.
  • the physical bonding of the diamonds and base material ruptured relatively easily during the conditioning process.
  • New methods of bonding were pursued as well as enhanced post-conditioning cleaning.
  • the additional strength made grid detachment less prominent.
  • the new bonding method allowed a factor of ten more wafers to be polished with the same conditioning pads as compared to the number that were able to be polished with the physically grit-bonded pads.
  • Pad conditioning plays a larger role in planarization of oxide than in planarization of metals since metals tend to have a higher degree of hardness in relation to the pad material.
  • the slurry composition used in CMP comprises abrasive particles suspended in a solvent, or solution. Key factors in the effectiveness of the slurry include particle size and shape, solution pH, weight percent solids of the slurry, the quantity of the slurry delivered, and the reaction time involved.
  • Oxide slurries are usually KOH- or NH4OH-based with a fumed silica abrasive and a high pH.
  • Metal slurries are relatively new and largely experimental, yet the most common are ferric nitrate with an alumina abrasive and low pH.
  • This equation shows the base portion of the entire, repetitive chemical structure (. . . -Si-O-Si-Si-O-Si . . ., OH-Si-. . .-Si-OH) for simplicity.
  • the reaction at the interface primarily occurs between molecules on the surface of the wafer and the silica particles in the slurry, since water has a low diffusivity in silicon oxide. Increasing the temperature directly increases the removal rate since the diffusivity of the water rises (specifically the diffusion constant of water in oxide).
  • the most effective pH levels for oxide planarization lie between 9.7 and 11.4.
  • Typical slurries incorporate an oxidizer or naturally dissolved oxygen additives to adjust pH levels, and either alumina or colloidal silica abrasives.
  • the oxidizer changes the oxidation state of the metal and consequently produces metal ions.
  • the top oxidized metal layer is more brittle and easily removed with the embedded abrasive particles. If the oxidation potential is too aggressive or the resulting metal compound too soluble, metal corrosion can occur as a result of wet etching. Alloys, galvanic actions, and precise oxidation states (oxidizers) are employed to slow down wet etching and limit the metal corrosion.
  • KOH-based slurries introduce a larger quantity of 2000 Angstrom particles than do the NH4OH slurries. That difference translates into a higher probability of scratches (e.g., up to 7 times greater, according to some studies) on the wafer surface when using KOH slurries.
  • NH4OH slurries also produce a lower concentration of mobile ions than KOH-based slurries, and leave residual films that are easier to remove than the residue from KOH slurries.
  • KOH-base slurries afford advantages over NH4OH slurries.
  • No ammonia smell exists when using KOH slurries KOH slurries are less prone to settle in cleaning tanks and CMP machines, and KOH slurries are more stabile in terms of pH, and less temperature dependent than NH4OH slurries.
  • CMP has revolutionized global planarization technology, some significant problems exist.
  • One of the major difficulties is in-situ measuring of the amount of material removed form the wafers surface. Due to inaccurate models, many results of CMP machines are difficult to reproduce and the machines themselves do not exhibit the ability for precise process control. This also leads to difficulty in analyzing feedback, or using in-situ measurements, to make adequate and appropriate process alterations to alleviate process complications.
  • Some CMP slurry analyzers have been designed to measure and detect particle sizes in order to ascertain the abrasive characteristics of slurries more accurately.
  • a few endpoint detection devices like a stylus profiler, have been developed to monitor removal rates as well. Such efforts will air in more precisely controlling the entire CMP process, but the analysis techniques and instruments have not been developed to a state of high commercial precision.
  • CMP is the focus of substantial development effort, but in essence it continues to comprise the simple input operations of: 1. reaction of an exposed layer of material (e.g., an insulating inorganic metal oxide and/or noble metal) to produce a wafer-adhered material whose hardness is less than the hardness of the abrasive and whose adhesion to the substrate is less than the original pre-reaction layer; and
  • an exposed layer of material e.g., an insulating inorganic metal oxide and/or noble metal
  • Illustrative CMP slurry compositions for insulating inorganic metal oxides include the compositions set out below:
  • the art has directed improvements to alkali-containing solutions via aqueous or alcohol solutions of fluorinated silicon oxide particles, specifically the use of H 2 SiF 6 .
  • the slurry composition comprises AI2O3 and/or Si ⁇ 2 aqueous solution.
  • Oxidizing agent (with reduction potential, Eo, greater than IN):
  • H2O2 35 vol.% or greater nitrates, chromates, permanganates, O3 and F
  • the slurry composition comprises AI2O3 and or Si ⁇ 2 aqueous solution.
  • Halogenated or psuedohalogenated material in inert atmosphere: POCI3, SOCI2 100% or combined with a dry solvent
  • the slurry comprises a non-aqueous halogenated or pseudohalogenated reactant, and preferably includes a liquid organic ligand precursor (e.g., cyclic, acyclic, polycyclic, or aromatic compounds) which upon reaction with the halogenated or pseudohalogenated material form a metal-organic coordination complex which is heterocyclic.
  • a liquid organic ligand precursor e.g., cyclic, acyclic, polycyclic, or aromatic compounds
  • dishing or polishing flaws particularly with the CMP of soft metals such as Al, Cu or Ag, have been mediated in part by storage/delivery of a polishing agent slurry at reduced temperatures where flocculation or precipitation of the slurry is minimized.
  • agitation of the storage tank for the polishing media to inhibit flocculation
  • temperature and velocity control of the polishing wheel/surface have been shown to improve CMP homogeneity.
  • the CMP pad wears at an exponential rate during its initial use and then wears linearly with time. Further, the CMP pad does not remove material uniformly as the pad continues in use. These factors make it difficult to maintain an acceptable removal rate and uniformity in the CMP operation.
  • Individual spatial dimensions of the top electrode/ferroelectric material/bottom electrode (TE/FE/BE) capacitor in a typical FeRAM are on the order of 100 nm. In order to minimize damage/inhomogeneity during the CMP of this layered structure, the maximum abrasive particle size should be much less than the minimum feature size in the device.
  • the present invention utilizes chemical mechanical polishing to delineate ferroelectric or high permittivity capacitors in geometries that are only limited by the resolution of the lithography and the conformality of thin film deposition processes, meaning that 0.18 ⁇ m or 0.12 ⁇ m structures are possible without dry etching the noble metals or ferroelectric layer.
  • Capacitors of this type have the advantage of having significant contribution of sidewall area, without the need for separate patterning steps for the top and bottom electrode, thus the method of the invention has large economic advantages. Additionally, the approach of the present invention has inherent advantages to protect the plug-barrier-bottom electrode interface from exposure to oxidation.
  • the present invention for deposition of unpatterned barrier layer, electrodes and ferroelectric film, followed by planarization using CMP, applies to stack capacitor geometry as well as trench capacitor geometry.
  • the invention relates to a method of fabricating a ferroelectric capacitor structure by sequentially depositing a bottom electrode layer, a ferroelectric layer and a top electrode layer on a base structure, optionally with deposition of a layer of a conductive barrier material beneath the bottom electrode layer, and optionally with deposition of a dielectric buffer layer on the top electrode, to form a capacitor precursor structure, and planarizing the capacitor precursor structure by chemical mechanical polishing to yield the ferroelectric capacitor structure, e.g., a stack capacitor or a trench capacitor.
  • the fabrication process of the invention is carried out without dry etching of the electrode layers or dry etching of the ferroelectric layer, and the resulting ferroelectric capacitor may have a feature size below about .035 ⁇ m, with the lower limit for feature size being determined by the step coverate achievable by the constituent layers and by other physical limits associated with small dimensions in the constituent layers.
  • the optional dielectric buffer layer formed on the top electrode serves as a buffer for the top electrode during the subsequent chemical mechanical polishing processes.
  • the dielectric buffer layer will also serve to relax thickness and depth tolerances on the capacitor layers.
  • the method of the present invention provides a capacitor delineation process that patterns all constituent layers of the capacitor structure - bottom electrode layer, the ferroelectric or high permittivity layer, the top electrode layer, and the optional conductive barrier layer on the top electrode surface, and the optional dielectric buffer layer deposited on the top electrode - in a single CMP process, thereby eliminating the need for any patterning of electrodes or ferroelectric layers by conventional RLE or other dry etching, and creating a planarized surface appropriate for subsequent metallization layers.
  • the resulting process of the invention is extremely cost-effective for manufacturing ferroelectric capacitors or other capacitors of similar type.
  • the process of the invention utilizes an adhesion/barrier layer which serves advantageously as an etch stop, a feature not found in the prior art of which we are aware.
  • the process of the invention contemplates a CMP polishing operation which is suitable for removing both bottom electrode and ferroelectric material layers, either sequentially, with temporal segregation of the respective layer removal steps during polishing, or by use of an appropriate slurry material to effect sequential and then simultaneous removal of the different layer types.
  • the CMP process of the present invention may be carried out in a process flow advantageously including CVD formation of some or all of the capacitor-forming layers.
  • Ferroelectric materials typically containing Pb or Bi as material components, require different and more complex structures (relative to DRAM IC integration) to keep the ferroelectric material layers of capacitor structures from contacting Si ⁇ 2 and other materials that are chemically reactive therewith.
  • Figures 1 through 8 show a schematic process flow for patterning sub-micron ferroelectric capacitors in a stack capacitor configuration using CMP in accordance with the present invention, with CVD of the ferroelectric, electrode and barrier layers being desirable for feature sizes below 0.35 ⁇ m.
  • Figures 9 through 16 show a schematic process flow for forming sub-micron ferroelectric capacitors in a trench capacitor configuration using CMP in accordance with the invention, with CVD of the ferroelectric, electrode and barrier layers being desirable for feature sizes below 0.35 ⁇ m or for high aspect ratios.
  • the barrier layer as employed in the method of the present invention has five primary advantages, as discussed below.
  • the capacitor recess is required to be taper etched to allow adequate sidewall coverage of the ruthenium film using sputtering.
  • CVD may be used to deposit at least one, and preferably all, of the layers comprising the capacitor - the barrier layer, the electrode layers, and the dielectric (ferroelectric or high e) layers.
  • the use of chemical vapor deposition obviates the necessity for taper etched sidewalls.
  • a barrier-adhesion layer is required, and by using such a layer a broader range of processing conditions (high temperature and oxidation) may be accessed during post annealing of the capacitor structure without formation of an insulating oxide between the bottom electrode and the conductive plug, which is typically needed to improve electrical leakage characteristics and in the case of FeRAMs, ferroelectric properties.
  • the barrier layer In addition to the limited ranges for post-processing that are posed by absence of a barrier layer, the barrier layer also typically improves adhesion between the bottom electrode layer and the surfaces of the bottom electrode recess, which is typically formed of Si ⁇ 2- Use of an adhesion-promoting barrier layer as in the method of the present invention therefore results in excellent mechanical robustness, as required for chemical mechanical planarization of the structure here considered.
  • the barrier/adhesion layer also promotes chemical robustness, which is lacking in the prior art due to the absence of such layer. While the structure described in Koyama et al. may work for some dielectrics such as BST, other dielectrics such as PZT (PbZrTi ⁇ 3) and SBT (SrBi2Ta2 ⁇ 9) have highly reactive components (Pb and Bi, respectively) and unacceptable chemical reactions will take place if such layers are brought into contact with Si ⁇ 2 as in the present invention.
  • the barrier-adhesion layer therefore serves to prevent contact between such chemically interactive materials, and it maintains the chemical integrity of the layers until they are removed in the regions over the isolation oxide by the polishing process.
  • the barrier layer can also serve as a polishing stop layer if the CMP formulation used to remove the electrode layers and the ferroelectric layer is designed to have very low removal rates for the barrier layer. In such manner the uniformity of the polishing process across the entire wafer will be precisely controlled such that overetching in some areas will be prevented. In that case the barrier-adhesion layer that is used as a polishing stop layer is subsequently removed using an appropriate dry etch, or alternatively by a different CMP process.
  • Fazan et al. U.S. Patent 5,392,189 describes the formation of a bottom electrode using CMP in a geometry similar to that of the above-discussed Koyama et al. reference. Fazan et al. carry out planarization to delineate a bottom electrode. Unlike Koyama et al. who teach that the high e layer and top electrode remain unpatterned, Fazan et al. teach that the high e layer and top electrode layer are patterned using conventional RLE methods.
  • the present invention provides a much more economical and elegant process flow, which does not require use of poorly developed dry etches for the ferroelectric and noble metal electrodes, which is all that is currently available for metals such as Pt,
  • Fazan et al. also describe a planar capacitor bottom electrode that is formed using CMP, with the shortcomings already discussed. In addition to the use of conventional patterning of the top electrodes and high e layers, Fazan et al. limit their disclosed structure to the use of non-oxidizable metals such as Pt.
  • the present invention does not have such limitation, and conductive oxides such as Ir ⁇ 2 and Rh ⁇ 2, either singly or alloyed with noble metals, or in a multilayer "hybrid" configuration with noble metals. Fazan et al. also does not employ a continuous barrier layer formed all along the inside and adjacent surfaces of the capacitor recess, and for such reason suffers disadvantages already described herein.
  • the present invention by its use of a barrier layer provides robust chemical and mechanical integrity, and a polishing stop layer which can subsequently be removed.
  • U.S. Patent 5,318,927 to Sandhu, et al. describes a broad variety of polishing slurry formulations useful for CMP of insulating inorganic oxide materials such as BST, PZT, etc., and such formulations may advantageously be employed in the practice of the invention.
  • Other slurry formulations which may be advantageously used in the practice of the present invention include the high purity fine metal oxide aqueous slurry compositions described in Matthew Neville, et al. U.S. Patent 5,527,423 issued June 18, 1996.
  • the disclosures of the Sandhu et al. And the Neville et al. Patents are hereby incorporated herein by reference in their entirety.
  • Figures 1 through 8 schematically show a process flow for the invention as it applies to a stack capacitor.
  • the steps shown in the drawings for Figures 1 through 8, are described below with reference to the following correspondingly identified sequence of process steps A through H, respectively.
  • a substrate 10 (typically formed of silicon, although other substrate materials of construction, such as GaAs, are possible) is provided with transistors and other circuitry (not shown) already fabricated below the wafer surface 12, according to conventional fabrication techniques.
  • isolation dielectric such as Si ⁇ 2, Si3N4, B-doped Si ⁇ 2, P-doped Si ⁇ 2, B and P-doped Si ⁇ 2, low dielectric constant organic insulators, SiO x F v , B-doped SiO x F y
  • Vias 16 to the Si circuitry are opened using photolithography and dry-etching, a plug 18 of suitable material, such as doped silicon, doped for example with boron, phosphorus or arsenic, e.g., to form n-Si or p-Si, or tungsten, is formed in the vias using CVD, and the surface 20 is planarized using dry etching or CMP.
  • suitable material such as doped silicon, doped for example with boron, phosphorus or arsenic, e.g., to form n-Si or p-Si, or tungsten
  • capacitor recesses 24 are formed in the surface of the insulating layer 22 using photolithography and dry etching.
  • the top surface of the conductive plug 26 may then be sputter etched or heat treated to ensure a clean surface prior to conductive barrier deposition in step D.
  • the shape of the capacitor recess 24 will generally be engineered to minimize sharp angles at corners of the three dimensional capacitor structure, in order to prevent cracking of the layers (which could cause short circuits) or irregular microstructure, thickness non-uniformities, etc.
  • An optimum shape may therefore be circular or alternatively polygonal with rounded corners, and the edge at the recess bottom 25 will be rounded using techniques known to those skilled in reactive ion etching (RIE) of materials.
  • RIE reactive ion etching
  • slope sidewalls may be used to improve the sidewall coverage of the capacitor layers 28, 30, 32 and 34, especially if they are deposited by non-CVD techniques such as sputtering or vacuum evaporation.
  • the conducting and insulating layers that will comprise the capacitor layers are deposited in step D ( Figure 4).
  • the layers include (in the sequence they would be deposited in): an optional conductive barrier layer 28, the bottom electrode 30 (BE), the ferroelectric layer 32 (FE) and the top electrode 34 (TE).
  • the conductive barrier layer may be TaWN, TaN, TiWN, TaSiN, TaAIN, NbN, ZrN, TaTiN, TiN, TiSiN, TiAIN, IrO3, SiC or any other material suitable to withstand oxidation and prevent diffusion of Si.
  • the conductive barrier layer 28 may be employed to provide a polishing stop layer which is subsequently removed by CMP or dry etching methods.
  • the BE and TE layers are typically noble metals such as Pt or Ir, or alloys of similar materials, but such layers may be generally formed of any suitable materials such as noble metals, noble metal/n on -noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non- noble metal multilayers.
  • TE need not be a noble metal, since it does not need to withstand the highly oxidizing deposition environment.
  • the FE layer material is typically PZT or SBT, but it may be bismuth titanate or some other ferroelectric thin film composition. (Alternatively it may be a similar multicomponent oxide such as BST for high capacitance, or LaCaMn ⁇ 3 for magnetoresistance, etc.)
  • Other FE materials such as derivatives of the foregoing FE species formed by covalent or non-covalent substitutions in the aforementioned materials, may also be employed.
  • the barrier must cover the sidewall in order to make good adhesion between the BE and the capacitor recess dielectric, because excellent mechanical robustness is needed for the polishing in step E.
  • the BE must cover the sidewall to achieve adequate thickness for electrical continuity if the sidewall part of the structure is to be used for the chosen application.
  • the dielectric must have adequate sidewall coverage to obtain uniform electrical properties in the capacitor, and to prevent short-circuiting between the TE and BE. For geometries > 0.5 ⁇ m, the conductive layers can be deposited using sputtering, which results in adequate sidewall coverage.
  • CVD of the conductive layers may be needed.
  • other highly conformal deposition methods such as ionized PVD, collimated sputtering, low pressure PVD or electroplating may be used instead of CVD.
  • CVD of the FE layer will be needed to prevent shorting between the TE and BE, although there may be cases where the FE can be sputtered with satisfactory results.
  • a dielectric buffer layer 35 may be deposited to serve as a buffer for the top electrode during the subsequent CMP processes, as shown in step E.
  • the dielectric buffer layer will also serve to relax thickness and depth tolerances on the capacitor layers 28, 30, 32, and 34 and the capacitor recess, respectively.
  • the capacitor recess may have depth of 0.5 ⁇ m, and the capacitor layers may have total thickness of 0.35 ⁇ m, top and bottom electrodes 0.1 ⁇ m each, and the ferroelectric layer 0.1 ⁇ m.)
  • the dielectric buffer layer will then be deposited with thickness > 0.15 ⁇ m. In such manner the CMP process may be terminated before the top electrode is exposed to the polishing process (except at its edges), and damage that could result from the polishing directly on the majority of the top electrode surface will be avoided.
  • the majority of the capacitor layer structure 28, 30, 32, and 34, will also be shielded from any post-CMP cleaning operations that are employed.
  • the dielectric buffer layer may be composed of Si ⁇ 2, B-doped Si ⁇ 2,
  • the recess geometry is its aspect ratio (depth/width). Larger aspect ratios (e.g., >l/3) may be used in order to utilize the sidewall contribution of the three dimensional capacitor, in cases where the electrical polarization (signal) due to the bottom of the capacitor is not large enough to be recognized reliably by the readout circuit. In general, that will be the case for high levels of memory density, such as > 16Mb. Smaller values of aspect ratio ( ⁇ 1/3) will be used when sufficient capacitor area (and electrical polarization) is achieved with only the bottom layer of the three dimensional capacitor.
  • step E the film stack is planarized using CMP which forms the three dimensional capacitors in the capacitor recesses.
  • the CMP process is comprised of mechanical polishing with a slurry that typically contains an abrasive, typically a metal oxide, metal carbide, or metal nitride, that is harder than the materials being removed, such as silica, alumina, ceria, etc., with chemical additives present to promote selective removal of the barrier TE, FE and BE. It may be required to sequentially apply two or more separate CMP processes (or slurries) for removal of the different materials in the capacitor, i.e., Pt may require a different CMP process than SBT, for example.
  • a post-CMP anneal in an oxidizing environment may be carried out if required to remove physical or chemical damage to the ferroelectric film that was subjected to mechanical and chemical agitation during its partial removal by CMP.
  • the CMP process may at least partially remove the buffer layer 35, and such layer is omitted in the subsequent process for ease of illustration and description.
  • Step F involves sequentially depositing an insulating passivation layer 36 and an inter-level dielectric (ILD) 38 over the capacitors that were formed in the previous step. This insulating passivation layer is deposited using ILD.
  • the passivation layer may for example be Ti ⁇ 2, Hf ⁇ 2, Nb2 ⁇ 5, Zr ⁇ 2, Ta2 ⁇ 5, Si3N4 and mixtures thereof, or other similar dielectric materials that include mixtures of those materials too.
  • the ILD is formed of a material such as Si ⁇ 2, Si3N4, B-doped Si ⁇ 2, P-doped
  • Si ⁇ 2, B and P-doped Si ⁇ 2, low dielectric constant organic insulators, SiO x F y , B- doped SiO x Fy, P-doped SiO x Fy, and B and P-doped SiO x Fy, wherein x + y 2, etc., and is deposited using CVD, sputtering or wet deposition methods.
  • step G vias 40 are opened in the barrier layer and ILD using photolithography and dry etching.
  • a diffusion barrier 42 of a material such as Ta, TaN, TiN, WN or other suitable material is deposited using CVD or sputtering, and metallization 44 is deposited over that barrier, in order to conformally fill the via.
  • the metallization may be either CVD-applied W or Al that is sputtered at high temperatures or reflowed, or Cu, or Al-Cu alloys, or other conductive materials.
  • the metallization is then patterned using photolithography and dry etching. Alternatively, such metallization may be patterned using damascene or dual damascene processes, which are well known to those skilled in the art.
  • Figures 9 through 16 show a process flow for the method of the invention as it applies to a trench capacitor. The steps shown in Figures 9 through 16 are described below with reference to the correspondingly identified sequence of steps A through H.
  • the substrate may be p-type, with no transistors fabricated in it at the time of capacitor formation.
  • step B Figure 10
  • capacitor recesses 102 are formed in the surface of the Si using photolithography and dry-etching.
  • the conducting and insulating layers that will comprise the capacitor layers are deposited.
  • the layers include (in the sequence they are deposited in): a conductive barrier layer 104, the bottom electrode (BE) 106, the ferroelectric layer (FE) 108, and the top electrode (TE) layer 110.
  • the barrier may be TiN, TiSiN, TiAIN, Ir ⁇ 2, SiC or some other material suitable to withstand oxidation and prevent diffusion of Si.
  • the BE and TE layers are typically noble metals such as Pt or Ir, or alloys of similar materials, but such layers may be generally formed of any suitable materials such as noble metals, noble metal/non- noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non-metal multilayers.
  • the TE layer need not be formed of a noble metal, since such layer does not need to withstand the highly oxidizing deposition environment.
  • the FE layer is typically formed of PZT or SBT, but it may be alternatively formed of bismuth titanate or some other ferroelectric thin film material, or alternatively it may be a similar multicomponent oxide such as BST for high capacitance, or LaCaMnO3 for magnetoresistance, etc.
  • Other FE materials such as derivatives of the foregoing FE species formed by covalent or non- covalent substitutions in the aforementioned materials, may also be advantageously employed.
  • Sidewall coverage issues are similar to those described above, and the high aspect ratio (depth/width) of trenches in the Si substrate make CVD highly preferred for fabricating all the capacitor-forming layers.
  • step D the film stack is planarized using CMP which forms the three dimensional capacitor in the capacitor recesses.
  • the CMP process is comprised of mechanical polishing with a slurry that containing an abrasive, typically a metal oxide, metal carbide, or metal nitride, such as silica, alumina, ceria, etc., that is harder than the materials being removed, with chemical additives present to promote selective removal of the barrier, TE, FE and BE. It may be required to sequentially apply more than one CMP process, and to post anneal the capacitor structure in an oxidizing environment, as described above.
  • an abrasive typically a metal oxide, metal carbide, or metal nitride, such as silica, alumina, ceria, etc.
  • an insulating passivation layer 112 and an inter-level dielectric (ILD) layer 114 are sequentially deposited over the capacitors that were formed in the previous step, as described above. Vias then are opened (step 14; Figure 14) in the barrier comprising the insulating passivation layer and ILD layer using photolithography and dry etching.
  • a diffusion barrier layer 116 of a material such as Ta, TaN, TiN, WN or another suitable material is deposited using CVD or sputtering.
  • step G the barrier layer deposited previously is patterned using CMP or dry etching, or alternatively a damascene or dual damascene process may be employed for such patterning.
  • Vias 120 and 122 are opened to doped regions 124 and 126, respectively, formed previously (step H; Figure 16), and p-Si or some other suitable conductor 128 is deposited and patterned to form part of the circuitry for the memory cell.
  • Dielectric isolation material 130 is then formed over the patterned p-Si word lines and plate, vias 132 are opened to the transfer transistor (doped Si regions) and the bit line 134 is formed by deposition and patterning of Al, or some other suitable conductor, with suitable barrier layers at the Si interface.
  • the present invention provides a chemical mechanical polishing method useful for delineating ferroelectric or high permittivity capacitors, such as stacked capacitor for trench capacitor structures.
  • the methodology of the invention achieves a substantial advance in the art, in that the planarized microelectronic structures are produced without dry etching of electrode layers or the ferroelectric layer. Accordingly, microelectronic structures such as ferroelectric capacitors, having a very small feature size, e.g., on the order of 0.10 to 0.20 micrometers, can be efficiently fabricated.

Abstract

A method of fabricating a ferroelectric capacitor structure by sequentially depositing a bottom electrode layer (30), a ferroelectric layer (32) and a top electrode layer (34) on a base structure, optionally with deposition of a layer of a conductive barrier material (28) beneath the bottom electrode layer, to form a capacitor precursor structure, and planarizing the capacitor precursor structure by chemical mechanical polishing to yield the ferroelectric capacitor structure, e.g., a stack capacitor or trench capacitor. The process is carried out without dry etching of the electrode layers or dry etching of the ferroelectric layer, to yield ferroelectric capacitors having a very small feature size, as for example between 0.10 and 0.20 νm.

Description

CHEMICAL MECHANICAL POLISHING OF FeRAM CAPACITORS
DESCRIPTION
Field of the Invention The present invention relates to a chemical mechanical polishing (CMP) method having applicability to the manufacture of ferroelectric random access memory capacitors.
Description of the Related Art There is currently a major effort in semiconductor companies, worldwide, to commercialize high dielectric constant and ferroelectric thin films in advanced DRAMs and ferroelectric random access memoπes (FeRAMs), respectively. These materials include BaSrTiθ3 (BST) for DRAMs and PbZrTiθ3 (PZT) and SrBi2Ti2θ9 (SBT) for FeRAMs.
It is well known that these materials require electrodes made from noble metals or noble metal alloys such as Pt, Ir, Irθ2, Pt-Ru. etc., and sub-micron patterning of both the noble metals and the ferroelectric films is very difficult because of the absence of volatile products for the elemental constituents. State-of-the-art dry etching processes for Pt and Ir are known to have fundamental difficulties due to the predominantly physical (not chemical) mechanism for material removal, resulting in formation of unwanted structures (sometimes called "ears") at the edges of the electrodes.
Besides the difficulties in patterning sub-micron capacitors of this type, for high memory density it is also important to fabricate the capacitors directly over a conductive plug to contact transistors, in order to reduce the area of the memory cell. This geometry (capacitor over plug) is also known as a stack capacitor configuration. For the conventionally employed materials, e.g., polysilicon or tungsten for the plug, a barrier layer is needed to prevent oxidation of the plug and _ diffusion of the plug material (p-Si or W) through the noble metal bottom electrode. To overcome such problems, it is desirable to use geometric means to protect the plug/barrier/electrode interfaces from exposure to oxidation.
An alternative to the stack capacitor is a trench capacitor, which utilizes an enhanced surface area capacitor on the walls of a trench that is etched directly into doped silicon. In such trench capacitors, the bottom electrode contact is not to a conductive plug (p-Si or W), but to the conductive substrate itself, and the requirements for the barrier are similar to the stack configuration. In trench capacitor architecture, the memory cell's transistors are formed on the surface of wafer adjacent to the top of the trench capacitor.
Ferroelectric capacitors planarized using chemical mechanical polishing are also more versatile for monolithic integration of ferroelectric memory or dynamic random access memory (DRAM) with logic IC ("embedded memory"), since the 4-6 levels of metal needed for logic IC's places additional demands on the planarity of the underlying structures, compounded by the need for surface flatness in high resolution microhthography, in order to stay within the aligner's specified depth of focus.
An additional constraint is economic. It is essential to minimize the number of processing steps as much as possible, and to achieve the highest possible yield for IC devices that are manufactured. By way of background to the ensuing description of the present invention, a description is set out below of machines used in conventional CMP process operations, polishing pads and slurry compositions used in chemical mechanical processing.
Three types of mechanical, rotating actions are typically employed in conventional CMP machines. One such type has a rotating wafer carrier. Another revolves the abrasive pad. A third type spins both the wafer carrier and the abrasive pad simultaneously. The polishing pad is presoaked and continually rewet with a slurry consisting of various particles suspended in a solution. The polishing particles range in size from 30 to 1100 nanometers. The suspension solution generally comprises a diluted base or acid for polishing oxide and metals respectively. Upon completion of the planarization, the wafers go through a post-CMP clean process in which the residual slurry ground oxide/metal particles, and other potential containments are removed. Most IC manufacturers use a combination of deionized (DI) water rinses and nitrogen air drying to accomplish the post-CMP decontamination.
The two most common uses of CMP are oxide and metal (tungsten) plug planarization. The two most essential components of the CMP process are the slurry and polishing pad.
The polishing pad, generally a polyurethane-based material, performs two primary functions. The polymeric foam cell walls of the pad air in removal of reaction products at the wafer surface and the pores within the pad assist in supplying slurry to the pad/wafer interface. Progressively more research efforts have focused on further understanding empirical results of the intimate contact between the pad and the pre-planarization surface. Several "peculiarities" were originally noticed in the material removal rate (RR) as a function of time, pressure, and velocity. Many CMP users noticed that the RR decreased tremendously as high throughput processes were attempted. Research showed that deformation of the pad resulted from the brittle, hard surface of the IC surfaces. The asperity of the pads, or surface roughness due to the type of pad material, the density of "pockets," and abrasive characteristics, was found to decline due to pad layer removal. To alleviate this problem, called "glazing," the pad was conditioned after an experimentally determined amount of time, or wafer runs. The conditioner was composed of a base material (metal), a diamond grit (for cutting), and a grit-bonding material (Ni plating). The plating bonded the diamond grit to the base material. The conditioner then effectively removed the top layer of the pad until excess, non-desired particles were removed and the nominal surface characteristics of the pad were present. Although this approach allowed the same pads to be used for an extended amount of time, it also resulted in other complications.
Specifically, the physical bonding of the diamonds and base material ruptured relatively easily during the conditioning process. New methods of bonding were pursued as well as enhanced post-conditioning cleaning. When the diamonds were chemically bonded to the base material, the additional strength made grid detachment less prominent. The new bonding method allowed a factor of ten more wafers to be polished with the same conditioning pads as compared to the number that were able to be polished with the physically grit-bonded pads. Pad conditioning plays a larger role in planarization of oxide than in planarization of metals since metals tend to have a higher degree of hardness in relation to the pad material.
To aid in transporting slurry to the pad/wafer interface, new pad structures have been designed. Karaki-Doy and other developers have designed polishing pads with continuous grooves in concentric ellipses across the entire pad. This structure was found to deliver the slurry more uniformly to the interface and to augmented the amount of debris removal resulting from the CMP process. Most conventional pads consist of "pockets" within the polyurethane which are prone to clogging due to residual debris discharged during the process. Consequently, Karaki-Doy and other developers placed the grooves in the surface of the pad, and noticed an increase longevity in the conditioning-to-conditioning life of their pads over common types of pads.
Another key element in the amenability of the pad to planarize uniformly is the IC device density and relative layer heights (critical dimensions, CD) of the structure undergoing CMP. CMP tends to polish smaller, individual features faster than larger, more densely packed features. The oxide removal rate over features 15 mm in width is 60-80% greater than the oxide removal rate over features four time that width. Denser or larger features tend to distribute applied load pressure over a larger area than smaller features. Since the removal rate and pressure are directly related in the direct contact mode, the removal rate decreases since the effective, local pressure decrease. The same principles apply when adjacent layers have a larger height contrast. "Taller" features will be planarized quicker, depending on other dimensions and the proximity to other devices. The foregoing factors add complexity to IC design. If IC manufacturing processes require CMP procedures, device dimensions and density are critical and require close scrutiny. The slurry composition used in CMP comprises abrasive particles suspended in a solvent, or solution. Key factors in the effectiveness of the slurry include particle size and shape, solution pH, weight percent solids of the slurry, the quantity of the slurry delivered, and the reaction time involved.
The purpose of the slurry is simple, yet understanding and modeling all the mechanical and chemical reactions involved is extremely difficult. Essentially, the surface of the material being polished is chemically altered to a softer composition which is then mechanically removed by the pad and slurry abrasives. Thus, the slurry provides both chemical and mechanical forces in the CMP process. Oxide slurries are usually KOH- or NH4OH-based with a fumed silica abrasive and a high pH. Metal slurries are relatively new and largely experimental, yet the most common are ferric nitrate with an alumina abrasive and low pH. Some polysilicon and polyimide slurries exist, but are still in the prototype and developmental stages.
To date, most of the research devoted to development of slurry compositions has focused on oxide slurries instead of metal slurries. Due the numerous desirable characteristics of tungsten plugs, more attention is being directed to development of selective metal slurries. IBM has developed metal slurries with a tungsten: oxide selectivity of 120:1. This type of planarization is essential to the fabrication of multilevel metals and interlevel connects. Ideally the slurries investigated will produce high removal rates, high selectivity, local uniformity, and good planarity. Since "perfect" slurries do not currently exist, inevitable tradeoffs have made in evolving acceptable commercial slurry formulations. Due to the chemical nature of CMP, various studies have evaluated the influence of differing amounts of slurry introduced at the wafer/pad interface. In the case of oxide slurries, it is believed that the water in the solution reacts with the silicon oxide in the reaction as follows
(-Si-O-Si-) + H2O =>2 (-Si-OH)
This equation shows the base portion of the entire, repetitive chemical structure (. . . -Si-O-Si-Si-O-Si . . ., OH-Si-. . .-Si-OH) for simplicity. The reaction at the interface primarily occurs between molecules on the surface of the wafer and the silica particles in the slurry, since water has a low diffusivity in silicon oxide. Increasing the temperature directly increases the removal rate since the diffusivity of the water rises (specifically the diffusion constant of water in oxide). The most effective pH levels for oxide planarization lie between 9.7 and 11.4.
In the case of metal slurries, the composition is even more critical. Typical slurries incorporate an oxidizer or naturally dissolved oxygen additives to adjust pH levels, and either alumina or colloidal silica abrasives. The oxidizer changes the oxidation state of the metal and consequently produces metal ions. The top oxidized metal layer is more brittle and easily removed with the embedded abrasive particles. If the oxidation potential is too aggressive or the resulting metal compound too soluble, metal corrosion can occur as a result of wet etching. Alloys, galvanic actions, and precise oxidation states (oxidizers) are employed to slow down wet etching and limit the metal corrosion.
Two other key issues relating to the choice of slurries deal with post-CMP clean up and the introduction of mobile ions to the wafer. Depending on the chemical reaction, oxide slurries can introduce various contaminations to the wafers surface. In terms of particle sizes, KOH-based slurries introduce a larger quantity of 2000 Angstrom particles than do the NH4OH slurries. That difference translates into a higher probability of scratches (e.g., up to 7 times greater, according to some studies) on the wafer surface when using KOH slurries. NH4OH slurries also produce a lower concentration of mobile ions than KOH-based slurries, and leave residual films that are easier to remove than the residue from KOH slurries. Environmentally, however, KOH-base slurries afford advantages over NH4OH slurries. No ammonia smell exists when using KOH slurries, KOH slurries are less prone to settle in cleaning tanks and CMP machines, and KOH slurries are more stabile in terms of pH, and less temperature dependent than NH4OH slurries.
Although CMP has revolutionized global planarization technology, some significant problems exist. One of the major difficulties is in-situ measuring of the amount of material removed form the wafers surface. Due to inaccurate models, many results of CMP machines are difficult to reproduce and the machines themselves do not exhibit the ability for precise process control. This also leads to difficulty in analyzing feedback, or using in-situ measurements, to make adequate and appropriate process alterations to alleviate process complications. Some CMP slurry analyzers have been designed to measure and detect particle sizes in order to ascertain the abrasive characteristics of slurries more accurately. A few endpoint detection devices, like a stylus profiler, have been developed to monitor removal rates as well. Such efforts will air in more precisely controlling the entire CMP process, but the analysis techniques and instruments have not been developed to a state of high commercial precision.
Thus, commercial CMP is the focus of substantial development effort, but in essence it continues to comprise the simple input operations of: 1. reaction of an exposed layer of material (e.g., an insulating inorganic metal oxide and/or noble metal) to produce a wafer-adhered material whose hardness is less than the hardness of the abrasive and whose adhesion to the substrate is less than the original pre-reaction layer; and
2. removal from the substrate of the aforementioned reaction product material using a polishing slurry (abrasive medium).
Illustrative CMP slurry compositions (by principal reaction type) for insulating inorganic metal oxides include the compositions set out below:
A. Acidic or basic aqueous solution:
HC 1 , H SO4 0.01 M or greater KOH, HaOH, NH4OH 0.01 M or greater
The art has directed improvements to alkali-containing solutions via aqueous or alcohol solutions of fluorinated silicon oxide particles, specifically the use of H2SiF6.
The slurry composition comprises AI2O3 and/or Siθ2 aqueous solution.
B. Oxidizing agent (with reduction potential, Eo, greater than IN):
H2O2 35 vol.% or greater nitrates, chromates, permanganates, O3 and F
The slurry composition comprises AI2O3 and or Siθ2 aqueous solution. Halogenated or psuedohalogenated material (in inert atmosphere): POCI3, SOCI2 100% or combined with a dry solvent
(solvents: toluene, ethers, ketones, DMSO, etc.) P(SCN)3, (SCN)2, S(SCN)2, Hg(NCS)2, 20-l00vol.%
Hg(NCO) , AgNCO, CH3NCO, C6H5NCO, BrCN
The slurry comprises a non-aqueous halogenated or pseudohalogenated reactant, and preferably includes a liquid organic ligand precursor (e.g., cyclic, acyclic, polycyclic, or aromatic compounds) which upon reaction with the halogenated or pseudohalogenated material form a metal-organic coordination complex which is heterocyclic.
The occurrence of dishing or polishing flaws, particularly with the CMP of soft metals such as Al, Cu or Ag, have been mediated in part by storage/delivery of a polishing agent slurry at reduced temperatures where flocculation or precipitation of the slurry is minimized. In addition, agitation of the storage tank for the polishing media (to inhibit flocculation), as well as temperature and velocity control of the polishing wheel/surface have been shown to improve CMP homogeneity.
The CMP pad wears at an exponential rate during its initial use and then wears linearly with time. Further, the CMP pad does not remove material uniformly as the pad continues in use. These factors make it difficult to maintain an acceptable removal rate and uniformity in the CMP operation. Individual spatial dimensions of the top electrode/ferroelectric material/bottom electrode (TE/FE/BE) capacitor in a typical FeRAM are on the order of 100 nm. In order to minimize damage/inhomogeneity during the CMP of this layered structure, the maximum abrasive particle size should be much less than the minimum feature size in the device.
The art continues to seek improvements in the CMP process and in the fabrication of ferroelectric devices such as FeRAMs.
SUMMARY OF THE INVENTION
The present invention utilizes chemical mechanical polishing to delineate ferroelectric or high permittivity capacitors in geometries that are only limited by the resolution of the lithography and the conformality of thin film deposition processes, meaning that 0.18μm or 0.12μm structures are possible without dry etching the noble metals or ferroelectric layer. Capacitors of this type have the advantage of having significant contribution of sidewall area, without the need for separate patterning steps for the top and bottom electrode, thus the method of the invention has large economic advantages. Additionally, the approach of the present invention has inherent advantages to protect the plug-barrier-bottom electrode interface from exposure to oxidation.
The present invention for deposition of unpatterned barrier layer, electrodes and ferroelectric film, followed by planarization using CMP, applies to stack capacitor geometry as well as trench capacitor geometry. In one aspect, the invention relates to a method of fabricating a ferroelectric capacitor structure by sequentially depositing a bottom electrode layer, a ferroelectric layer and a top electrode layer on a base structure, optionally with deposition of a layer of a conductive barrier material beneath the bottom electrode layer, and optionally with deposition of a dielectric buffer layer on the top electrode, to form a capacitor precursor structure, and planarizing the capacitor precursor structure by chemical mechanical polishing to yield the ferroelectric capacitor structure, e.g., a stack capacitor or a trench capacitor. The fabrication process of the invention is carried out without dry etching of the electrode layers or dry etching of the ferroelectric layer, and the resulting ferroelectric capacitor may have a feature size below about .035 μm, with the lower limit for feature size being determined by the step coverate achievable by the constituent layers and by other physical limits associated with small dimensions in the constituent layers. The optional dielectric buffer layer formed on the top electrode serves as a buffer for the top electrode during the subsequent chemical mechanical polishing processes. The dielectric buffer layer will also serve to relax thickness and depth tolerances on the capacitor layers.
In contrast to prior techniques for forming capacitor structures using ferroelectric materials, the method of the present invention provides a capacitor delineation process that patterns all constituent layers of the capacitor structure - bottom electrode layer, the ferroelectric or high permittivity layer, the top electrode layer, and the optional conductive barrier layer on the top electrode surface, and the optional dielectric buffer layer deposited on the top electrode - in a single CMP process, thereby eliminating the need for any patterning of electrodes or ferroelectric layers by conventional RLE or other dry etching, and creating a planarized surface appropriate for subsequent metallization layers. The resulting process of the invention is extremely cost-effective for manufacturing ferroelectric capacitors or other capacitors of similar type.
Further, the process of the invention utilizes an adhesion/barrier layer which serves advantageously as an etch stop, a feature not found in the prior art of which we are aware.
Additionally, the process of the invention contemplates a CMP polishing operation which is suitable for removing both bottom electrode and ferroelectric material layers, either sequentially, with temporal segregation of the respective layer removal steps during polishing, or by use of an appropriate slurry material to effect sequential and then simultaneous removal of the different layer types.
As described more fully hereinafter, the CMP process of the present invention may be carried out in a process flow advantageously including CVD formation of some or all of the capacitor-forming layers.
Prior DRAM practice has not provided a salient guide to the use of CMP in the manner of the present invention. Ferroelectric materials, typically containing Pb or Bi as material components, require different and more complex structures (relative to DRAM IC integration) to keep the ferroelectric material layers of capacitor structures from contacting Siθ2 and other materials that are chemically reactive therewith.
The method of the present invention therefore represents a significant advance in the art. Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
Figures 1 through 8 show a schematic process flow for patterning sub-micron ferroelectric capacitors in a stack capacitor configuration using CMP in accordance with the present invention, with CVD of the ferroelectric, electrode and barrier layers being desirable for feature sizes below 0.35μm.
Figures 9 through 16 show a schematic process flow for forming sub-micron ferroelectric capacitors in a trench capacitor configuration using CMP in accordance with the invention, with CVD of the ferroelectric, electrode and barrier layers being desirable for feature sizes below 0.35μm or for high aspect ratios.
DETAILED DESCRIPTION OF THE INVENTION- AND PREFERRED
EMBODIMENTS THEREOF
Although the art has disclosed the delineation of a bottom electrode using a method similar to that of the present invention, the art has not suggested or appreciated the delineation of the entire capacitor structure in a single CMP step as in the method of the present invention. For example, Koyama, et al., "A fully printable, self-aligned and planarized stacked capacitor DRAM cell technology for 1 Gbit and beyond," 1995 Symp. on VLSI Technology Digest of Tech. Papers, pp. 17-18, discloses deposition of a ruthenium layer directly over the recess and over the exposed conductive plug without use of a barrier layer or adhesion layer underneath the bottom electrode, in contact with the plug surface and the surface of the capacitor recess. Because the barrier/adhesion layer is absent, Koyama et al.'s specific structure and approach is not useful, particularly in application to ferroelectric capacitors that contain bismuth or lead-
The barrier layer as employed in the method of the present invention, has five primary advantages, as discussed below.
First, in the approach of Koyama, et al., the capacitor recess is required to be taper etched to allow adequate sidewall coverage of the ruthenium film using sputtering. In the method of the present invention, CVD may be used to deposit at least one, and preferably all, of the layers comprising the capacitor - the barrier layer, the electrode layers, and the dielectric (ferroelectric or high e) layers. The use of chemical vapor deposition obviates the necessity for taper etched sidewalls.
Second, while it may be possible for certain material systems (e.g., ruthenium- polysilicon) to maintain adequate electrical continuity through the bottom electrode plug interface, in general a barrier-adhesion layer is required, and by using such a layer a broader range of processing conditions (high temperature and oxidation) may be accessed during post annealing of the capacitor structure without formation of an insulating oxide between the bottom electrode and the conductive plug, which is typically needed to improve electrical leakage characteristics and in the case of FeRAMs, ferroelectric properties.
In addition to the limited ranges for post-processing that are posed by absence of a barrier layer, the barrier layer also typically improves adhesion between the bottom electrode layer and the surfaces of the bottom electrode recess, which is typically formed of Siθ2- Use of an adhesion-promoting barrier layer as in the method of the present invention therefore results in excellent mechanical robustness, as required for chemical mechanical planarization of the structure here considered.
In addition to mechanical robustness, the barrier/adhesion layer also promotes chemical robustness, which is lacking in the prior art due to the absence of such layer. While the structure described in Koyama et al. may work for some dielectrics such as BST, other dielectrics such as PZT (PbZrTiθ3) and SBT (SrBi2Ta2θ9) have highly reactive components (Pb and Bi, respectively) and unacceptable chemical reactions will take place if such layers are brought into contact with Siθ2 as in the present invention. The barrier-adhesion layer therefore serves to prevent contact between such chemically interactive materials, and it maintains the chemical integrity of the layers until they are removed in the regions over the isolation oxide by the polishing process.
Fifth, the barrier layer can also serve as a polishing stop layer if the CMP formulation used to remove the electrode layers and the ferroelectric layer is designed to have very low removal rates for the barrier layer. In such manner the uniformity of the polishing process across the entire wafer will be precisely controlled such that overetching in some areas will be prevented. In that case the barrier-adhesion layer that is used as a polishing stop layer is subsequently removed using an appropriate dry etch, or alternatively by a different CMP process.
Concerning other teachings of the art, Fazan et al. U.S. Patent 5,392,189 describes the formation of a bottom electrode using CMP in a geometry similar to that of the above-discussed Koyama et al. reference. Fazan et al. carry out planarization to delineate a bottom electrode. Unlike Koyama et al. who teach that the high e layer and top electrode remain unpatterned, Fazan et al. teach that the high e layer and top electrode layer are patterned using conventional RLE methods.
The present invention provides a much more economical and elegant process flow, which does not require use of poorly developed dry etches for the ferroelectric and noble metal electrodes, which is all that is currently available for metals such as Pt,
Ir, Pd, etc. Another shortcoming of the prior art approach of using conventional methods to pattern the top electrode and the high e (or ferroelectric) layer is that subsequent planarization will be necessary for robust metallization, particularly in the case of higher numbers of metallization layers. Fazan et al. also describe a planar capacitor bottom electrode that is formed using CMP, with the shortcomings already discussed. In addition to the use of conventional patterning of the top electrodes and high e layers, Fazan et al. limit their disclosed structure to the use of non-oxidizable metals such as Pt. The present invention does not have such limitation, and conductive oxides such as Irθ2 and Rhθ2, either singly or alloyed with noble metals, or in a multilayer "hybrid" configuration with noble metals. Fazan et al. also does not employ a continuous barrier layer formed all along the inside and adjacent surfaces of the capacitor recess, and for such reason suffers disadvantages already described herein. The present invention by its use of a barrier layer provides robust chemical and mechanical integrity, and a polishing stop layer which can subsequently be removed.
U.S. Patent 5,318,927 to Sandhu, et al. describes a broad variety of polishing slurry formulations useful for CMP of insulating inorganic oxide materials such as BST, PZT, etc., and such formulations may advantageously be employed in the practice of the invention. Other slurry formulations which may be advantageously used in the practice of the present invention include the high purity fine metal oxide aqueous slurry compositions described in Matthew Neville, et al. U.S. Patent 5,527,423 issued June 18, 1996. The disclosures of the Sandhu et al. And the Neville et al. Patents are hereby incorporated herein by reference in their entirety.
Referring now to the drawings, Figures 1 through 8 schematically show a process flow for the invention as it applies to a stack capacitor. The steps shown in the drawings for Figures 1 through 8, are described below with reference to the following correspondingly identified sequence of process steps A through H, respectively.
As shown in Figure 1 (step A), a substrate 10 (typically formed of silicon, although other substrate materials of construction, such as GaAs, are possible) is provided with transistors and other circuitry (not shown) already fabricated below the wafer surface 12, according to conventional fabrication techniques. The silicon circuitry is covered with a dielectric insulating layer (isolation dielectric) 14 such as Siθ2, Si3N4, B-doped Siθ2, P-doped Siθ2, B and P-doped Siθ2, low dielectric constant organic insulators, SiOxFv, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy, wherein x+y=2, etc. Vias 16 to the Si circuitry are opened using photolithography and dry-etching, a plug 18 of suitable material, such as doped silicon, doped for example with boron, phosphorus or arsenic, e.g., to form n-Si or p-Si, or tungsten, is formed in the vias using CVD, and the surface 20 is planarized using dry etching or CMP.
In step B (Figure 2), a capacitor recess dielectric 22, of a material such as Siθ2, Si3N4, B-doped SiO2, P-doped Siθ2, B and P-doped SiO2, low dielectric constant organic insulators, SiOxFy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy, wherein x + y = 2, etc., is formed over the surface of the plugs 18 and isolation dielectric 14 using CVD, sputtering or wet deposition methods.
In step C (Figure 3), capacitor recesses 24 are formed in the surface of the insulating layer 22 using photolithography and dry etching. The top surface of the conductive plug 26 may then be sputter etched or heat treated to ensure a clean surface prior to conductive barrier deposition in step D.
The shape of the capacitor recess 24 will generally be engineered to minimize sharp angles at corners of the three dimensional capacitor structure, in order to prevent cracking of the layers (which could cause short circuits) or irregular microstructure, thickness non-uniformities, etc. An optimum shape may therefore be circular or alternatively polygonal with rounded corners, and the edge at the recess bottom 25 will be rounded using techniques known to those skilled in reactive ion etching (RIE) of materials.
In addition to minimizing sharp angles in the recess inner surface, slope sidewalls may be used to improve the sidewall coverage of the capacitor layers 28, 30, 32 and 34, especially if they are deposited by non-CVD techniques such as sputtering or vacuum evaporation.
The conducting and insulating layers that will comprise the capacitor layers are deposited in step D (Figure 4). The layers include (in the sequence they would be deposited in): an optional conductive barrier layer 28, the bottom electrode 30 (BE), the ferroelectric layer 32 (FE) and the top electrode 34 (TE). The conductive barrier layer may be TaWN, TaN, TiWN, TaSiN, TaAIN, NbN, ZrN, TaTiN, TiN, TiSiN, TiAIN, IrO3, SiC or any other material suitable to withstand oxidation and prevent diffusion of Si. The conductive barrier layer 28 may be employed to provide a polishing stop layer which is subsequently removed by CMP or dry etching methods. The BE and TE layers are typically noble metals such as Pt or Ir, or alloys of similar materials, but such layers may be generally formed of any suitable materials such as noble metals, noble metal/n on -noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non- noble metal multilayers. In some instances, TE need not be a noble metal, since it does not need to withstand the highly oxidizing deposition environment.
The FE layer material is typically PZT or SBT, but it may be bismuth titanate or some other ferroelectric thin film composition. (Alternatively it may be a similar multicomponent oxide such as BST for high capacitance, or LaCaMnθ3 for magnetoresistance, etc.) Other FE materials such as derivatives of the foregoing FE species formed by covalent or non-covalent substitutions in the aforementioned materials, may also be employed.
It is evident that some sidewall coverage of these layers is necessary and the different layers require sidewall coverage for different reasons. The barrier must cover the sidewall in order to make good adhesion between the BE and the capacitor recess dielectric, because excellent mechanical robustness is needed for the polishing in step E. The BE must cover the sidewall to achieve adequate thickness for electrical continuity if the sidewall part of the structure is to be used for the chosen application. The dielectric must have adequate sidewall coverage to obtain uniform electrical properties in the capacitor, and to prevent short-circuiting between the TE and BE. For geometries > 0.5 μm, the conductive layers can be deposited using sputtering, which results in adequate sidewall coverage. For smaller geometries or high aspect ratios in the capacitor recess, CVD of the conductive layers may be needed. Alternatively, other highly conformal deposition methods such as ionized PVD, collimated sputtering, low pressure PVD or electroplating may be used instead of CVD. Generally, CVD of the FE layer will be needed to prevent shorting between the TE and BE, although there may be cases where the FE can be sputtered with satisfactory results.
Following deposition of the top electrode 34, a dielectric buffer layer 35 may be deposited to serve as a buffer for the top electrode during the subsequent CMP processes, as shown in step E. The dielectric buffer layer will also serve to relax thickness and depth tolerances on the capacitor layers 28, 30, 32, and 34 and the capacitor recess, respectively.
For example, the capacitor recess may have depth of 0.5μm, and the capacitor layers may have total thickness of 0.35 μm, top and bottom electrodes 0.1 μm each, and the ferroelectric layer 0.1 μm.) The dielectric buffer layer will then be deposited with thickness > 0.15 μm. In such manner the CMP process may be terminated before the top electrode is exposed to the polishing process (except at its edges), and damage that could result from the polishing directly on the majority of the top electrode surface will be avoided. The majority of the capacitor layer structure 28, 30, 32, and 34, will also be shielded from any post-CMP cleaning operations that are employed.
For the layer thincknesses illustratively given above, the absence of the dielectric buffer layer would result in unsatisfactory collection of polishing media during the CMP process. The dielectric buffer layer may be composed of Siθ2, B-doped Siθ2,
P-doped Siθ2, B and P-doped Siθ2, low dielectric constant organic insulators, SiOxFy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy, wherein x
+ y = 2, etc. or any other suitable insulating materials that may be easily removed using CMP, such as Si3N4, Tiθ2, etc. Another important aspect of the recess geometry is its aspect ratio (depth/width). Larger aspect ratios (e.g., >l/3) may be used in order to utilize the sidewall contribution of the three dimensional capacitor, in cases where the electrical polarization (signal) due to the bottom of the capacitor is not large enough to be recognized reliably by the readout circuit. In general, that will be the case for high levels of memory density, such as > 16Mb. Smaller values of aspect ratio (< 1/3) will be used when sufficient capacitor area (and electrical polarization) is achieved with only the bottom layer of the three dimensional capacitor.
In step E (Figure 5), the film stack is planarized using CMP which forms the three dimensional capacitors in the capacitor recesses. The CMP process is comprised of mechanical polishing with a slurry that typically contains an abrasive, typically a metal oxide, metal carbide, or metal nitride, that is harder than the materials being removed, such as silica, alumina, ceria, etc., with chemical additives present to promote selective removal of the barrier TE, FE and BE. It may be required to sequentially apply two or more separate CMP processes (or slurries) for removal of the different materials in the capacitor, i.e., Pt may require a different CMP process than SBT, for example. A post-CMP anneal in an oxidizing environment may be carried out if required to remove physical or chemical damage to the ferroelectric film that was subjected to mechanical and chemical agitation during its partial removal by CMP. The CMP process may at least partially remove the buffer layer 35, and such layer is omitted in the subsequent process for ease of illustration and description.
Step F (Figure 6) involves sequentially depositing an insulating passivation layer 36 and an inter-level dielectric (ILD) 38 over the capacitors that were formed in the previous step. This insulating passivation layer is deposited using
CVD, sputtering or wet deposition methods, and its purpose is to prevent - undesirable chemical reactions and interdiffusion between the top edge of the ferroelectric film or electrodes and the overlying ILD layer that typically contains Si or Siθ2- PZT and SBT in particular contain the highly chemically reactive and mobile species of Pb and Bi respectively, and it is important to prevent interdiffusion of those or similar species with the -OLD layer. The passivation layer may for example be Tiθ2, Hfθ2, Nb2θ5, Zrθ2, Ta2θ5, Si3N4 and mixtures thereof, or other similar dielectric materials that include mixtures of those materials too. The ILD is formed of a material such as Siθ2, Si3N4, B-doped Siθ2, P-doped
Siθ2, B and P-doped Siθ2, low dielectric constant organic insulators, SiOxFy, B- doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy, wherein x + y = 2, etc., and is deposited using CVD, sputtering or wet deposition methods.
In step G (Figure 7) vias 40 are opened in the barrier layer and ILD using photolithography and dry etching.
Finally, in step H (Figure 8), a diffusion barrier 42 of a material such as Ta, TaN, TiN, WN or other suitable material is deposited using CVD or sputtering, and metallization 44 is deposited over that barrier, in order to conformally fill the via. The metallization may be either CVD-applied W or Al that is sputtered at high temperatures or reflowed, or Cu, or Al-Cu alloys, or other conductive materials. The metallization is then patterned using photolithography and dry etching. Alternatively, such metallization may be patterned using damascene or dual damascene processes, which are well known to those skilled in the art. In the Figures 1-8 process flow for patterning sub-micron ferroelectric capacitors in a stack capacitor configuration, using CMP, chemical vapor deposition (CVD) of the ferroelectric, electrode and barrier layers is desirably employed for feature sizes below 0.35μm.
Figures 9 through 16 show a process flow for the method of the invention as it applies to a trench capacitor. The steps shown in Figures 9 through 16 are described below with reference to the correspondingly identified sequence of steps A through H.
In step A (Figure 9), a substrate 100 formed of a material such as silicon, although other materials such as GaAs are potentially usefully employed, is provided, with transistors and other circuitry (not shown) fabricated in the wafer surface. Alternatively, the substrate may be p-type, with no transistors fabricated in it at the time of capacitor formation.
In step B (Figure 10), capacitor recesses 102 are formed in the surface of the Si using photolithography and dry-etching.
Next (step B; Figure 10), the conducting and insulating layers that will comprise the capacitor layers are deposited. The layers include (in the sequence they are deposited in): a conductive barrier layer 104, the bottom electrode (BE) 106, the ferroelectric layer (FE) 108, and the top electrode (TE) layer 110. The barrier may be TiN, TiSiN, TiAIN, Irθ2, SiC or some other material suitable to withstand oxidation and prevent diffusion of Si. The BE and TE layers are typically noble metals such as Pt or Ir, or alloys of similar materials, but such layers may be generally formed of any suitable materials such as noble metals, noble metal/non- noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non-metal multilayers. The TE layer need not be formed of a noble metal, since such layer does not need to withstand the highly oxidizing deposition environment. The FE layer is typically formed of PZT or SBT, but it may be alternatively formed of bismuth titanate or some other ferroelectric thin film material, or alternatively it may be a similar multicomponent oxide such as BST for high capacitance, or LaCaMnO3 for magnetoresistance, etc. Other FE materials such as derivatives of the foregoing FE species formed by covalent or non- covalent substitutions in the aforementioned materials, may also be advantageously employed. Sidewall coverage issues are similar to those described above, and the high aspect ratio (depth/width) of trenches in the Si substrate make CVD highly preferred for fabricating all the capacitor-forming layers.
In step D (Figure 12), the film stack is planarized using CMP which forms the three dimensional capacitor in the capacitor recesses. The CMP process is comprised of mechanical polishing with a slurry that containing an abrasive, typically a metal oxide, metal carbide, or metal nitride, such as silica, alumina, ceria, etc., that is harder than the materials being removed, with chemical additives present to promote selective removal of the barrier, TE, FE and BE. It may be required to sequentially apply more than one CMP process, and to post anneal the capacitor structure in an oxidizing environment, as described above.
Next (step 13; Figure 13), an insulating passivation layer 112 and an inter-level dielectric (ILD) layer 114 are sequentially deposited over the capacitors that were formed in the previous step, as described above. Vias then are opened (step 14; Figure 14) in the barrier comprising the insulating passivation layer and ILD layer using photolithography and dry etching. A diffusion barrier layer 116 of a material such as Ta, TaN, TiN, WN or another suitable material is deposited using CVD or sputtering.
In step G (Figure 15), the barrier layer deposited previously is patterned using CMP or dry etching, or alternatively a damascene or dual damascene process may be employed for such patterning.
Vias 120 and 122 are opened to doped regions 124 and 126, respectively, formed previously (step H; Figure 16), and p-Si or some other suitable conductor 128 is deposited and patterned to form part of the circuitry for the memory cell. Dielectric isolation material 130 is then formed over the patterned p-Si word lines and plate, vias 132 are opened to the transfer transistor (doped Si regions) and the bit line 134 is formed by deposition and patterning of Al, or some other suitable conductor, with suitable barrier layers at the Si interface.
In the above-described method for forming sub-micron ferroelectric capacitors in a trench capacitor configuration using CMP, it is preferred to utilize CVD to form the ferroelectric, electrode and barrier layers, when feature sizes below 0.35μm or high aspect ratios are desired.
Industrial Applicability The present invention provides a chemical mechanical polishing method useful for delineating ferroelectric or high permittivity capacitors, such as stacked capacitor for trench capacitor structures. The methodology of the invention achieves a substantial advance in the art, in that the planarized microelectronic structures are produced without dry etching of electrode layers or the ferroelectric layer. Accordingly, microelectronic structures such as ferroelectric capacitors, having a very small feature size, e.g., on the order of 0.10 to 0.20 micrometers, can be efficiently fabricated.

Claims

THE CLAIMS
1. A method of fabricating a ferroelectric capacitor structure by sequentially depositing a bottom electrode layer, a ferroelectric layer and a top electrode layer on a base structure, to form a capacitor precursor structure, and planarizing the capacitor precursor structure by chemical mechanical polishing to yield said ferroelectric capacitor structure.
2. A method according to claim 1, wherein the capacitor structure is selected from the group consisting of stack capacitors and trench capacitors.
3. A method according to claim 1, further comprising depositing a dielectric buffer layer over the capacitor precursor structure prior to planarizing same by chemical mechanical polishing.
4. A process according to claim 1, carried out without dry etching of the electrode layers or dry etching of the ferroelectric layer, and wherein the ferroelectric capacitor has a feature size below 1 ╬╝m.
5. A process according to claim 1, wherein the ferroelectric capacitor has a feature size below 0.35 ╬╝m.
A process for fabricating a stack capacitor on a substrate including buried circuitry overlaid by a isolation dielectric material, comprising: forming a via in the isolation dielectric material to the buried circuitry in the substrate;
depositing a plug in the via;
planarizing the isolation dielectric material and plug;
depositing isolation dielectric material over the planarized plug;
forming a capacitor recess in a surface of the isolation dielectric material;
depositing over the isolation dielectric material in sequence, a bottom electrode layer, a ferroelectric layer and a top electrode layer, optionally with deposition of a layer of a conductive barrier material between the isolation dielectric material and the bottom electrode layer, and optionally with deposition of a dielectric buffer layer over the top electrode layer, to form a film stack of the sequentially deposited layers;
planarizing the film stack by chemical mechanical polishing to yield three- dimensional stack capacitor in the capacitor recess;
sequentially depositing an insulating passivation layer and an inter-level dielectric layer to form a barrier structure over the three-dimensional stack capacitor in the capacitor recess;
forming a via in the barrier structure; depositing over the barrier structure and via in sequence a diffusion barrier layer and a metallization layer, to conformally fill the via in the barrier structure; and
pattterning the metallization layer to form a line structure for the three-dimensional stack capacitor.
7. A process according to claim 6, wherein the substrate is formed of a material selected from the group consisting of silicon and gallium arsenide.
8. A process according to claim 6, wherein the substrate is formed of silicon.
9. A process according to claim 6, wherein the isolation dielectric material comprises a material selected from the group consisting of Si╬╕2, Si3N4, B-doped SiO2, P-doped SiO2, B and P-doped Si╬╕2, low dielectric constant organic insulator materials, SiOxFy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy ,wherein x + y = 2.
10. A process according to claim 6, wherein the vias are fabricated in the isolation dielectric material by photolithography and dry etch removal of isolation dielectric material from via areas of the material.
11. A process according to claim 6, wherein the plug is formed of a material selected from the group consisting of Si doped with a dopant selected from the group consisting of B, P, As and combinations thereof, and W.
12. A process according to claim 6, wherein the substrate and plug are planarized using a planarization method selected from the group consisting of dry etching and chemical mechanical polishing.
13. A process according to claim 6, wherein the isolation dielectric material comprises a material selected from the group consisting of Si╬╕2, Si3N4, B-doped SiO2, P-doped SiO2, B and P-doped Si╬╕2, low dielectric constant organic insulators, SiOxFy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy , wherein x + y
= 2.
14. A process according to claim 6, wherein the isolation dielectric material is deposited over the plug by a method selected from the group consisting of CVD, sputtering and wet deposition methods.
15. A process according to claim 6, wherein the capacitor recess is formed by photolithography and dry-etching.
16. A process according to claim 6, with a conductive barrier layer between the isolation dielectric material and the bottom electrode layer, wherein a top surface of the conductive plug is cleaned by a method selected from the group consisting of sputter etching and heat treating, prior to the deposition of the conductive barrier layer.
17. A process according to claim 6, wherein the conductive barrier layer is formed of a material selected from the group consisting of TaWN, TaN, TiWN,
TaSiN, TaAIN, NbN, ZrN, TaTiN, TiN, TiSiN, TiAIN, Ir╬╕2, and SiC.
18. A process according to claim 6, wherein the bottom electrode is formed of a material selected from the group consisting of noble metals, noble metal/non- noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non-noble metal multilayers.
19. A process according to claim 6, wherein the top electrode is formed of a noble metal, noble metal/non-noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non-metal multilayers.
20. A process according to claim 6, wherein the ferroelectric material layer is formed of a material selected from the group consisting of PZT, SBT, bismuth titanate, BST, LaCaMn╬╕35 and materials formed by covalent or non-covalent substitutions in the foregoing materials.
21. A process according to claim 6, wherein each of the top electrode, ferroelectric layer and bottom electrode deposition steps is independently carried out by a method selected from the group consisting of sputtering and chemical vapor deposition.
22. A process according to claim 6, wherein each of the top electrode, ferroelectric layer and bottom electrode deposition steps is carried out by chemical vapor deposition.
23. A process according to claim 6, wherein the film stack chemical mechanical polishing comprises mechanical polishing with a slurry including (i) an abrasive material selected from the group consisting of metal oxides, metal nitrides and metal carbides, and (ii) chemical additives for removal of the conductive barrier layer, bottom electrode layer, ferroelectric layer and top electrode layer.
24. A process according to claim 23, wherein the slurry comprises an abrasive material selected from the group consisting of silica, alumina and ceria.
25. A process according to claim 23, wherein the slurry comprises an abrasive material selected from the group consisting of metal nitrides and metal carbides.
26. A process according to claim 6, wherein the film stack chemical mechanical polishing comprises multiple chemical mechanical polishing steps, each carried out with a different slurry material.
27. A process according to claim 6, with a conductive barrier layer between the isolation dielectric material and the bottom electrode layer, wherein the conductive barrier layer comprises a polishing stop layer, and wherein the polishing stop layer is subsequently removed by a removal technique selected from the group consisting of chemical mechanical polishing and dry etching.
28. A process according to claim 6, further comprising after planarizing the- film stack a step of removing conductive reside at edges of the three-dimensional stack capacitor by a wet or dry etch.
29. A process according to claim 6, further comprising after the film stack chemical mechanical polishing, conducting a post-chemical mechanical polishing annealing to remove physical and/or chemical damage to the ferroelectric layer during the chemical mechanical polishing.
30. A process according to claim 6, wherein the barrier structure comprising the insulating passivation layer and inter-level dielectric layer, is deposited by a method selected from the group consisting of CVD, sputtering and wet deposition methods.
31. A process according to claim 6, wherein the insulating passivation layer is formed of a material selected from the group consisting of Ti╬╕2, Hf╬╕2, Nb2╬╕5,
Zr╬╕2, Ta2╬╕5, Si3N4 and mixtures thereof.
32. A process according to claim 6, wherein the inter-level dielectric layer is formed of a material selected from the group consisting of Si╬╕2, Si3N4, B-doped SiO2, P-doped SiO2, B and P-doped Si╬╕2, low dielectric constant organic insulators, SiOxFy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy , wherein x + y = 2.
33. A process according to claim 6, wherein the via is formed in the barrier structure by photolithography and dry etching.
34. A process according to claim 6, wherein a dielectric buffer layer is deposited over the top electrode layer prior to planarizing the film stack by chemical mechanical polishing.
35. A process according to claim 6, wherein the metallization is formed of a material selected from the group consisting of W, Al, Cu, and Al-Cu alloys.
36. A process according to claim 6, wherein the metallization is patterned by photolithography and dry-etching.
37. A process according to claim 6, wherein the metallization is patterned by a damascene or a dual damascene process.
38. A process according to claim 6, wherein the three-dimensional stack capacitor has a feature size below .035 ╬╝m.
39. A process for fabricating a trench capacitor on a substrate including a device region, comprising:
forming a capacitor recess in the substrate;
depositing over the capacitor recess in sequence, a bottom electrode layer, a ferroelectric layer and a top electrode layer, optionally with deposition of a layer of a conductive barrier material between the capacitor recess and the bottom electrode layer, and optionally with deposition of a dielectric buffer layer over the top electrode layer, to form a film of the sequentially deposited layers;
planarizing the film of the sequentially deposited layers by chemical mechanical polishing to yield a trench capacitor in the capacitor recess;
sequentially depositing an insulating passivation layer and an inter-level dielectric layer to form a barrier structure over the trench capacitor in the capacitor recess;
forming a via in the barrier structure;
depositing over the barrier structure and via a diffusion barrier layer; patterning the diffusion barrier layer in a selected pattern;
forming a first via to a device region of the substrate; forming circuitry structure over the substrate including a plate and a first line element of a word and bit line structure for the trench capacitor;
depositing an isolation dielectric material over the plate and line elements;
forming a second via to a device region of the substrate'
depositing a metallization layer, to conformally fill the second via in the barrier structure; and
patterning the metallization layer to form a complimentary line element of the word and bit line structure for trench capacitor.
40. A process according to claim 39, wherein the substrate is formed of a material selected from the group consisting of silicon and gallium arsenide.
41. A process according to claim 39, wherein the substrate is formed of silicon.
42. A process according to claim 39, wherein the isolation dielectric material comprises a material selected from the group consisting of Si╬╕2, Si3N4, B-doped SiO2, P-doped SiO2, B and P-doped Si╬╕2, low dielectric constant organic insulator materials, SiOxFy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy
,wherein x + y = 2.
43. A process according to claim 39, wherein the via is fabricated in the isolation dielectric insulating material by photolithography and dry etch removal of isolation dielectric insulating material from a via area of the material.
44. A process according to claim 39, wherein the capacitor recess is formed by photolithography and dry-etching.
45. A process according to claim 38, wherein the conductive barrier layer is formed of a material selected from the group consisting of TaWN, TaN, TiWn,
TaSiN, TaAIN, NbN, ZrN, TaTiN, TiN, TiSiN, TiAIN, IrO2, and SiC.
46. A process according to claim 39, wherein the bottom electrode is formed of a material selected from the group consisting of noble metals, noble metal/non-noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non-noble metal multilayers.
47. A process according to claim 39, wherein the top electrode is formed of a material selected from the group consisting of noble metals, noble metal/non-noble metal alloys, noble metal/noble metal oxide mixtures, noble metal multilayers, and noble metal/non-noble metal multilayers.
48. A process according to claim 39, wherein the ferroelectric material layer is formed of a material selected from the group consisting of PZT, SBT, bismuth titanate, BST, LaCaMnO3, and materials formed by covalent or non-covalent substitutions in the foregoing materials.
49. A process according to claim 39, wherein each of the top electrode, - ferroelectric layer and bottom electrode deposition steps is independently carried out by a method selected from the group consisting of sputtering and chemical vapor deposition.
50. A process according to claim 39, wherein each of the top electrode, ferroelectric layer and bottom electrode deposition steps is carried out by chemical vapor deposition.
51. A process according to claim 39, wherein the film chemical mechanical polishing comprises mechanical polishing with a slurry including (i) an abrasive material selected from the group consisting of metal oxides, metal nitrides and metal carbides, and (ii) chemical additives for removal of the conductive barrier layer, bottom electrode layer, ferroelectric layer and top electrode layer.
52. A process according to claim 51, wherein the slurry comprises an oxide abrasive material selected from the group consisting of silica, alumina and ceria.
53. A process according to claim 38, wherein the film chemical mechanical polishing comprises multiple chemical mechanical polishing steps, each carried out with a different slurry material.
54. A process according to claim 39, with a conductive barrier layer beneath the bottom electrode layer, wherein the conductive barrier layer comprises a polishing stop layer, and wherein the polishing stop layer is subsequently removed by a removal technique selected from the group consisting of chemical mechanical polishing and dry etching.
55. A process according to claim 38, further comprising after planarizing the film a step of removing conductive residue at edges of the trench capacitor by a wet or dry etch.
56. A process according to claim 39, wherein the barrier structure comprising the insulating passivation layer and inter-level dielectric layer, is deposited by a method selected from the group consisting of CVD, sputtering and wet deposition methods.
57. A process according to claim 39, wherein the insulating passivation layer is formed of a material selected from the group consisting of TiO2, HfO2, Nb2O5, ZrO2, Ta2O5, Si3N4 and mixtures thereof.
58. A process according to claim 39, wherein the inter-level dielectric layer is formed of a material selected from the group consisting of Si╬╕2, Si3N4, B-doped Si╬╕2, P-doped Si╬╕2, B and P-doped Si╬╕2, low dielectric constant organic insulators, SiO Fy, B-doped SiOxFy, P-doped SiOxFy, and B and P-doped SiOxFy , wherein x + y = 2.
59. A process according to claim 39, wherein the via is formed in the barrier structure by photolithography and dry etching.
60. A process according to claim 39, wherein a dielectric buffer layer is deposited over the top electrode layer prior to planarizing the film stack by chemical mechanical polishing.
61. A process according to claim 39, wherein the metallization is formed of a material selected from the group consisting of W, Al, Cu, and Al-Cu alloys.
62. A process according to claim 39, wherein the metallization is patterned by photolithography and dry-etching.
63. A process according to claim 39, wherein the metallization is patterned by a damascene or a dual damascene process.
64. A process according to claim 39, wherein the substrate comprises buried circuitry.
65. A process according to claim 39, wherein the substrate comprises p-type silicon material.
66. A process according to claim 39, wherein the circuitry structure is formed at least in part by deposition of polysilicon.
67. A process according to claim 39, wherein the trench capacitor has a feature size below .035╬╝m.
68. A process according to claim 6, further comprising depositing a dielectric buffer layer over the film stack prior to planarizing same by chemical mechanical polishing.
69. A process according to claim 39, further comprising depositing a dielectric buffer layer over the film of the sequentially deposited layers prior to planarizing same by chemical mechanical polishing.
PCT/US1998/024569 1997-11-20 1998-11-17 CHEMICAL MECHANICAL POLISHING OF FeRAM CAPACITORS WO1999027581A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000522624A JP2001524755A (en) 1997-11-20 1998-11-17 Chemical mechanical polishing of FeRAM capacitor
AU15893/99A AU1589399A (en) 1997-11-20 1998-11-17 Chemical mechanical polishing of feram capacitors
EP98960248A EP1040518A4 (en) 1997-11-20 1998-11-17 CHEMICAL MECHANICAL POLISHING OF FeRAM CAPACITORS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/975,366 US5976928A (en) 1997-11-20 1997-11-20 Chemical mechanical polishing of FeRAM capacitors
US08/975,366 1997-11-20

Publications (1)

Publication Number Publication Date
WO1999027581A1 true WO1999027581A1 (en) 1999-06-03

Family

ID=25522951

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/024569 WO1999027581A1 (en) 1997-11-20 1998-11-17 CHEMICAL MECHANICAL POLISHING OF FeRAM CAPACITORS

Country Status (6)

Country Link
US (1) US5976928A (en)
EP (1) EP1040518A4 (en)
JP (1) JP2001524755A (en)
KR (1) KR100643061B1 (en)
AU (1) AU1589399A (en)
WO (1) WO1999027581A1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19926501A1 (en) * 1999-06-10 2000-12-21 Siemens Ag Method of manufacturing a semiconductor memory device
WO2001029885A2 (en) * 1999-10-20 2001-04-26 Infineon Technologies Ag Method for production of a capacitor electrode with a barrier structure
EP1119027A2 (en) * 2000-01-21 2001-07-25 Lucent Technologies Inc. A capacitor for integration with copper damascene structure and manufacturing method
EP1133792A1 (en) * 1998-11-25 2001-09-19 Advanced Technology Materials, Inc. Chemical mechanical polishing of feram capacitors
US6576525B2 (en) 2001-03-19 2003-06-10 International Business Machines Corporation Damascene capacitor having a recessed plate
US6730592B2 (en) 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US6884723B2 (en) 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US7049237B2 (en) 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
CN100381609C (en) * 2005-11-03 2008-04-16 上海交通大学 TaWN film in copper interlinked barrier layer material
US7524346B2 (en) 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5970340A (en) * 1997-06-24 1999-10-19 Micron Technology, Inc. Method for making semiconductor device incorporating an electrical contact to an internal conductive layer
US6511877B2 (en) * 1997-07-17 2003-01-28 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method for manufacturing the same
FR2766294B1 (en) * 1997-07-18 2001-01-19 St Microelectronics Sa METHOD FOR MANUFACTURING METAL-METAL CAPACITY WITHIN AN INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT
DE19733391C2 (en) * 1997-08-01 2001-08-16 Siemens Ag Structuring process
US6846424B2 (en) 1997-11-10 2005-01-25 Advanced Technology Materials, Inc. Plasma-assisted dry etching of noble metal-based materials
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6344413B1 (en) * 1997-12-22 2002-02-05 Motorola Inc. Method for forming a semiconductor device
KR100506513B1 (en) * 1997-12-27 2007-11-02 주식회사 하이닉스반도체 How to Form Ferroelectric Capacitors
KR100436056B1 (en) * 1997-12-30 2004-12-17 주식회사 하이닉스반도체 Method for forming diffusion barrier layer of ferroelectric capacitor to reduce breakdown of ferroelectric thin film caused by high temperature treatment
US7034353B2 (en) 1998-02-27 2006-04-25 Micron Technology, Inc. Methods for enhancing capacitors having roughened features to increase charge-storage capacity
US6150706A (en) * 1998-02-27 2000-11-21 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US6682970B1 (en) 1998-02-27 2004-01-27 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US6417535B1 (en) 1998-12-23 2002-07-09 Lsi Logic Corporation Vertical interdigitated metal-insulator-metal capacitor for an integrated circuit
US6441419B1 (en) * 1998-03-31 2002-08-27 Lsi Logic Corporation Encapsulated-metal vertical-interdigitated capacitor and damascene method of manufacturing same
KR100464305B1 (en) * 1998-07-07 2005-04-13 삼성전자주식회사 How to Clean PZT Thin Film Using Enchantment
JP2000091539A (en) * 1998-07-16 2000-03-31 Fujitsu Ltd Semiconductor device and manufacture thereof
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6204166B1 (en) * 1998-08-21 2001-03-20 Micron Technology, Inc. Method for forming dual damascene structures
US6362526B1 (en) * 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
US6278153B1 (en) * 1998-10-19 2001-08-21 Nec Corporation Thin film capacitor formed in via
KR100275752B1 (en) * 1998-11-18 2000-12-15 윤종용 Manufacturing method of concave capacitor having adhesion spacers
US6433993B1 (en) * 1998-11-23 2002-08-13 Microcoating Technologies, Inc. Formation of thin film capacitors
US6261895B1 (en) * 1999-01-04 2001-07-17 International Business Machines Corporation Polysilicon capacitor having large capacitance and low resistance and process for forming the capacitor
US6358790B1 (en) * 1999-01-13 2002-03-19 Agere Systems Guardian Corp. Method of making a capacitor
US6281535B1 (en) * 1999-01-22 2001-08-28 Agilent Technologies, Inc. Three-dimensional ferroelectric capacitor structure for nonvolatile random access memory cell
JP3048567B1 (en) * 1999-02-18 2000-06-05 沖電気工業株式会社 Method for manufacturing semiconductor device
US6297086B1 (en) * 1999-03-11 2001-10-02 International Business Machines Corporation Application of excimer laser anneal to DRAM processing
US6156642A (en) * 1999-03-23 2000-12-05 United Microelectronics Corp. Method of fabricating a dual damascene structure in an integrated circuit
US6303496B1 (en) * 1999-04-27 2001-10-16 Cypress Semiconductor Corporation Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
JP2002280528A (en) * 1999-05-14 2002-09-27 Toshiba Corp Semiconductor device and its manufacturing method
US6281092B1 (en) * 1999-07-02 2001-08-28 Lsi Logic Corporation Method for manufacturing a metal-to-metal capacitor utilizing only one masking step
TW417293B (en) * 1999-08-27 2001-01-01 Taiwan Semiconductor Mfg Formation of DRAM capacitor
US6504202B1 (en) 2000-02-02 2003-01-07 Lsi Logic Corporation Interconnect-embedded metal-insulator-metal capacitor
TW501270B (en) * 1999-11-30 2002-09-01 Hitachi Ltd Semiconductor device and its manufacturing method
DE19959711A1 (en) * 1999-12-10 2001-06-21 Infineon Technologies Ag Process for producing a structured metal layer
DE10001118A1 (en) * 2000-01-13 2001-07-26 Infineon Technologies Ag Production of a semiconductor component comprises forming a switching transistor on a substrate, applying a first insulating layer, applying a storage capacitor and a metal oxide-containing layer and applying a second insulating layer
US6476432B1 (en) * 2000-03-23 2002-11-05 Micron Technology, Inc. Structures and methods for enhancing capacitors in integrated circuits
US6271136B1 (en) 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
JP2001308287A (en) * 2000-04-26 2001-11-02 Sharp Corp Semiconductor device and its manufacturing method
DE10022656B4 (en) * 2000-04-28 2006-07-06 Infineon Technologies Ag Method for removing structures
DE10022655C2 (en) * 2000-04-28 2002-03-07 Infineon Technologies Ag Process for the production of capacitor structures
US6368953B1 (en) * 2000-05-09 2002-04-09 International Business Machines Corporation Encapsulated metal structures for semiconductor devices and MIM capacitors including the same
US6341056B1 (en) 2000-05-17 2002-01-22 Lsi Logic Corporation Capacitor with multiple-component dielectric and method of fabricating same
US6566186B1 (en) 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US6372574B1 (en) * 2000-06-02 2002-04-16 Micron Technology, Inc. Method of forming a capacitor container electrode and method of patterning a metal layer by selectively silicizing the electrode or metal layer and removing the silicized portion
JP2002009248A (en) * 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd Capacitor and its manufacturing method
US6352903B1 (en) * 2000-06-28 2002-03-05 International Business Machines Corporation Junction isolation
KR20020011009A (en) * 2000-07-31 2002-02-07 박종섭 FeRAM having hafnium dioxide layer over ferroelectric capacitor
US6455370B1 (en) * 2000-08-16 2002-09-24 Micron Technology, Inc. Method of patterning noble metals for semiconductor devices by electropolishing
JP2002110932A (en) * 2000-09-28 2002-04-12 Toshiba Corp Semiconductor device and its manufacturing method
DE10048477B4 (en) 2000-09-29 2008-07-03 Qimonda Ag Process for the chemical-mechanical polishing of layers of platinum group metals
US6737728B1 (en) * 2000-10-12 2004-05-18 Intel Corporation On-chip decoupling capacitor and method of making same
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6650000B2 (en) * 2001-01-16 2003-11-18 International Business Machines Corporation Apparatus and method for forming a battery in an integrated circuit
KR100395765B1 (en) * 2001-02-02 2003-08-25 삼성전자주식회사 Ferroelectric memory device and method of fabricating the same
KR100410716B1 (en) * 2001-03-07 2003-12-18 주식회사 하이닉스반도체 FeRAM capable of connecting bottom electrode to storage node and method for forming the same
DE10164741A1 (en) * 2001-06-12 2003-06-26 Infineon Technologies Ag Multiple deposition of metal layers to produce the upper capacitor electrode of a trench capacitor
KR100531419B1 (en) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 semiconductor device and method for fabricating the same
US6338999B1 (en) * 2001-06-15 2002-01-15 Silicon Integrated Systems Corp. Method for forming metal capacitors with a damascene process
US6436787B1 (en) * 2001-07-26 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming crown-type MIM capacitor integrated with the CU damascene process
DE10142580B4 (en) * 2001-08-31 2006-07-13 Infineon Technologies Ag Method for producing a trench structure capacitor device
US6559497B2 (en) 2001-09-06 2003-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic capacitor with barrier layer
US7256089B2 (en) * 2001-09-24 2007-08-14 Intel Corporation Top electrode barrier for on-chip die de-coupling capacitor and method of making same
JP2003197878A (en) * 2001-10-15 2003-07-11 Hitachi Ltd Memory semiconductor device and its manufacturing method
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US20030122175A1 (en) * 2001-12-28 2003-07-03 Buskirk Peter Van Integrated passive devices formed by demascene processing
US6638813B1 (en) 2002-01-29 2003-10-28 Taiwan Semiconductor Manufacturing Company Method of forming a composite spacer to eliminate polysilicon stringers between elements in a pseudo SRAM cell
US6576941B1 (en) * 2002-02-20 2003-06-10 Samsung Electronics Co., Ltd. Ferroelectric capacitors on protruding portions of conductive plugs having a smaller cross-sectional size than base portions thereof
US6858482B2 (en) * 2002-04-10 2005-02-22 Micron Technology, Inc. Method of manufacture of programmable switching circuits and memory cells employing a glass layer
FR2839811A1 (en) * 2002-05-15 2003-11-21 St Microelectronics Sa TRENCHED CAPACITOR IN A SUBSTRATE WITH TWO FLOATING AND SUBSTRATE INDEPENDENT ELECTRODES
KR100474537B1 (en) * 2002-07-16 2005-03-10 주식회사 하이닉스반도체 The CMP Slurry Composition for Oxide and Method of Forming Semiconductor Device Using the Same
US6841458B2 (en) * 2002-09-12 2005-01-11 Intel Corporation Dopant interface formation
US6830971B2 (en) 2002-11-02 2004-12-14 Chartered Semiconductor Manufacturing Ltd High K artificial lattices for capacitor applications to use in CU or AL BEOL
DE10255841A1 (en) * 2002-11-29 2004-06-17 Infineon Technologies Ag Process for structuring ruthenium or ruthenium (IV) oxide layers used for a trench capacitor comprises depositing ruthenium or ruthenium (IV) oxide on sections of a substrate, depositing a covering layer, and further processing
KR100454254B1 (en) * 2002-12-30 2004-10-26 주식회사 하이닉스반도체 Ferroelectric memory device with Merged-Top-Plate structure and method for fabricating the same
KR100508861B1 (en) * 2003-01-30 2005-08-17 동부아남반도체 주식회사 Thin film capacitor and fabrication method thereof
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
US7883739B2 (en) * 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
KR100560803B1 (en) * 2004-02-04 2006-03-13 삼성전자주식회사 Semiconductor devices having capacitors and methods of fabricating the same
US20060029833A1 (en) * 2004-08-09 2006-02-09 Ivanov Igor C Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom
JP2006210511A (en) * 2005-01-26 2006-08-10 Oki Electric Ind Co Ltd Semiconductor device
TWI434957B (en) * 2005-06-06 2014-04-21 Advanced Tech Materials Integrated chemical mechanical polishing composition and process for single platen processing
US7586158B2 (en) * 2005-07-07 2009-09-08 Infineon Technologies Ag Piezoelectric stress liner for bulk and SOI
TW200714696A (en) * 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
JP4997757B2 (en) * 2005-12-20 2012-08-08 富士通株式会社 Thin film capacitor and method for manufacturing the same, electronic device and circuit board
FR2897467B1 (en) * 2006-02-15 2009-04-03 St Microelectronics Crolles 2 MIM CAPACITOR
US7842990B2 (en) 2006-02-17 2010-11-30 Hynix Semiconductor Inc. Nonvolatile ferroelectric memory device including trench capacitor
KR100729267B1 (en) * 2006-03-08 2007-06-15 삼성전자주식회사 Chemical mechanical polishing method, method of manufacturing a ferro-electric capacitor using the chemical mechanical polishing method and method of manufacturing a ferro-electric memory device using the chemical mechanical polishing method
US7968967B2 (en) * 2006-07-17 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. One-time-programmable anti-fuse formed using damascene process
US8778210B2 (en) * 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
DE102007004884A1 (en) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale A method of forming a metal layer over a patterned dielectric by electroless deposition using a selectively provided activation layer
JP5076543B2 (en) * 2007-02-21 2012-11-21 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP5251864B2 (en) * 2007-03-14 2013-07-31 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US8008202B2 (en) * 2007-08-01 2011-08-30 Cabot Microelectronics Corporation Ruthenium CMP compositions and methods
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
KR101827031B1 (en) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. Composition and process for selectively etching metal nitrides
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
TWI633624B (en) * 2011-12-01 2018-08-21 應用材料股份有限公司 Doped tantalum nitride for copper barrier applications
US8916434B2 (en) 2012-05-11 2014-12-23 Cypress Semiconductor Corporation Enhanced hydrogen barrier encapsulation method for the control of hydrogen induced degradation of ferroelectric capacitors in an F-RAM process
US8878338B2 (en) 2012-05-31 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor for interposers and methods of manufacture thereof
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
US9012296B2 (en) * 2012-12-11 2015-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned deep trench capacitor, and method for making the same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102338526B1 (en) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9159829B1 (en) * 2014-10-07 2015-10-13 Micron Technology, Inc. Recessed transistors containing ferroelectric material
EP3832744B1 (en) * 2019-12-02 2022-09-07 Plastic Logic HK Limited Method of manufacturing structures on substrates using wet deposition
CN113497186A (en) * 2020-04-01 2021-10-12 联华电子股份有限公司 Parallel capacitor structure and manufacturing method thereof
US11270911B2 (en) 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US11289511B2 (en) * 2020-07-02 2022-03-29 Wuxi Petabyte Technologies Co, Ltd. Ferroelectric memory devices with reduced edge leakage and methods for forming the same
WO2022110218A1 (en) * 2020-11-30 2022-06-02 华为技术有限公司 Ferroelectric random access memory and electronic device

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275974A (en) * 1992-07-30 1994-01-04 Northern Telecom Limited Method of forming electrodes for trench capacitors
EP0581475A1 (en) * 1992-07-29 1994-02-02 Nortel Networks Corporation Method of forming electrodes for trench capacitors
US5318927A (en) * 1993-04-29 1994-06-07 Micron Semiconductor, Inc. Methods of chemical-mechanical polishing insulating inorganic metal oxide materials
US5330931A (en) * 1993-09-22 1994-07-19 Northern Telecom Limited Method of making a capacitor for an integrated circuit
EP0606758A1 (en) * 1992-12-30 1994-07-20 Samsung Electronics Co., Ltd. SOI transistor DRAM device and method of producing the same
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
WO1995008846A1 (en) * 1993-09-22 1995-03-30 Northern Telecom Limited Structure and method of making a capacitor for an integrated circuit
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5566045A (en) * 1994-08-01 1996-10-15 Texas Instruments, Inc. High-dielectric-constant material electrodes comprising thin platinum layers
US5627094A (en) * 1995-12-04 1997-05-06 Chartered Semiconductor Manufacturing Pte, Ltd. Stacked container capacitor using chemical mechanical polishing
US5661064A (en) * 1995-11-13 1997-08-26 Micron Technology, Inc. Method of forming a capacitor having container members
US5696017A (en) * 1993-12-28 1997-12-09 Nec Corporation Method of fabricating a semiconductor device with a capacitor structure having increased capacitance
US5741722A (en) * 1996-04-12 1998-04-21 Lg Semicon Co., Ltd. Method for manufacturing DRAM device using high dielectric constant
US5786259A (en) * 1997-04-25 1998-07-28 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors including etch stopping layers
US5801079A (en) * 1994-07-29 1998-09-01 Nec Corporation Method for manufacturing a stacked capacitor type semiconductor memory device with good flatness characteristics
US5843818A (en) * 1995-12-05 1998-12-01 Samsung Electronics Co., Ltd. Methods of fabricating ferroelectric capacitors

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5046043A (en) * 1987-10-08 1991-09-03 National Semiconductor Corporation Ferroelectric capacitor and memory cell including barrier and isolation layers
US5508541A (en) * 1992-09-22 1996-04-16 Kabushiki Kaisha Toshiba Random access memory device with trench-type one-transistor memory cell structure
CA2082771C (en) * 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5416042A (en) * 1994-06-09 1995-05-16 International Business Machines Corporation Method of fabricating storage capacitors using high dielectric constant materials
JPH08139293A (en) * 1994-09-17 1996-05-31 Toshiba Corp Semiconductor substrate
US5691571A (en) * 1994-12-28 1997-11-25 Nec Corporation Semiconductor device having fine contact hole with high aspect ratio
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
KR0184064B1 (en) * 1995-12-22 1999-03-20 문정환 Method of manufacturing capacitor of semiconductor device
US5639697A (en) * 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US5757612A (en) * 1996-04-23 1998-05-26 International Business Machines Corporation Structure and fabrication method for non-planar memory elements
JP2000349249A (en) * 1999-06-08 2000-12-15 Oki Electric Ind Co Ltd Manufacture of semiconductor storage device
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0581475A1 (en) * 1992-07-29 1994-02-02 Nortel Networks Corporation Method of forming electrodes for trench capacitors
US5275974A (en) * 1992-07-30 1994-01-04 Northern Telecom Limited Method of forming electrodes for trench capacitors
US5394000A (en) * 1992-07-30 1995-02-28 Northern Telecom Limited Trench capacitor structure
EP0606758A1 (en) * 1992-12-30 1994-07-20 Samsung Electronics Co., Ltd. SOI transistor DRAM device and method of producing the same
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5318927A (en) * 1993-04-29 1994-06-07 Micron Semiconductor, Inc. Methods of chemical-mechanical polishing insulating inorganic metal oxide materials
US5330931A (en) * 1993-09-22 1994-07-19 Northern Telecom Limited Method of making a capacitor for an integrated circuit
WO1995008846A1 (en) * 1993-09-22 1995-03-30 Northern Telecom Limited Structure and method of making a capacitor for an integrated circuit
US5696017A (en) * 1993-12-28 1997-12-09 Nec Corporation Method of fabricating a semiconductor device with a capacitor structure having increased capacitance
US5801079A (en) * 1994-07-29 1998-09-01 Nec Corporation Method for manufacturing a stacked capacitor type semiconductor memory device with good flatness characteristics
US5566045A (en) * 1994-08-01 1996-10-15 Texas Instruments, Inc. High-dielectric-constant material electrodes comprising thin platinum layers
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5661064A (en) * 1995-11-13 1997-08-26 Micron Technology, Inc. Method of forming a capacitor having container members
US5627094A (en) * 1995-12-04 1997-05-06 Chartered Semiconductor Manufacturing Pte, Ltd. Stacked container capacitor using chemical mechanical polishing
US5843818A (en) * 1995-12-05 1998-12-01 Samsung Electronics Co., Ltd. Methods of fabricating ferroelectric capacitors
US5741722A (en) * 1996-04-12 1998-04-21 Lg Semicon Co., Ltd. Method for manufacturing DRAM device using high dielectric constant
US5786259A (en) * 1997-04-25 1998-07-28 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors including etch stopping layers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1040518A4 *

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1133792A1 (en) * 1998-11-25 2001-09-19 Advanced Technology Materials, Inc. Chemical mechanical polishing of feram capacitors
EP1133792A4 (en) * 1998-11-25 2006-12-06 Advanced Tech Materials Chemical mechanical polishing of feram capacitors
US6566220B2 (en) 1999-06-10 2003-05-20 Infineon Technologies Ag Method for fabricating a semiconductor memory component
DE19926501A1 (en) * 1999-06-10 2000-12-21 Siemens Ag Method of manufacturing a semiconductor memory device
JP2003512721A (en) * 1999-10-20 2003-04-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Method for manufacturing capacitor electrode having barrier structure
DE19950540B4 (en) * 1999-10-20 2005-07-21 Infineon Technologies Ag Process for the preparation of a capacitor electrode with barrier structure
WO2001029885A3 (en) * 1999-10-20 2001-06-14 Infineon Technologies Ag Method for production of a capacitor electrode with a barrier structure
DE19950540A1 (en) * 1999-10-20 2001-05-03 Infineon Technologies Ag Process for producing a capacitor electrode with a barrier structure
US6686265B2 (en) 1999-10-20 2004-02-03 Infineon Technologies Ag Method of producing a capacitor electrode with a barrier structure
WO2001029885A2 (en) * 1999-10-20 2001-04-26 Infineon Technologies Ag Method for production of a capacitor electrode with a barrier structure
EP1119027A3 (en) * 2000-01-21 2004-11-24 Lucent Technologies Inc. A capacitor for integration with copper damascene structure and manufacturing method
EP1119027A2 (en) * 2000-01-21 2001-07-25 Lucent Technologies Inc. A capacitor for integration with copper damascene structure and manufacturing method
US6576525B2 (en) 2001-03-19 2003-06-10 International Business Machines Corporation Damascene capacitor having a recessed plate
US6664581B2 (en) 2001-03-19 2003-12-16 International Business Machines Corporation Damascene capacitor having a recessed plate
US6861353B2 (en) 2001-12-21 2005-03-01 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US6884723B2 (en) 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US7049237B2 (en) 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US6730592B2 (en) 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US7244678B2 (en) 2001-12-21 2007-07-17 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using complexing agents
US7327034B2 (en) 2001-12-21 2008-02-05 Micron Technology, Inc. Compositions for planarization of metal-containing surfaces using halogens and halide salts
US7524346B2 (en) 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US8142675B2 (en) 2002-01-25 2012-03-27 Air Products And Chemicals, Inc. Compositions for chemical-mechanical planarization of noble-metal-featured substrates, associated methods, and substrates produced by such methods
CN100381609C (en) * 2005-11-03 2008-04-16 上海交通大学 TaWN film in copper interlinked barrier layer material

Also Published As

Publication number Publication date
JP2001524755A (en) 2001-12-04
EP1040518A4 (en) 2001-03-21
KR20010032312A (en) 2001-04-16
KR100643061B1 (en) 2006-11-10
EP1040518A1 (en) 2000-10-04
US5976928A (en) 1999-11-02
AU1589399A (en) 1999-06-15

Similar Documents

Publication Publication Date Title
US5976928A (en) Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
JP2002530890A5 (en)
US6461914B1 (en) Process for making a MIM capacitor
US4956313A (en) Via-filling and planarization technique
US6261158B1 (en) Multi-step chemical mechanical polishing
EP0958600B1 (en) Method of forming a capacitor
US6281535B1 (en) Three-dimensional ferroelectric capacitor structure for nonvolatile random access memory cell
US6248667B1 (en) Chemical mechanical polishing method using double polishing stop layer
US6924236B2 (en) Manufacturing method of semiconductor device
US20060001019A1 (en) Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
KR20010067081A (en) Polishing method, patterning method, method of manufacturing semiconductor device, and semiconductor intergrated circuit device
US6017803A (en) Method to prevent dishing in chemical mechanical polishing
US7078241B2 (en) Methods of forming ferroelectric capacitors using separate polishing processes
US6716732B2 (en) Method for fabricating a contact pad of semiconductor device
US6294470B1 (en) Slurry-less chemical-mechanical polishing
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
US6350693B2 (en) Method of CMP of polysilicon
US6864177B2 (en) Method for manufacturing metal line contact plug of semiconductor device
US7129173B2 (en) Process for producing and removing a mask layer
US7670902B2 (en) Method and structure for landing polysilicon contact
EP1372187A1 (en) Method for manufacturing semiconductor device
Russell et al. Damascene processing of ferroelectric capacitors
KR100278487B1 (en) Manufacturing Method of Semiconductor Device
JPH09504412A (en) Structure of capacitor for integrated circuit and manufacturing method thereof

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DE DK DK EE EE ES FI FI GB GE GH HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020007005522

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 522624

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1998960248

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1998960248

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020007005522

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: CA

WWG Wipo information: grant in national office

Ref document number: 1020007005522

Country of ref document: KR