WO1999054920A3 - Electro-chemical deposition cell for face-up processing of single semiconductor substrates - Google Patents

Electro-chemical deposition cell for face-up processing of single semiconductor substrates Download PDF

Info

Publication number
WO1999054920A3
WO1999054920A3 PCT/US1999/008784 US9908784W WO9954920A3 WO 1999054920 A3 WO1999054920 A3 WO 1999054920A3 US 9908784 W US9908784 W US 9908784W WO 9954920 A3 WO9954920 A3 WO 9954920A3
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
provides
electro
electroplating solution
support member
Prior art date
Application number
PCT/US1999/008784
Other languages
French (fr)
Other versions
WO1999054920A2 (en
Inventor
Yezdi Dordi
Joe Stevens
Roy Edwards
Robert B Lowrance
Michael Sugarman
Mark Denome
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP55332299A priority Critical patent/JP2002506489A/en
Priority to EP99921430A priority patent/EP0992062A2/en
Priority to KR19997012100A priority patent/KR20010014064A/en
Publication of WO1999054920A2 publication Critical patent/WO1999054920A2/en
Publication of WO1999054920A3 publication Critical patent/WO1999054920A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • C25D21/18Regeneration of process solutions of electrolytes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/20Electroplating using ultrasonics, vibrations
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

The invention generally provides an apparatus and a method for electro-chemically depositing a uniform metal layer onto a substrate. More specifically, the invention provides an electro-chemical deposition cell for face-up processing of semiconductor substrates comprising a substrate support member, a cathode connected to the substrate plating suface, an anode disposed above the substrate support member and an electroplating solution inlet supplying an electroplating solution fluidly connecting the anode and the substrate plating surface. Preferably, the anode comprises a consumable metal source disposed in a liquid permeable structure, and the anode and a cavity ring define a cavity for holding and distributing the electroplating solution to the substrate plating surface. Preferably, the substrate support member comprises a vacuum chuck having vacuum ports disposed on the substrate supporting surface that serves to provide suction during processing and to provide a blow-off gas flow to prevent backside contamination during substrate transfers. The substrate support member also rotates and vibrates during processing to enhance the electro-deposition onto the substrate plating surface. Another aspect of the invention provides a dual catch-cup system comprising an electroplating solution catch-cup and a rinse catch-cup. The dual catch-cup system provides separation of the electroplating solution and the rinse solutions during processing and provides re-circulating systems for the different solutions of the electroplating system. The invention also provides an apparatus for delivering an electrical power to a substrate surface comprising an annular ring electrically connected to a power supply, the annular ring having a contact portion to electrically contact a peripheral portion of the substrate surface. Preferably, the contact portion comprises annular surface, such as a metal impregnated elastomer ring, to provide continuous electrical contact with the peripheral portion of the substrate. Another aspect of the invention provides an apparatus for holding a substrate for electro-chemical deposition comprising a substrate holder having a substrate support surface and an annular ring electrically connected to a power supply, the annular ring having a contact portion to electrically contact a peripheral portion of the substrate surface.
PCT/US1999/008784 1998-04-21 1999-04-21 Electro-chemical deposition cell for face-up processing of single semiconductor substrates WO1999054920A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP55332299A JP2002506489A (en) 1998-04-21 1999-04-21 Sheet-type electrochemical electrodeposition cell for processing semiconductor substrates face up
EP99921430A EP0992062A2 (en) 1998-04-21 1999-04-21 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
KR19997012100A KR20010014064A (en) 1998-04-21 1999-04-21 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8249498P 1998-04-21 1998-04-21
US60/082,494 1998-04-21

Publications (2)

Publication Number Publication Date
WO1999054920A2 WO1999054920A2 (en) 1999-10-28
WO1999054920A3 true WO1999054920A3 (en) 2000-04-06

Family

ID=22171573

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/008784 WO1999054920A2 (en) 1998-04-21 1999-04-21 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Country Status (6)

Country Link
US (3) US6416647B1 (en)
EP (1) EP0992062A2 (en)
JP (1) JP2002506489A (en)
KR (1) KR20010014064A (en)
TW (1) TW589408B (en)
WO (1) WO1999054920A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US20040104120A1 (en) * 1998-11-28 2004-06-03 Hui Wang Method and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6726823B1 (en) * 1998-11-28 2004-04-27 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
KR100773165B1 (en) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 Semiconductor wafer processing apparatus and processing method
KR20010107766A (en) * 2000-05-26 2001-12-07 마에다 시게루 Substrate processing apparatus and substrate plating apparatus
US6454927B1 (en) 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US6610189B2 (en) * 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US6478937B2 (en) * 2001-01-19 2002-11-12 Applied Material, Inc. Substrate holder system with substrate extension apparatus and associated method
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6908540B2 (en) 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
JP2003060012A (en) * 2001-08-08 2003-02-28 Asm Japan Kk Reaction chamber for semiconductor treatment
JP3681670B2 (en) * 2001-09-25 2005-08-10 シャープ株式会社 Semiconductor integrated circuit manufacturing apparatus and manufacturing method
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20070062647A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Method and apparatus for isolative substrate edge area processing
US6855235B2 (en) * 2002-05-28 2005-02-15 Applied Materials, Inc. Anode impedance control through electrolyte flow control
US6843897B2 (en) * 2002-05-28 2005-01-18 Applied Materials, Inc. Anode slime reduction method while maintaining low current
US7601248B2 (en) * 2002-06-21 2009-10-13 Ebara Corporation Substrate holder and plating apparatus
US9624596B2 (en) 2002-07-22 2017-04-18 Ebara Corporation Electrochemical deposition method
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040084318A1 (en) * 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040104119A1 (en) * 2002-12-02 2004-06-03 Applied Materials, Inc. Small volume electroplating cell
US20040108213A1 (en) * 2002-12-09 2004-06-10 Talasek Robert T. Plating bath composition control
US7270735B2 (en) * 2003-01-21 2007-09-18 Seagate Technology Llc System and method for holding and releasing a workpiece for electrochemical machining
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7146994B2 (en) * 2003-03-17 2006-12-12 Novellus Systems, Inc. Active rinse shield for electrofill chemical bath and method of use
WO2004112093A2 (en) * 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
JP2005029830A (en) * 2003-07-10 2005-02-03 Ebara Corp Plating device and plating method
US20050051437A1 (en) * 2003-09-04 2005-03-10 Keiichi Kurashina Plating apparatus and plating method
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
WO2005038084A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050098198A1 (en) * 2003-11-10 2005-05-12 Reg Yang Washing device for packaging the image
US7044476B2 (en) * 2003-11-25 2006-05-16 N&K Technology, Inc. Compact pinlifter assembly integrated in wafer chuck
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050121329A1 (en) * 2003-12-05 2005-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Thrust pad assembly for ECP system
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
KR101248182B1 (en) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 In-situ clean chamber for front end of line fabrication
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7371312B2 (en) * 2004-03-31 2008-05-13 Intel Corporation Using cell voltage as a monitor for deposition coverage
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7226860B2 (en) * 2004-04-28 2007-06-05 Taiwan Semiconductor Manfacturing Co. Ltd. Method and apparatus for fabricating metal layer
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US7292427B1 (en) * 2004-10-12 2007-11-06 Kla-Tencor Technologies Corporation Pin lift chuck assembly for warped substrates
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
TWI259538B (en) * 2004-11-22 2006-08-01 Au Optronics Corp Thin film transistor and fabrication method thereof
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US8420435B2 (en) * 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US7566390B2 (en) * 2004-12-15 2009-07-28 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7155319B2 (en) 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US20070238265A1 (en) * 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
JP2006299367A (en) * 2005-04-22 2006-11-02 Yamamoto Mekki Shikenki:Kk Electroplating tester
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US8153318B2 (en) 2006-11-08 2012-04-10 Alan Devoe Method of making a fuel cell device
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US8029937B2 (en) 2006-05-11 2011-10-04 Alan Devoe Solid oxide fuel cell device and system
JP4937655B2 (en) * 2006-07-18 2012-05-23 株式会社東設 Electroplating equipment
US8035028B2 (en) * 2006-10-09 2011-10-11 Solexel, Inc. Pyramidal three-dimensional thin-film solar cells
US8084684B2 (en) 2006-10-09 2011-12-27 Solexel, Inc. Three-dimensional thin-film solar cells
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US20100304521A1 (en) * 2006-10-09 2010-12-02 Solexel, Inc. Shadow Mask Methods For Manufacturing Three-Dimensional Thin-Film Solar Cells
US20080264477A1 (en) * 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
US8293558B2 (en) * 2006-10-09 2012-10-23 Solexel, Inc. Method for releasing a thin-film substrate
US7999174B2 (en) * 2006-10-09 2011-08-16 Solexel, Inc. Solar module structures and assembly methods for three-dimensional thin-film solar cells
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8278013B2 (en) 2007-05-10 2012-10-02 Alan Devoe Fuel cell device and system
WO2009026240A1 (en) * 2007-08-17 2009-02-26 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8227128B2 (en) 2007-11-08 2012-07-24 Alan Devoe Fuel cell device and system
US8343684B2 (en) 2008-03-07 2013-01-01 Alan Devoe Fuel cell device and system
KR100957366B1 (en) 2008-03-13 2010-05-12 현대자동차주식회사 Jig apparatus for fuel cell separator
US20100144080A1 (en) * 2008-06-02 2010-06-10 Solexel, Inc. Method and apparatus to transfer coat uneven surface
JP5379237B2 (en) 2008-10-28 2013-12-25 アラン・デヴォー Fuel cell device and system
US20100101730A1 (en) * 2008-10-29 2010-04-29 Jusung Engineering Co., Ltd. Substrate processing apparatus
EP2356675B1 (en) * 2008-11-13 2016-06-01 Solexel, Inc. Three dimensional thin film solar cell and manufacturing method thereof
US8288195B2 (en) * 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
EP2371006A4 (en) * 2008-11-26 2013-05-01 Solexel Inc Truncated pyramid structures for see-through solar cells
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
WO2010083422A1 (en) * 2009-01-15 2010-07-22 Solexel, Inc. Porous silicon electro-etching system and method
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
MY162405A (en) * 2009-02-06 2017-06-15 Solexel Inc Trench Formation Method For Releasing A Thin-Film Substrate From A Reusable Semiconductor Template
US8828517B2 (en) 2009-03-23 2014-09-09 Solexel, Inc. Structure and method for improving solar cell efficiency and mechanical strength
CN102427971B (en) * 2009-04-14 2015-01-07 速力斯公司 High efficiency epitaxial chemical vapor deposition (cvd) reactor
US9099584B2 (en) * 2009-04-24 2015-08-04 Solexel, Inc. Integrated three-dimensional and planar metallization structure for thin film solar cells
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
MY165969A (en) 2009-05-05 2018-05-18 Solexel Inc High-productivity porous semiconductor manufacturing equipment
US8445314B2 (en) * 2009-05-22 2013-05-21 Solexel, Inc. Method of creating reusable template for detachable thin film substrate
US8551866B2 (en) * 2009-05-29 2013-10-08 Solexel, Inc. Three-dimensional thin-film semiconductor substrate with through-holes and methods of manufacturing
US20130167915A1 (en) 2009-12-09 2013-07-04 Solexel, Inc. High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using three-dimensional semiconductor absorbers
CN102844883B (en) 2010-02-12 2016-01-20 速力斯公司 For the manufacture of the two-sided reusable template of the Semiconductor substrate of photocell and microelectronic component
JP2011190530A (en) * 2010-02-16 2011-09-29 Canon Anelva Corp Shutter device and vacuum processing apparatus
KR20110106178A (en) * 2010-03-22 2011-09-28 삼성전자주식회사 Apparatus and method for treating substrate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156657A2 (en) 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
MY158500A (en) 2010-08-05 2016-10-14 Solexel Inc Backplane reinforcement and interconnects for solar cells
AU2011316687B2 (en) 2010-10-11 2014-10-30 Cook Medical Technologies Llc Medical devices with detachable pivotable jaws
TWI580814B (en) 2010-10-21 2017-05-01 荏原製作所股份有限公司 Substrate processing apparatus, and plating apparatus and plating method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9748414B2 (en) 2011-05-20 2017-08-29 Arthur R. Zingher Self-activated front surface bias for a solar cell
US9117856B2 (en) * 2011-07-06 2015-08-25 Tel Nexx, Inc. Substrate loader and unloader having an air bearing support
SG10201605873QA (en) * 2011-07-19 2016-09-29 Ebara Corp Plating apparatus and plating method
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013082415A2 (en) 2011-11-30 2013-06-06 Alan Devoe Fuel cell device
NL2009689A (en) * 2011-12-01 2013-06-05 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
US9023555B2 (en) 2012-02-24 2015-05-05 Alan Devoe Method of making a fuel cell device
EP2817842B1 (en) 2012-02-24 2016-04-13 Alan Devoe Method of making a fuel cell device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
CN102936744B (en) * 2012-11-29 2015-04-29 成都瑞迪机械实业有限公司 Chromium plating fixture for hole parts
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN104576280B (en) * 2013-10-23 2017-10-20 中微半导体设备(上海)有限公司 Plasma process chamber and its de-clamping apparatus and method
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6222145B2 (en) 2015-03-11 2017-11-01 トヨタ自動車株式会社 Metal film forming apparatus and film forming method
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170053822A1 (en) * 2015-08-23 2017-02-23 Camtek Ltd. Warped wafers vacuum chuck
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107768270B (en) * 2016-08-16 2020-04-07 沈阳芯源微电子设备股份有限公司 Device for preventing backsplash liquid from polluting wafer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
DE17895903T1 (en) 2017-02-08 2020-01-16 Picosun Oy Separating or cleaning device with a movable structure and method of operation
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN112074625B (en) 2018-03-29 2024-03-08 应用材料公司 Substrate cleaning component and method in electroplating system
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11241718B2 (en) * 2018-04-20 2022-02-08 Applied Materials, Inc. Cleaning components and methods in a plating system
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6971922B2 (en) * 2018-06-27 2021-11-24 株式会社荏原製作所 Board holder
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020067246A1 (en) * 2018-09-27 2020-04-02 東京エレクトロン株式会社 Substrate processing device and substrate processing method
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7233266B2 (en) * 2018-10-25 2023-03-06 東京エレクトロン株式会社 Stage equipment and processing equipment
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11352711B2 (en) * 2019-07-16 2022-06-07 Applied Materials, Inc. Fluid recovery in semiconductor processing
JP2021044336A (en) * 2019-09-10 2021-03-18 キオクシア株式会社 Semiconductor manufacturing device
CN111681984B (en) * 2020-06-10 2023-09-15 上海御微半导体技术有限公司 Sheet bearing device and wafer detection equipment
US11602064B2 (en) 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
US11848218B2 (en) * 2020-10-22 2023-12-19 Applied Materials, Inc. Semiconductor chamber component cleaning systems
EP4015674A1 (en) * 2020-12-16 2022-06-22 Semsysco GmbH System for a surface treatment of a substrate with a fluid
CN112795970B (en) * 2020-12-30 2021-12-21 安徽持恒电子科技有限公司 Copper plating device for circuit board printing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4435266A (en) * 1981-10-01 1984-03-06 Emi Limited Electroplating arrangements
JPH01255684A (en) * 1988-04-01 1989-10-12 Nec Corp Equipment for producing semiconductor wafer
JPH04131395A (en) * 1990-09-21 1992-05-06 Toshiba Corp Method and device for plating semiconductor wafer
US5441629A (en) * 1993-03-30 1995-08-15 Mitsubishi Denki Kabushiki Kaisha Apparatus and method of electroplating
DE19803490A1 (en) * 1997-04-28 1998-10-29 Mitsubishi Electric Corp Electrodeposition unit for semiconductor wafer coating

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
ES440918A1 (en) 1975-03-11 1977-06-01 Oxy Metal Industries Corp Electrodeposition of copper
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (en) 1976-04-08 1983-04-18 富士写真フイルム株式会社 Spin coating method
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
JPS58182823A (en) 1982-04-21 1983-10-25 Nec Corp Plating apparatus for semiconductor wafer
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4510176A (en) 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS63118093A (en) 1986-11-05 1988-05-23 Tanaka Electron Ind Co Ltd Method for tinning electronic parts
US4861452A (en) 1987-04-13 1989-08-29 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4874476A (en) 1987-04-13 1989-10-17 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US5024746A (en) 1987-04-13 1991-06-18 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
JP2675309B2 (en) 1987-09-19 1997-11-12 パイオニア株式会社 Electroless plating method and apparatus
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5168886A (en) * 1988-05-25 1992-12-08 Semitool, Inc. Single wafer processor
US5168887A (en) * 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02205697A (en) 1989-02-03 1990-08-15 Nec Corp Bump plating device
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5156174A (en) * 1990-05-18 1992-10-20 Semitool, Inc. Single wafer processor with a bowl
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
JP2697773B2 (en) 1991-03-11 1998-01-14 日本エレクトロプレイテイング・エンジニヤース 株式会社 Plating method
JP3200468B2 (en) 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Wafer plating equipment
JP2654314B2 (en) 1992-06-04 1997-09-17 東京応化工業株式会社 Backside cleaning device
JPH0617291A (en) 1992-07-03 1994-01-25 Nec Corp Metal plating device
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5837120A (en) * 1994-09-30 1998-11-17 Electroplating Technologies, Inc. Method and apparatus for electrochemical processing
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5879520A (en) * 1994-08-26 1999-03-09 Griego; Thomas P. Rotary electrodeposition apparatus
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
WO1997027348A1 (en) * 1996-01-23 1997-07-31 Minnesota Mining And Manufacturing Company Apparatus and method for electroplating a metal onto a substrate
FR2745589B1 (en) * 1996-02-29 1998-04-30 Snecma HIGH STRENGTH-TO-MASS HYBRID PART AND METHOD FOR PRODUCING THE SAME
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
EP1055020A2 (en) * 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6383352B1 (en) * 1998-11-13 2002-05-07 Mykrolis Corporation Spiral anode for metal plating baths
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
DE19855742C1 (en) * 1998-12-03 2000-09-14 Mtu Muenchen Gmbh Brush seal with angled bristles
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6270635B1 (en) * 1999-04-27 2001-08-07 Advanced Micro Devices, Inc. Consistent plating system for electroplating
JP2002086327A (en) 2000-01-27 2002-03-26 Seiko Epson Corp Jig for machining

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4435266A (en) * 1981-10-01 1984-03-06 Emi Limited Electroplating arrangements
JPH01255684A (en) * 1988-04-01 1989-10-12 Nec Corp Equipment for producing semiconductor wafer
JPH04131395A (en) * 1990-09-21 1992-05-06 Toshiba Corp Method and device for plating semiconductor wafer
US5441629A (en) * 1993-03-30 1995-08-15 Mitsubishi Denki Kabushiki Kaisha Apparatus and method of electroplating
DE19803490A1 (en) * 1997-04-28 1998-10-29 Mitsubishi Electric Corp Electrodeposition unit for semiconductor wafer coating

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DATABASE WPI Section Ch Week 198947, Derwent World Patents Index; Class L03, AN 1989-343879, XP002129709 *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 400 (C - 0977) 25 August 1992 (1992-08-25) *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching

Also Published As

Publication number Publication date
TW589408B (en) 2004-06-01
US6599402B2 (en) 2003-07-29
US20020157960A1 (en) 2002-10-31
JP2002506489A (en) 2002-02-26
KR20010014064A (en) 2001-02-26
EP0992062A2 (en) 2000-04-12
US6416647B1 (en) 2002-07-09
US20040020781A1 (en) 2004-02-05
WO1999054920A2 (en) 1999-10-28

Similar Documents

Publication Publication Date Title
WO1999054920A3 (en) Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US8172989B2 (en) Prevention of substrate edge plating in a fountain plating process
US6908540B2 (en) Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
TWI531418B (en) Wetting a workpiece surface in a fluid-processing system
WO2000040779A8 (en) Method, chemistry, and apparatus for high deposition rate solder electroplating on a microelectronic workpiece
WO2000032835A8 (en) Electro-chemical deposition system
US20020020627A1 (en) Plating apparatus and plating method for substrate
JP2001158968A (en) System and method for enhancing in-situ electroless copper seed layer in electroplating system
JP6056987B2 (en) Metal film forming apparatus and film forming method
JP2015071802A (en) Plating apparatus and cleaning device used in the same
WO2004072331A3 (en) Apparatus and method for highly controlled electrodeposition
US20030019741A1 (en) Method and apparatus for sealing a substrate surface during an electrochemical deposition process
WO2008071239A1 (en) Apparatus and process for single-side wet chemical and electrolytic treatment of goods
KR20100063248A (en) Wafer plating apparatus and method for the same
TW201000682A (en) Apparatus and process for the one-sided wet-chemical and/or electrolytic treatment of material
EP3498891B1 (en) Electroplating system with pressure device
KR20100077447A (en) Wafer plating apparatus
US20030201170A1 (en) Apparatus and method for electropolishing a substrate in an electroplating cell
JPS62277234A (en) Electrostatic chuck device
KR100865448B1 (en) Electro chemical plating apparatus and method thereof
US6869516B2 (en) Method for removing electrolyte from electrical contacts and wafer touching areas
JP2000273698A (en) Cathode electrode for wafer plating
JP3578204B2 (en) Substrate plating equipment
JP4371494B2 (en) Cup type plating equipment
JP2008198673A (en) Compound electrolytic polishing apparatus

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

WWE Wipo information: entry into national phase

Ref document number: 1999921430

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1019997012100

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

WWP Wipo information: published in national office

Ref document number: 1999921430

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1019997012100

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1019997012100

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999921430

Country of ref document: EP