WO2000003064A9 - Gas distributor plate for a processing apparatus - Google Patents

Gas distributor plate for a processing apparatus

Info

Publication number
WO2000003064A9
WO2000003064A9 PCT/US1999/015867 US9915867W WO0003064A9 WO 2000003064 A9 WO2000003064 A9 WO 2000003064A9 US 9915867 W US9915867 W US 9915867W WO 0003064 A9 WO0003064 A9 WO 0003064A9
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
shower head
interior region
plasma source
reactive species
Prior art date
Application number
PCT/US1999/015867
Other languages
French (fr)
Other versions
WO2000003064A1 (en
Inventor
Kam S Law
Quanyuan Shang
Sheng Sun
Emanuel Beer
Original Assignee
Applied Komatsu Technology Inc
Kam S Law
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Komatsu Technology Inc, Kam S Law filed Critical Applied Komatsu Technology Inc
Priority to EP99933993A priority Critical patent/EP1102870A1/en
Priority to JP2000559278A priority patent/JP4514336B2/en
Publication of WO2000003064A1 publication Critical patent/WO2000003064A1/en
Publication of WO2000003064A9 publication Critical patent/WO2000003064A9/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Definitions

  • the present invention relates generally to substrate processing chambers, and, in particular, to a gas inlet manifold or shower head for such chambers.
  • Glass substrates are being used for applications such as active matrix television and computer displays, among others.
  • Each glass substrate can form multiple display monitors each of which contains more than a million thin film transistors.
  • the glass substrates can have dimensions, for example, of 550 mm by 650 mm.
  • the trend, however, is toward even larger substrate sizes, such as 650 mm by 830 mm and larger, to allow more displays to be formed on the substrate or to allow larger displays to be produced.
  • the larger sizes place even greater demands on the capabilities of the processing systems.
  • the processing of large glass substrates often involves the performance of multiple sequential steps, including, for example, the performance of chemical vapor deposition (CVD) processes, physical vapor deposition (PVD) processes, or etch processes.
  • Systems for processing glass substrates can include one or more process chambers for performing those processes.
  • the foregoing in-situ cleaning technique has several disadvantages.
  • the high power levels tend to produce damage to the hardware inside of the chamber thereby significantly shortening its useful life. Since the replacement of the damaged hardware can be quite costly, this can significantly increase the per substrate cost of a product that is processed using the deposition system.
  • the increased operating costs resulting from periodically having to replace parts that are damaged during the cleaning process is very undesirable.
  • a different technique for cleaning a process chamber is described in the previously mentioned U.S. Patent Application Serial No. 08/707,491.
  • the technique described in that application includes delivering a precursor gas into a remote chamber that is outside of the deposition chamber and activating the precursor gas in the remote chamber to form a reactive species.
  • Activation of the precursor gas which can include, for example, NF 3
  • the reactive species flows from the remote chamber into the deposition chamber and is used to clean the inside of the deposition chamber.
  • Using a remote plasma source can reduce or eliminate the damage that occurs during the cleaning process .
  • the shower head in some of the foregoing systems is formed from aluminum.
  • the surface of the aluminum shower head in in-situ chambers is anodized to maintain its reliability.
  • the shower head can be anodized by dipping it into sulfuric acid, thereby forming a layer of aluminum oxide (Al 2 0 3 ) over the surface of the shower head.
  • Al 2 0 3 aluminum oxide
  • One disadvantage of using an anodized aluminum shower head in a system having a remote plasma source is that the anodized aluminum appears to deactivate a significant amount of the fluorine radicals that form when the precursor gas NF 3 is activated. The result is that the rate at which the chamber can be cleaned is reduced.
  • a substrate processing system includes a processing chamber and a plasma source located external to the chamber.
  • a conduit connects the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber.
  • a shower head having a non-anodized aluminum or bare aluminum outer layer presented to the interior region of the chamber is disposed between the plasma source and the chamber interior .
  • bare aluminum is defined to refer to an aluminum material whose surface has been processed mechanically, chemically or using some other technique to remove various contaminants which may have accumulated on the surface during or subsequent to machining of the shower head. Although a thin native oxide subsequently may form over the surface of the aluminum, such aluminum is still referred to as “bare aluminum” for the purposes of the present invention.
  • the shower head can include a fluorine-based protective outer layer, such as aluminum fluoride or Teflon * .
  • the fluorine-based outer layer can be disposed, for example, on an aluminum material, such as an electro-polished aluminum surface.
  • the deposition chamber can be a CVD chamber, such as a plasma enhanced chemical deposition chamber, and can include multiple radio frequency (RF) powered electrodes.
  • the shower head can serve as one of the electrodes as well as serving as a gas distribution mechanism to provide substantially uniform gas flow to an region of the chamber.
  • the plasma source can include a precursor gas source comprising, for example, a fluorine-based compound, such as nitrogen fluoride.
  • a method of cleaning a processing chamber includes forming a reactive species external to the chamber and providing the reactive species to an interior region of the chamber via a shower head having a non-anodized or bare aluminum surface or an outer surface comprising an inert fluorine-based compound .
  • Forming the reactive species can include activating a precursor gas.
  • the precursor gas can comprise, for example, a fluorine-based compound such as nitrogen fluoride.
  • the reactive species can include, for example, fluorine radicals. Other precursor gases and reactive species also can be used.
  • Using the surface-treated shower head of the invention can increase the removal rate of materials deposited on inner surfaces of a substrate processing chamber.
  • the chamber cleaning process can be made more efficient and, therefore, can be completed more quickly compared to the capabilities of a chamber with an anodized shower head.
  • the modified shower head tends to absorb fewer fluorine radicals during the cleaning process, there is less likelihood that a substrate will become contaminated during processing.
  • the modified shower head can result in a higher reflectivity compared to an anodized shower head. The higher reflectivity results in a more efficient use of the heat source that heats the substrate in the chamber during processing.
  • FIG. 1 illustrates a PECVD system according to the invention.
  • FIG. 2A illustrates a top view of an exemplary shower head.
  • FIG. 2B shows a partial cross-section of the shower head of FIG. 2A.
  • FIG. 3 is a flow chart of one method of forming a shower head according to the invention.
  • FIG. 4 is a flow chart of another method of forming a shower head according to the invention.
  • FIG. 5A shows a partial cross-section of a shower head with a bare aluminum surface according to the invention.
  • FIG. 5B shows a partial cross-section of a shower head with a outer coating of a fluorine-based compound according to the invention.
  • a plasma-enhanced chemical vapor deposition (PECVD) apparatus 10 is part of a system having multiple process chambers which can be used, for example, to deposit amorphous silicon, silicon nitride, silicon oxide and oxy-nitride films on glass or other substrates.
  • the PECVD system 10 can be used, for example, in the production of active-matrix liquid crystal displays .
  • the PECVD apparatus 10 includes a deposition chamber 12 having an opening through a top wall 14 and a shower head 16 within the opening.
  • the shower head 16 allows the gas to pass to the interior region of the chamber 12.
  • the shower head 16 serves as a first electrode.
  • the top wall 14 can be solid with the electrode 16 adjacent to the inner surface of the top wall.
  • the shower head 16 also serves as a gas distribution mechanism which provides a substantially uniform gas flow to the interior of the chamber.
  • a susceptor 18 in the form of a plate extends within the chamber 12 parallel to the first electrode 16.
  • the first electrode 16 i.e., the gas inlet manifold
  • the first electrode 16 is connected to an RF power source 36 which is external to the chamber 12.
  • the gas inlet manifold 16 includes a substantially rectangular-shaped shower head 15 (FIG. 2A) , including, for example aluminum or an aluminum alloy, with multiple cone-shaped holes 17 extending from the top-surface 15A of the shower head to its bottom surface 15B (FIGS. 2A- 2B) .
  • the holes 17 can be equally- spaced from one another such that a shower head having dimensions of approximately 600 mm by 700 mm may include several thousand holes.
  • the susceptor 18 may be formed of aluminum and can be coated with a layer of aluminum oxide . Embedded within the susceptor 18 are one or more heating elements which are controlled to heat the susceptor.
  • the susceptor 18 is connected to ground so that it serves as a second electrode and is mounted on the end of a shaft 20 which extends vertically through a bottom wall 22 of the chamber 12.
  • the shaft 20 is movable vertically to permit the vertical movement of the susceptor 18 toward and away from the first electrode 16.
  • a lift-off plate 24 extends horizontally between the susceptor 18 and the bottom wall 22 of the chamber 12 substantially parallel to the susceptor and is movable vertically.
  • Lift-off pins 26 project vertically upward from the lift-off plate 24.
  • the lift-off pins 26 are positioned to be able to extend through lift holes 28 in the susceptor 18, and have a length slightly longer than the thickness of the susceptor. While only two lift-off pins 26 are shown in FIG. 1, there may be additional lift-off pins spaced around the lift-off plate 24.
  • a gas outlet 30 extends through a side wall 32 of the chamber 12 and is connected to a pump (not shown) , for evacuating the chamber.
  • a gas inlet conduit or pipe 42 extends into the gas inlet manifold 16 and is connected through a gas switching network to sources of various gases .
  • a gas supply 52 located outside the chamber 12 contains the gases that are used during deposition. The particular gases that are used depend upon the materials are to be deposited onto the substrate.
  • the process gases flow through the inlet pipe 42 into the gas manifold 16 and then into the chamber.
  • An electronically operated valve and flow control mechanism 54 controls the flow of gases from the gas supply into the chamber 12.
  • a second gas supply system also is connected to the chamber through the inlet pipe 42.
  • the second gas supply system supplies gas that is used to clean the inside of the chamber after a sequence of deposition runs.
  • cleaning refers to removing deposited material from the interior surfaces of the chamber. In some situations, the first and second gas supplies can be combined.
  • the second gas supply system includes a source of a precursor gas 64, a remote activation chamber 66 which is located outside and at a distance from the deposition chamber, a power source 68 for activating the precursor gas within the remote activation chamber, an electronically operated valve and flow control mechanism 70, and a conduit or pipe 77 connecting the remote chamber to the deposition chamber 12.
  • a source of a precursor gas 64 a precursor gas 64
  • a remote activation chamber 66 which is located outside and at a distance from the deposition chamber
  • a power source 68 for activating the precursor gas within the remote activation chamber
  • an electronically operated valve and flow control mechanism 70 for activating the precursor gas within the remote activation chamber
  • a conduit or pipe 77 connecting the remote chamber to the deposition chamber 12.
  • a flow restrictor 79 is provided in the pipe 77.
  • the flow restrictor 79 can be placed anywhere in the path between the remote chamber 66 and the deposition chamber 12. Such a flow restrictor allows a pressure differential to be present between the remote chamber 66 and the deposition chamber 10.
  • the precursor gas is NF 3 which is suitable for cleaning chambers that have been deposited with silicon (Si) , doped silicon, silicon nitride (Si 3 N 4 ) or silicon oxide (Si0 2 ) .
  • the precursor gas can include other fluorine-based gases, such as carbon tetra-fluoride (CF 4 ) , sulfur hexa-fluoride (SF 6 ) and perfluoro ethane (C 2 F 6 ) .
  • CF 4 carbon tetra-fluoride
  • SF 6 sulfur hexa-fluoride
  • C 2 F 6 perfluoro ethane
  • the shower head 15 is formed substantially of aluminum with a non-anodized aluminum surface.
  • FIG. 3 illustrates one technique for forming such a shower head. First, as indicated by step 100, a block of aluminum or an aluminum alloy is mechanically formed into the desired shape of the shower head 15, including the holes 17.
  • one or more chemical, mechanical or other processes are performed to remove contaminants such as surface dirt, processing oils, traces of foreign metals, or residue films which may have accumulated on the surface of the aluminum during or subsequent to machining.
  • a standard electro-polishing process can be performed to remove such contaminants (step 102) .
  • the machined device is placed into a nitric acid bath for about one minute.
  • the shower head then can be rinsed in water (step 104) .
  • the result is a shower head with a bare aluminum surface 80 (FIG. 5A) .
  • the aluminum- fluoride protective layer can be formed using a fluorine gas phase treatment.
  • a fluorine gas phase treatment For example, after chemically polishing the shower head, it can be placed in a chamber for approximately one hour. Fluorine gas is provided to the interior of the chamber at a pressure of about 1-10 Torr and a temperature of about 350 °C.
  • Fluorine gas is provided to the interior of the chamber at a pressure of about 1-10 Torr and a temperature of about 350 °C.
  • the fluorine- based protective layer can be formed on an aluminum surface from which the various contaminants discussed above have not been removed or which have only partially been removed.
  • the fluorine-based layer can be formed on an anodized aluminum surface as well.
  • a non-anodized or bare aluminum shower head, an electro-polished shower head, or a shower head with a fluoride-based protective coating can provide other advantages in addition to increasing the removal rate of materials deposited on inner surfaces of the chamber 12.
  • the reflectivity of a non-anodized aluminum or electro-polished shower head 15 is generally higher compared to an anodized aluminum shower head. The higher reflectivity results in a more efficient use of the heat source that heats the substrate in the chamber during processing.
  • non-anodized shower head the electro-polished shower head, as well a shower head with the fluoride-based protective layer, can reduce the amount of contamination which may occur during the deposition of thin films onto the substrate.
  • the reduced contamination results from fewer fluorine radicals being absorbed by the shower head during the cleaning process .
  • the modified shower head is less porous compared to an anodized shower head.
  • the previously used anodized shower head may act as a catalyst to deactivate fluorine radicals and may allow the process gas to accumulate in the shower head, thereby reducing the efficiency of the cleaning gases.
  • the non- anodized or electro-polished shower head, as well as the addition of the protective layer, may help reduce the amount of accumulation of fluorine radicals in the shower head and prevent the shower head from acting as a catalyst to deactivate the fluorine radicals.
  • the theory behind the improved performance of the shower head is not critical to the invention.
  • the invention can be used with other systems, including PVD, CVD and etch systems, as well as other systems using a remote plasma source with a fluorine-based species.

Abstract

A substrate processing system (10) includes a processing chamber (14) and a plasma source (68) located external to the chamber. A conduit (77) connects the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber. A shower head (17), disposed between the plasma source and an interior region of the chamber, can serve as an electrode and also can serve as a gas distribution mechanism. The shower head includes a surface treatment, such as a non-anodized aluminum outer layer, an electro-polished surface of bare aluminum, or a fluorine-based protective outer layer. The surface-treated shower head improves the rate of removal of materials deposited on the interior surfaces of the chamber during cleaning, reduces contamination of substrates during processing, and provides more efficient use of the power source used for heating the substrate during processing.

Description

GAS DISTRIBUTORPLATE FORA PROCESSING APPARATUS
Cross Reference To Related Applications
The present invention is related to U.S. Patent Application Serial No. 08/707,491, filed on September 16, 1996 and entitled "A Deposition Chamber Cleaning Technique Using A High Power Remote Excitation Source." That application, which is assigned to the assignee of the present invention, is incorporated herein by reference in its entirety.
Background The present invention relates generally to substrate processing chambers, and, in particular, to a gas inlet manifold or shower head for such chambers.
Glass substrates are being used for applications such as active matrix television and computer displays, among others. Each glass substrate can form multiple display monitors each of which contains more than a million thin film transistors.
The glass substrates can have dimensions, for example, of 550 mm by 650 mm. The trend, however, is toward even larger substrate sizes, such as 650 mm by 830 mm and larger, to allow more displays to be formed on the substrate or to allow larger displays to be produced. The larger sizes place even greater demands on the capabilities of the processing systems.
The processing of large glass substrates often involves the performance of multiple sequential steps, including, for example, the performance of chemical vapor deposition (CVD) processes, physical vapor deposition (PVD) processes, or etch processes. Systems for processing glass substrates can include one or more process chambers for performing those processes.
Plasma-enhanced chemical vapor deposition (PECVD) is another process widely used in the processing of glass substrates for depositing layers of electronic materials on the substrates. In a PECVD process, a substrate is placed in a vacuum deposition chamber equipped with a pair of parallel plate electrodes. The substrate generally is mounted on a susceptor which also serves as the lower electrode. A flow of a reactant gas is provided in the deposition chamber through a gas inlet manifold or shower head which also serves as the upper electrode. A radio frequency (RF) voltage is applied between the two electrodes which generates an RF power sufficient to cause a plasma to be formed in the reactant gas. The plasma causes the reactant gas to decompose and deposit a layer of the desired material on the surface of the substrate body. Additional layers of other electronic materials can be deposited on the first layer by flowing another reactant gas into the chamber. Each reactant gas is subjected to a plasma which results in the deposition of a layer of the desired material.
Though such systems are designed to deposit the material preferentially onto the surface of the substrate, some material is also deposited onto other interior surfaces within the chamber. After repeated use, the systems must be cleaned to remove the deposited layer of material that has built up in the chamber. To clean the chamber and the exposed components within the chamber, an in-situ dry cleaning process is sometimes used. According to one in-situ technique, precursor gases are supplied to the chamber. Then, by locally applying a glow discharge plasma to the precursor gases within the chamber, reactive species are generated. The reactive species clean the chamber surfaces by forming volatile compounds with the material deposited on those surfaces .
The foregoing in-situ cleaning technique has several disadvantages. First, it is inefficient to use a plasma within the chamber to generate the reactive species. Thus, it is necessary to use relatively high powers to achieve an acceptable cleaning rate. The high power levels, however, tend to produce damage to the hardware inside of the chamber thereby significantly shortening its useful life. Since the replacement of the damaged hardware can be quite costly, this can significantly increase the per substrate cost of a product that is processed using the deposition system. In the current, highly competitive semiconductor fabrication industry where costs per substrate are critical to the cost conscious purchasers, the increased operating costs resulting from periodically having to replace parts that are damaged during the cleaning process is very undesirable. Another problem with the conventional in-situ dry cleaning processes is that the high power levels required to achieve acceptable cleaning rates also tend to generate residues or byproducts that can damage other system components, or which cannot be removed except by physically wiping off the internal surfaces of the chamber. As an example, in a deposition system in which the chamber or the process kit components (e.g. heater, shower head, clamping rings, etc.) are made of aluminum, a nitrogen fluoride (NF3) plasma is often used to clean the interior surfaces. During the cleaning process, a certain amount of aluminum fluoride (AlxFy) is formed. The amount that is formed is increased significantly by the ion bombardment that results from the high plasma energy levels. Thus, a considerable amount of AlxFy can be formed in the system and must be removed by physically wiping the surfaces.
A different technique for cleaning a process chamber is described in the previously mentioned U.S. Patent Application Serial No. 08/707,491. The technique described in that application includes delivering a precursor gas into a remote chamber that is outside of the deposition chamber and activating the precursor gas in the remote chamber to form a reactive species. Activation of the precursor gas, which can include, for example, NF3, is performed by using a remote activation source. The reactive species flows from the remote chamber into the deposition chamber and is used to clean the inside of the deposition chamber. Using a remote plasma source can reduce or eliminate the damage that occurs during the cleaning process .
As already mentioned, the shower head in some of the foregoing systems is formed from aluminum. Conventionally, the surface of the aluminum shower head in in-situ chambers is anodized to maintain its reliability. For example, the shower head can be anodized by dipping it into sulfuric acid, thereby forming a layer of aluminum oxide (Al203) over the surface of the shower head. One disadvantage of using an anodized aluminum shower head in a system having a remote plasma source is that the anodized aluminum appears to deactivate a significant amount of the fluorine radicals that form when the precursor gas NF3 is activated. The result is that the rate at which the chamber can be cleaned is reduced.
Summary In general, in one aspect, a substrate processing system includes a processing chamber and a plasma source located external to the chamber. A conduit connects the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber. A shower head having a non-anodized aluminum or bare aluminum outer layer presented to the interior region of the chamber is disposed between the plasma source and the chamber interior .
In the context of the present invention, the phrase "bare aluminum" is defined to refer to an aluminum material whose surface has been processed mechanically, chemically or using some other technique to remove various contaminants which may have accumulated on the surface during or subsequent to machining of the shower head. Although a thin native oxide subsequently may form over the surface of the aluminum, such aluminum is still referred to as "bare aluminum" for the purposes of the present invention.
According to another embodiment , the shower head can include a fluorine-based protective outer layer, such as aluminum fluoride or Teflon*. The fluorine-based outer layer can be disposed, for example, on an aluminum material, such as an electro-polished aluminum surface.
In various implementations, one or more of the following features are present. The deposition chamber can be a CVD chamber, such as a plasma enhanced chemical deposition chamber, and can include multiple radio frequency (RF) powered electrodes. The shower head can serve as one of the electrodes as well as serving as a gas distribution mechanism to provide substantially uniform gas flow to an region of the chamber. The plasma source can include a precursor gas source comprising, for example, a fluorine-based compound, such as nitrogen fluoride.
In another aspect, a method of cleaning a processing chamber includes forming a reactive species external to the chamber and providing the reactive species to an interior region of the chamber via a shower head having a non-anodized or bare aluminum surface or an outer surface comprising an inert fluorine-based compound .
Various implementations include one or more of the following features. Forming the reactive species can include activating a precursor gas. The precursor gas can comprise, for example, a fluorine-based compound such as nitrogen fluoride. The reactive species can include, for example, fluorine radicals. Other precursor gases and reactive species also can be used.
Using the surface-treated shower head of the invention can increase the removal rate of materials deposited on inner surfaces of a substrate processing chamber. In other words, the chamber cleaning process can be made more efficient and, therefore, can be completed more quickly compared to the capabilities of a chamber with an anodized shower head. In addition, since the modified shower head tends to absorb fewer fluorine radicals during the cleaning process, there is less likelihood that a substrate will become contaminated during processing. Furthermore, the modified shower head can result in a higher reflectivity compared to an anodized shower head. The higher reflectivity results in a more efficient use of the heat source that heats the substrate in the chamber during processing.
Other features and advantages will be readily apparent from the following description, accompanying drawings and the claims.
Brief Description of the Drawings FIG. 1 illustrates a PECVD system according to the invention. FIG. 2A illustrates a top view of an exemplary shower head.
FIG. 2B shows a partial cross-section of the shower head of FIG. 2A. FIG. 3 is a flow chart of one method of forming a shower head according to the invention.
FIG. 4 is a flow chart of another method of forming a shower head according to the invention.
FIG. 5A shows a partial cross-section of a shower head with a bare aluminum surface according to the invention.
FIG. 5B shows a partial cross-section of a shower head with a outer coating of a fluorine-based compound according to the invention.
Detailed Description
Referring to FIG. 1, a plasma-enhanced chemical vapor deposition (PECVD) apparatus 10 is part of a system having multiple process chambers which can be used, for example, to deposit amorphous silicon, silicon nitride, silicon oxide and oxy-nitride films on glass or other substrates. The PECVD system 10 can be used, for example, in the production of active-matrix liquid crystal displays .
The PECVD apparatus 10 includes a deposition chamber 12 having an opening through a top wall 14 and a shower head 16 within the opening. In general, the shower head 16 allows the gas to pass to the interior region of the chamber 12. In the illustrated implementation, the shower head 16 serves as a first electrode. In an alternative embodiment, the top wall 14 can be solid with the electrode 16 adjacent to the inner surface of the top wall. The shower head 16 also serves as a gas distribution mechanism which provides a substantially uniform gas flow to the interior of the chamber. A susceptor 18 in the form of a plate extends within the chamber 12 parallel to the first electrode 16. The first electrode 16 (i.e., the gas inlet manifold) is connected to an RF power source 36 which is external to the chamber 12. In one implementation, the gas inlet manifold 16 includes a substantially rectangular-shaped shower head 15 (FIG. 2A) , including, for example aluminum or an aluminum alloy, with multiple cone-shaped holes 17 extending from the top-surface 15A of the shower head to its bottom surface 15B (FIGS. 2A- 2B) . The holes 17 can be equally- spaced from one another such that a shower head having dimensions of approximately 600 mm by 700 mm may include several thousand holes.
The susceptor 18 may be formed of aluminum and can be coated with a layer of aluminum oxide . Embedded within the susceptor 18 are one or more heating elements which are controlled to heat the susceptor. The susceptor 18 is connected to ground so that it serves as a second electrode and is mounted on the end of a shaft 20 which extends vertically through a bottom wall 22 of the chamber 12. The shaft 20 is movable vertically to permit the vertical movement of the susceptor 18 toward and away from the first electrode 16.
A lift-off plate 24 extends horizontally between the susceptor 18 and the bottom wall 22 of the chamber 12 substantially parallel to the susceptor and is movable vertically. Lift-off pins 26 project vertically upward from the lift-off plate 24. The lift-off pins 26 are positioned to be able to extend through lift holes 28 in the susceptor 18, and have a length slightly longer than the thickness of the susceptor. While only two lift-off pins 26 are shown in FIG. 1, there may be additional lift-off pins spaced around the lift-off plate 24. A gas outlet 30 extends through a side wall 32 of the chamber 12 and is connected to a pump (not shown) , for evacuating the chamber.
A gas inlet conduit or pipe 42 extends into the gas inlet manifold 16 and is connected through a gas switching network to sources of various gases . A gas supply 52 located outside the chamber 12 contains the gases that are used during deposition. The particular gases that are used depend upon the materials are to be deposited onto the substrate. The process gases flow through the inlet pipe 42 into the gas manifold 16 and then into the chamber. An electronically operated valve and flow control mechanism 54 controls the flow of gases from the gas supply into the chamber 12. A second gas supply system also is connected to the chamber through the inlet pipe 42. The second gas supply system supplies gas that is used to clean the inside of the chamber after a sequence of deposition runs. As used herein, the phrase "cleaning" refers to removing deposited material from the interior surfaces of the chamber. In some situations, the first and second gas supplies can be combined.
The second gas supply system includes a source of a precursor gas 64, a remote activation chamber 66 which is located outside and at a distance from the deposition chamber, a power source 68 for activating the precursor gas within the remote activation chamber, an electronically operated valve and flow control mechanism 70, and a conduit or pipe 77 connecting the remote chamber to the deposition chamber 12. Such a configuration allows interior surfaces of the chamber to be cleaned using a remote plasma source.
A flow restrictor 79 is provided in the pipe 77. The flow restrictor 79 can be placed anywhere in the path between the remote chamber 66 and the deposition chamber 12. Such a flow restrictor allows a pressure differential to be present between the remote chamber 66 and the deposition chamber 10.
The valve and flow control mechanism 70 delivers gas from the precursor gas source 64 into the remote activation chamber 66 at a user-selected flow rate. The power source 68 activates the precursor gas to form a reactive species which is then flowed through the conduit 77 into the deposition chamber via the inlet pipe 42. The upper electrode or gas inlet manifold 16 is, therefore, used to deliver the reactive gas into the interior region of the deposition chamber. In the described implementation, the remote chamber is a sapphire tube, and the power source is 2.54 gigaHertz (GHz) high power microwave generator with its output aimed at the sapphire tube.
Optionally, there also can be a source of a minor carrier gas 72 that is connected to the remote activation chamber through another valve and flow control mechanism 73. The minor carrier gas aids in the transport of the activated species to the deposition chamber and can be any nonreactive gas that is compatible with the particular cleaning process with which it is being used. For example, the minor carrier gas may be argon, nitrogen, helium, hydrogen, or oxygen, etc. In addition to aiding in the transport of activated species to the deposition chamber, the carrier gas also may assist in the cleaning process or help initiate and/or stabilize the plasma in the deposition chamber. In the described implementation, the precursor gas is NF3 which is suitable for cleaning chambers that have been deposited with silicon (Si) , doped silicon, silicon nitride (Si3N4) or silicon oxide (Si02) . In other implementations, the precursor gas can include other fluorine-based gases, such as carbon tetra-fluoride (CF4) , sulfur hexa-fluoride (SF6) and perfluoro ethane (C2F6) . The particular gas that is used depends on the deposited material which is being removed.
To enhance the performance of the cleaning technique, the shower head 15 is formed substantially of aluminum with a non-anodized aluminum surface. FIG. 3 illustrates one technique for forming such a shower head. First, as indicated by step 100, a block of aluminum or an aluminum alloy is mechanically formed into the desired shape of the shower head 15, including the holes 17.
Next, one or more chemical, mechanical or other processes are performed to remove contaminants such as surface dirt, processing oils, traces of foreign metals, or residue films which may have accumulated on the surface of the aluminum during or subsequent to machining. For example, a standard electro-polishing process can be performed to remove such contaminants (step 102) . In one implementation, the machined device is placed into a nitric acid bath for about one minute. The shower head then can be rinsed in water (step 104) . The result is a shower head with a bare aluminum surface 80 (FIG. 5A) . Experimental results have indicated that in at least one PECVD configuration using a remote plasma source and a shower head made of type 6061 aluminum alloy without an anodization layer, the removal or etch rate of SiN from the chamber walls increased by about 28% relative to an anodized showed head. Furthermore, an electro-polished shower head increased the removal rate of SiN from the chamber walls by about 50% relative to the anodized shower head.
Alternatively, to improve the performance of the shower head 15 even further, a thin coating 81 of aluminum fluoride (AlF3) or other fluorine-based compound can be provided on the outer surface of the shower head 15 (FIG. 5B) . In general, the fluorine-based compound should be capable of forming an inert layer over the surface of the shower head 15. For example, a Teflon"" protective layer can be provided over the surface of the shower head 15. According to one technique (see FIG. 4) , a block of aluminum or aluminum alloy is mechanically formed into the desired shape (step 110) , and an electro-polishing process is performed, for example, by placing the machined shower head into a phosphoric acid bath to remove layers of films that may have accumulated during machining (step 112) . Next, an aluminum- fluoride protective layer is formed over the surface of the shower head (step 114) . In one implementation, the electro- polished shower head is placed into a hydrofluoric (HF) acid tank. For example, the shower head can be placed into a 2-5% solution of HF acid for approximately 1-5 minutes. The acid should circulate or be agitated while the shower head is in the HF acid tank. The shower head then is removed from the HF acid tank, rinsed in de- ionized water, and blown dry (step 116) . The shower head also can be baked at about 100 °C for approximately an hour to dry it more thoroughly.
In an alternative embodiment, the aluminum- fluoride protective layer can be formed using a fluorine gas phase treatment. For example, after chemically polishing the shower head, it can be placed in a chamber for approximately one hour. Fluorine gas is provided to the interior of the chamber at a pressure of about 1-10 Torr and a temperature of about 350 °C. Although it is desirable to form the fluorine- based protective layer on a surface of bare aluminum such as an electro-polished aluminum surface 82 (FIG. 5B) , it is not necessary to do so. For example, the fluorine- based layer can be formed on an aluminum surface from which the various contaminants discussed above have not been removed or which have only partially been removed. Moreover, the fluorine-based layer can be formed on an anodized aluminum surface as well.
In many situations, it is desirable to treat the entire exposed surface of the shower head according to one of the techniques discussed above. However, many of the advantages of the invention can be obtained by treating only the surface areas of the shower head which face or are presented to the interior of the chamber 10. Using a non-anodized or bare aluminum shower head, an electro-polished shower head, or a shower head with a fluoride-based protective coating can provide other advantages in addition to increasing the removal rate of materials deposited on inner surfaces of the chamber 12. For example, the reflectivity of a non-anodized aluminum or electro-polished shower head 15 is generally higher compared to an anodized aluminum shower head. The higher reflectivity results in a more efficient use of the heat source that heats the substrate in the chamber during processing. Furthermore, use of the non-anodized shower head, the electro-polished shower head, as well a shower head with the fluoride-based protective layer, can reduce the amount of contamination which may occur during the deposition of thin films onto the substrate. The reduced contamination results from fewer fluorine radicals being absorbed by the shower head during the cleaning process .
Although the theory behind the improved performance of the shower head is not completely understood, it is believed that the modified shower head is less porous compared to an anodized shower head. The previously used anodized shower head may act as a catalyst to deactivate fluorine radicals and may allow the process gas to accumulate in the shower head, thereby reducing the efficiency of the cleaning gases. The non- anodized or electro-polished shower head, as well as the addition of the protective layer, may help reduce the amount of accumulation of fluorine radicals in the shower head and prevent the shower head from acting as a catalyst to deactivate the fluorine radicals. In any event, the theory behind the improved performance of the shower head is not critical to the invention.
Furthermore, although the implementation described above involves a PECVD system, the invention can be used with other systems, including PVD, CVD and etch systems, as well as other systems using a remote plasma source with a fluorine-based species.
Other implementations are within the scope of the following claims.

Claims

What is claimed is:
1. A substrate processing system comprising: a processing chamber having an interior region; a plasma source located external to the chamber; a conduit connecting the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber; and a shower head disposed between the plasma source and the interior region of the chamber, wherein the shower head includes a non-anodized aluminum surface presented to the interior region of the chamber.
2. A substrate processing system comprising: a processing chamber having an interior region; a plasma source located external to the chamber; a conduit connecting the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber; and a shower head disposed between the plasma source and the interior region of the chamber, wherein the shower head includes a bare aluminum surface presented to the interior region of the chamber.
3. The system of claim 1 or 2 wherein the aluminum surface of the shower head includes an electro- polished surface.
4. A substrate processing system comprising: a processing chamber; a plasma source located external to the chamber; a conduit connecting the plasma source to an interior region of the chamber to provide a reactive species to the chamber interior for cleaning interior surfaces of the chamber; and a shower head disposed between the plasma source and the interior region of the chamber, wherein the shower head includes a fluorine-based outer layer presented to the interior region of the chamber.
5. The system of claim 4 wherein the fluorine-based outer layer is disposed on an aluminum material .
6. The system of claim 5 wherein the outer layer includes aluminum fluoride.
7. The system of claim 4 wherein the outer layer includes Teflon.
8. The system of claim 4 wherein the fluorine-based outer layer is disposed on an electro- polished aluminum surface.
9. The system of claim 1, 2 or 4 wherein the chamber includes a plurality of radio frequency powered electrodes, wherein the shower head serves as one of the electrodes .
10. The system of claim 1, 2 or 4 wherein the shower head serves as a gas distribution mechanism.
11. The system of claim 1, 2 or 4 wherein the plasma source includes a precursor gas source comprising a fluorine-based compound.
12. The system of claim 11 wherein the precursor gas source includes nitrogen fluoride.
13. A method of cleaning a processing chamber, the method comprising: forming a reactive species external to the chamber; and providing the reactive species to an interior region of the chamber via a shower head having a non- anodized aluminum surface presented to the interior region of the chamber.
14. A method of cleaning a processing chamber, the method comprising: forming a reactive species external to the chamber ; and providing the reactive species to an interior region of the chamber via a shower head having a bare aluminum surface presented to the interior region of the chamber.
15. A method of cleaning a processing chamber, the method comprising: forming a reactive species external to the chamber; and providing the reactive species to an interior region of the chamber via a shower head having a outer layer comprising an inert fluorine-based compound presented to the interior region of the chamber.
16. The method of claim 13, 14 or 15 wherein forming a reactive species includes activating a precursor gas .
17. The method of claim 16 wherein the precursor gas includes a fluorine-based compound.
18. The method of claim 16 wherein the precursor gas includes nitrogen fluoride.
19. The method of claim 16 wherein the reactive species includes fluorine radicals.
PCT/US1999/015867 1998-07-13 1999-07-13 Gas distributor plate for a processing apparatus WO2000003064A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP99933993A EP1102870A1 (en) 1998-07-13 1999-07-13 Gas distributor plate for a processing apparatus
JP2000559278A JP4514336B2 (en) 1998-07-13 1999-07-13 Substrate processing apparatus and cleaning method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/115,111 1998-07-13
US09/115,111 US6182603B1 (en) 1998-07-13 1998-07-13 Surface-treated shower head for use in a substrate processing chamber

Publications (2)

Publication Number Publication Date
WO2000003064A1 WO2000003064A1 (en) 2000-01-20
WO2000003064A9 true WO2000003064A9 (en) 2000-03-23

Family

ID=22359351

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/015867 WO2000003064A1 (en) 1998-07-13 1999-07-13 Gas distributor plate for a processing apparatus

Country Status (6)

Country Link
US (2) US6182603B1 (en)
EP (1) EP1102870A1 (en)
JP (1) JP4514336B2 (en)
KR (2) KR20060115926A (en)
TW (1) TW585934B (en)
WO (1) WO2000003064A1 (en)

Families Citing this family (524)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136703A (en) * 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
JP4378806B2 (en) * 1999-09-28 2009-12-09 日本電気株式会社 CVD apparatus and substrate cleaning method thereof
US6635570B1 (en) * 1999-09-30 2003-10-21 Carl J. Galewski PECVD and CVD processes for WNx deposition
JP2003533010A (en) * 1999-09-30 2003-11-05 ラム リサーチ コーポレーション Pre-treated gas rectifier plate
KR100338768B1 (en) * 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP2002353184A (en) * 2001-05-28 2002-12-06 Tokyo Electron Ltd Substrate processing method and substrate processor
JP3990881B2 (en) * 2001-07-23 2007-10-17 株式会社日立製作所 Semiconductor manufacturing apparatus and cleaning method thereof
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
DE10392519T5 (en) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont A system for depositing a film on a substrate using a low vapor pressure gas precursor
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
WO2004020694A1 (en) * 2002-08-30 2004-03-11 Tokyo Electron Limited Substrate processor and method of cleaning the same
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
JP4204840B2 (en) * 2002-10-08 2009-01-07 株式会社日立国際電気 Substrate processing equipment
JP4133209B2 (en) * 2002-10-22 2008-08-13 株式会社神戸製鋼所 High pressure processing equipment
CN1249789C (en) * 2002-11-28 2006-04-05 东京毅力科创株式会社 Plasma processing container internal parts
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US7291566B2 (en) * 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP2005167019A (en) * 2003-12-03 2005-06-23 Sharp Corp Transistor and cvd device for forming its gate insulating film
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US8357242B2 (en) 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100596488B1 (en) * 2004-06-16 2006-07-03 삼성전자주식회사 Method for processing a semiconductor substrate
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
EP1789605A2 (en) * 2004-07-12 2007-05-30 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20090011150A1 (en) * 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006128370A (en) * 2004-10-28 2006-05-18 Tokyo Electron Ltd Film forming apparatus and metod, program, and recording medium
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP4749785B2 (en) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 Gas processing equipment
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
CN101238238A (en) * 2005-08-02 2008-08-06 麻省理工学院 Remote cavity method of using sulfur fluoride from CVD/PECVD cavity for removing surface deposits
JP4628900B2 (en) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ Plasma processing equipment
KR100766132B1 (en) * 2005-08-31 2007-10-12 코바렌트 마테리얼 가부시키가이샤 Gas dispersion plate and manufacturing method therefor
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US9184043B2 (en) 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (en) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
JP5463536B2 (en) * 2006-07-20 2014-04-09 北陸成型工業株式会社 Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
JP5010234B2 (en) * 2006-10-23 2012-08-29 北陸成型工業株式会社 Shower plate in which gas discharge hole member is integrally sintered and manufacturing method thereof
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
ES2331489T3 (en) * 2007-03-05 2010-01-05 Applied Materials, Inc. COATING SYSTEM AND GAS DRIVING SYSTEM.
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
JP4963679B2 (en) * 2007-05-29 2012-06-27 キヤノン株式会社 SUBSTRATE FOR LIQUID DISCHARGE HEAD, MANUFACTURING METHOD THEREOF, AND LIQUID DISCHARGE HEAD USING THE SUBSTRATE
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
KR100943426B1 (en) * 2007-06-22 2010-02-19 주식회사 유진테크 Method and apparatus for depositing thin film
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
WO2009065016A1 (en) * 2007-11-16 2009-05-22 Applied Materials, Inc. Rpsc and rf feedthrough
US20090155488A1 (en) 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
JP2009021624A (en) * 2008-09-08 2009-01-29 Tokyo Electron Ltd Processor, and method for cleaning processor
EP2175469A1 (en) 2008-10-09 2010-04-14 Danmarks Tekniske Universitet (DTU) Ion beam extraction by discrete ion focusing
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8188445B2 (en) * 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US7767977B1 (en) 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
WO2012057963A2 (en) * 2010-10-28 2012-05-03 Applied Materials, Inc. High purity aluminum coating hard anodization
KR101300118B1 (en) * 2010-12-15 2013-08-26 엘아이지에이디피 주식회사 Susceptor and chemical vapor deposition apparatus having the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102011005557A1 (en) * 2011-03-15 2012-09-20 Robert Bosch Gmbh Operating a vacuum coating system for producing thin film solar cells, comprises purifying a coating chamber using a cleaning gas, and depositing a diffusion barrier layer comprising amorphous silicon carbide on coating chamber walls
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
US9123507B2 (en) * 2012-03-20 2015-09-01 Mapper Lithography Ip B.V. Arrangement and method for transporting radicals
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9364871B2 (en) * 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
JP6714978B2 (en) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 Parts for plasma processing apparatus, plasma processing apparatus, and method for manufacturing parts for plasma processing apparatus
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI677929B (en) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 Dual-channel showerhead for formation of film stacks
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6527482B2 (en) * 2016-03-14 2019-06-05 東芝デバイス&ストレージ株式会社 Semiconductor manufacturing equipment
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
DE102017100725A1 (en) 2016-09-09 2018-03-15 Aixtron Se CVD reactor and method for cleaning a CVD reactor
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
TWI721216B (en) 2016-10-13 2021-03-11 美商應用材料股份有限公司 A chamber component for use in a plasma processing apparatus, an apparatus comprising the same, and a method for fabricating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112368802A (en) * 2018-07-31 2021-02-12 应用材料公司 Method and apparatus for ALD process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
JP2020041206A (en) * 2018-09-13 2020-03-19 キオクシア株式会社 Substrate treatment apparatus, and manufacturing method of semiconductor device
TW202020218A (en) 2018-09-14 2020-06-01 美商應用材料股份有限公司 Apparatus for multi-flow precursor dosage
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP7159074B2 (en) * 2019-02-08 2022-10-24 キオクシア株式会社 GAS SUPPLY MEMBER, PLASMA PROCESSING APPARATUS, AND COATING FILM FORMATION METHOD
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
SG11202108196QA (en) 2019-03-08 2021-09-29 Applied Materials Inc Porous showerhead for a processing chamber
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6029295B2 (en) * 1979-08-16 1985-07-10 舜平 山崎 Non-single crystal film formation method
JPS5687667A (en) * 1979-12-20 1981-07-16 Toshiba Corp Reactive ion etching method
US4813326A (en) * 1984-07-16 1989-03-21 Yamaha Corporation Method and apparatus for synthesizing music tones with high harmonic content
JPS61231716A (en) * 1985-04-08 1986-10-16 Hitachi Ltd Filming apparatus
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5591267A (en) * 1988-01-11 1997-01-07 Ohmi; Tadahiro Reduced pressure device
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
DE69132811T2 (en) * 1990-06-27 2002-04-04 Fujitsu Ltd METHOD FOR PRODUCING AN INTEGRATED SEMICONDUCTOR CIRCUIT
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
JPH04236766A (en) * 1991-01-16 1992-08-25 Reiko Co Ltd Al vapor deposited film and surface preparation
JPH05326452A (en) 1991-06-10 1993-12-10 Kawasaki Steel Corp Equipment and method for plasma treatment
JPH05144747A (en) * 1991-11-21 1993-06-11 Sony Corp Cvd apparatus and thin-film formation using the apparatus
JPH05234881A (en) * 1992-02-20 1993-09-10 Fujitsu Ltd Ashing apparatus
JPH0745584A (en) * 1993-07-27 1995-02-14 Kokusai Electric Co Ltd Dry etching device and method
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
JP2909364B2 (en) * 1993-09-20 1999-06-23 東京エレクトロン株式会社 Processing apparatus and cleaning method thereof
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
US5551982A (en) 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5756222A (en) 1994-08-15 1998-05-26 Applied Materials, Inc. Corrosion-resistant aluminum article for semiconductor processing equipment
JPH08199400A (en) * 1995-01-23 1996-08-06 Mitsubishi Heavy Ind Ltd Electropolishing of aluminum parts
US5718795A (en) * 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
JP3434947B2 (en) * 1995-11-02 2003-08-11 株式会社アルバック Shower plate
US5552017A (en) 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
SG70035A1 (en) 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
JPH11283963A (en) * 1998-03-27 1999-10-15 Hitachi Ltd Semiconductor manufacturing device and semiconductor device manufacturing method using the same

Also Published As

Publication number Publication date
TW585934B (en) 2004-05-01
US6182603B1 (en) 2001-02-06
US20010006070A1 (en) 2001-07-05
WO2000003064A1 (en) 2000-01-20
JP2002520835A (en) 2002-07-09
JP4514336B2 (en) 2010-07-28
KR20010053514A (en) 2001-06-25
KR100729900B1 (en) 2007-06-18
EP1102870A1 (en) 2001-05-30
KR20060115926A (en) 2006-11-10
US6647993B2 (en) 2003-11-18

Similar Documents

Publication Publication Date Title
US6182603B1 (en) Surface-treated shower head for use in a substrate processing chamber
KR100553481B1 (en) Method and apparatus for enhanced chamber cleaning
JP6737899B2 (en) Plasma processing process for improving in-situ chamber cleaning efficiency in plasma processing chamber
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
JP4520140B2 (en) Deposition chamber cleaning techniques using a remote excitation source.
JP4578314B2 (en) Deposition chamber cleaning device using high power remote origin
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
TWI254363B (en) Chamber cleaning method
JP2002158180A (en) Method of guiding gas flow in substrate processing chamber
JP3946640B2 (en) Plasma processing apparatus and plasma processing method
US20200294773A1 (en) Plasma processing method and plasma processing apparatus
JP3147868U (en) Substrate processing equipment
JP2005243765A (en) Plasma treatment device and cleaning method therein

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: C2

Designated state(s): CN JP KR

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: PAGES 1/4-4/4, DRAWINGS, REPLACED BY NEW PAGES 1/3-3/3; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020017000519

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 559278

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1999933993

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999933993

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017000519

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999933993

Country of ref document: EP

WWR Wipo information: refused in national office

Ref document number: 1020017000519

Country of ref document: KR