WO2000015884A1 - Dispositif combinatoire d'épitaxie de couche moléculaire - Google Patents

Dispositif combinatoire d'épitaxie de couche moléculaire Download PDF

Info

Publication number
WO2000015884A1
WO2000015884A1 PCT/JP1999/004946 JP9904946W WO0015884A1 WO 2000015884 A1 WO2000015884 A1 WO 2000015884A1 JP 9904946 W JP9904946 W JP 9904946W WO 0015884 A1 WO0015884 A1 WO 0015884A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
molecular layer
epitaxy
holder
Prior art date
Application number
PCT/JP1999/004946
Other languages
English (en)
French (fr)
Inventor
Hideomi Koinuma
Masashi Kawasaki
Original Assignee
Japan Science And Technology Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP25897098A external-priority patent/JP3028129B2/ja
Priority claimed from JP10258967A external-priority patent/JP3018000B1/ja
Priority claimed from JP25896898A external-priority patent/JP3192404B2/ja
Priority claimed from JP10258969A external-priority patent/JP3018001B1/ja
Application filed by Japan Science And Technology Corporation filed Critical Japan Science And Technology Corporation
Priority to DE69937042T priority Critical patent/DE69937042T2/de
Priority to US09/554,011 priority patent/US6344084B1/en
Priority to EP99943276A priority patent/EP1038996B1/en
Publication of WO2000015884A1 publication Critical patent/WO2000015884A1/ja

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/002Controlling or regulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00436Maskless processes
    • B01J2219/00443Thin film deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00436Maskless processes
    • B01J2219/00445Ion implantation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00495Means for heating or cooling the reaction vessels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • B01J2219/00536Sheets in the shape of disks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00585Parallel processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/0059Sequential processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00596Solid-phase processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/00612Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports the surface being inorganic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00659Two-dimensional arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/0068Means for controlling the apparatus of the process
    • B01J2219/00686Automatic
    • B01J2219/00689Automatic using computers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00745Inorganic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00745Inorganic compounds
    • B01J2219/00747Catalysts
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B30/00Methods of screening libraries
    • C40B30/08Methods of screening libraries by measuring catalytic activity
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B40/00Libraries per se, e.g. arrays, mixtures
    • C40B40/18Libraries containing only inorganic compounds or inorganic materials
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B60/00Apparatus specially adapted for use in combinatorial chemistry or with libraries
    • C40B60/14Apparatus specially adapted for use in combinatorial chemistry or with libraries for creating libraries
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/90Apparatus characterized by composition or treatment thereof, e.g. surface finish, surface coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • Y10T117/1008Apparatus with means for measuring, testing, or sensing with responsive control means

Definitions

  • the present invention is used to form an inorganic superstructure, a metal or organic superstructure that grows epitaxially for each molecular layer, and particularly a combinatorial molecular layer for efficient material search in a short time. It relates to an epitaxy device.
  • the present invention is a combination of the present invention for transporting a substrate while heating the substrate in a thin film forming apparatus, forming a vacuum chamber independently with each processing chamber, and performing pressure control and temperature control.
  • the present invention relates to a molecular layer epitaxy apparatus. Background art
  • X. — D. Xiang et al. Combine multi-sputtering thin film formation with mask patterning technology, which covers a specific location on a substrate with a mask, to synthesize many inorganic substances in parallel.
  • the search for oxide high-temperature superconductors by synthesizing combinatorial thin films of inorganic materials has been shown to be effective in searching for the functions of multi-dimensional materials (X. — D. Xiang et al., Science, 2 6 8, 1 7 3 8 (1 9 9 5)) o
  • the wafer when a clean surface is required for a wafer, the wafer must be transferred one by one on a transfer path that is sealed in a clean space between process equipment.
  • the transfer device between the process devices is not generally compatible with a high-temperature wafer
  • the wafer is cooled down to room temperature after the completion of the process, and then transported, and in the next process, the wafer is heated to a predetermined temperature. After processing, it took a lot of time to raise and lower the temperature of the wafer.
  • process parameters such as reaction pressure and wafer temperature had to be set sequentially, which was not suitable for continuously processing different processes.
  • the present invention is to solve such problems in the conventional technology.
  • the first object is to form an inorganic superstructure, a metal or organic superstructure by epitaxy growth for each molecular layer. It is an object of the present invention to provide a computer-aided molecular layer epitaxy apparatus for efficient material search in a short time.
  • a second object of the present invention is to provide a computer system capable of transferring a wafer while heating it, and independently controlling the pressure and temperature of a vacuum chamber formed in combination with each processing chamber.
  • An object of the present invention is to provide a real molecular layer epitaxy apparatus. Disclosure of the invention
  • a combinatorial molecular layer epitaxy-seed device includes at least one transfer unit having a pressure-controllable common chamber and a substrate holder holding one or more substrates in the common chamber.
  • a substrate heating unit capable of heating the substrate, and a processing chamber capable of controlling the pressure corresponding to the substrate heating unit.
  • the growth chamber in the processing chamber supplies the raw material to the substrate held by the substrate heating unit. It has a raw material supply means, a gas supply means for supplying a gas to the substrate surface, and an in-situ observation means for observing the epitaxy growth of each monolayer on the substrate surface in situ. Temperature, pressure, and feedstock were controlled, and a group of substances that were epitaxially grown for each monolayer was systematically synthesized based on in-situ observation means.
  • the multi-material supply means vaporizes a plurality of different solid material targets with an excimer laser to form a thin film having the same composition on each substrate.
  • Laser single molecular beam epitaxy vaporizes a plurality of different solid material targets with an excimer laser to form a thin film having the same composition on each substrate.
  • the surface with a limited target is instantaneously vaporized by excimer laser light with a short wavelength, so that a thin film having a desired composition can be formed, for example, an inorganic superstructure can be formed.
  • the convenor Bok real molecular layer epitaxy apparatus of the present invention preferably a multi-feed supplies laser one molecular beam epitaxy
  • the substrate is ⁇ - A i 2 0 3, YSZ, M g O, S r T i 0 3, L a A 1 0 3 , N d G a 0 3, YA 1 0 3, L a S r G a 0,, N d A 1 0 3, Y 2 0 5,
  • S r L a A 1 0 4 is either a C a N d a 1 0 4 , S i and compound semiconductor.
  • the solid raw material of the evening is one of high-temperature superconductors, luminescent materials, dielectrics, ferroelectrics, giant magnetoresistive materials, and oxides.
  • the raw material composition of the target is faithfully supplied to the substrate surface, and
  • the advantage is that the probability of adhesion is approximately 1 and the high-temperature superconductor, luminescent material, dielectric, ferroelectric, and giant magnetoresistive material of the thin films grown epitaxially for each monolayer Formed on a substrate.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably arranged such that the multi-source device has a rotatable and vertically movable target table on which the target is disposed, and a target table between the evening target and the substrate.
  • a rotatable and vertically movable mask plate Preferably, the mask plate has a plurality of different mask patterns, and the mask patterns are sequentially exchanged for epitaxial growth. More preferably, the mask plate is a movable mask of a shutter horizontally movable with respect to the substrate, and one or both of the substrate and a predetermined region of the substrate are covered or removed by the movable mask.
  • the multi-material supply means is a laser single molecular beam epitaxy
  • the in-situ observation means is reflection high-energy electron diffraction.
  • a thin film of a high melting point, multi-component oxide can be formed while monitoring the epitaxial growth of each molecular layer.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably includes a target load lock chamber for mounting a target in the growth chamber.
  • the target can be replaced in a clean state without exposing the target to the atmosphere.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably a gas source molecular beam epitaxy in which the multi-source supply means controls the flow rate of the gas source organic metal and sprays it onto each substrate with a nozzle to supply the same.
  • a metal or an organic structure can be formed using a vaporized raw material such as an organic metal.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably arranged such that the in-situ observation means uses a reflectance difference optical method using light, a surface light absorption method, and a surface light interference method. It is based on either method.
  • the epitaxy thin film can be grown while monitoring the metal or organic structure for each monolayer.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably comprises a substrate
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably a substrate in which the substrate surface is flattened at the atomic level and the outermost atomic layer is specified.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably includes, in the common chamber, a substrate holder load lock chamber for exchanging the substrate holder while maintaining a high vacuum.
  • the substrate can be replaced in a clean state without exposing the substrate to the atmosphere.
  • the substrate heating section is in contact with the processing chamber and is vacuum-sealed.
  • a vacuum chamber that can independently control the pressure.
  • the substrate is transferred between the processing chambers while the substrate is heated, and the pressure is controlled independently for each vacuum chamber while the substrate is heated, and the temperature can be controlled independently.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably configured such that the substrate heating section is moved around and up and down by the transfer plate to sequentially transfer the substrate heating section to the process chamber.
  • the substrate heating unit moves on a predetermined trajectory and moves to each of the processing chambers.
  • Process processing chambers are sequentially processed in parallel.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably includes a cylindrical revolving movement shaft that rotates and moves up and down while maintaining the vacuum of the common-chamber and is connected to the electric wiring and the water pipe outside the common chamber.
  • the water supply pipe is connected to the water cooling pipe of the substrate heating section, and the transport plate for transporting the substrate heating section is arranged at a position centered on the rotation axis of the revolving shaft.
  • the transfer plate continuously rotates around the rotation axis to process the continuous process in parallel, and even if the transfer plate rotates continuously while maintaining the vacuum, the transfer to the substrate heating unit is performed.
  • Electric wires such as water cooling pipes and power supply for supplying cooling water and thermocouples for temperature monitoring are not twisted.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably includes a slip ring in which the orbital moving shaft is vacuum-sealed at the upper end and connected to the electric wiring, a water-cooled seal unit connected to the water pipe, and a water-cooled seal unit. It has the same water cooling pipe that slides in a watertight manner.
  • the cooling water and the electric power are supplied by the water cooling pipe or the potential wiring while the vacuum sealing is performed, and the transport plate is moved up and down and rotated by the revolving shaft without twisting.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably provided with a coaxial water-cooled pipe in which an inner water-cooled pipe and an outer water-cooled pipe provided coaxially with the revolving and moving shaft form a one-way road.
  • the cooling water can be supplied while the vertically moving and rotating revolving shaft is vacuum sealed.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably includes a substrate rotating mechanism in which the substrate heating unit rotates the substrate holder.
  • the rotation of the substrate rotating mechanism is rotated based on the same driving force as the rotation of the substrate heating unit.
  • the substrate holgo is simultaneously rotated around the substrate heating section with the same driving force. Can rotate.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably configured such that the substrate holder is rotated in a vacuum chamber by a substrate rotating mechanism.
  • the pressure and the temperature can be controlled in the vacuum chamber formed by the substrate heating section and the processing chamber, and the substrate holog rotates.
  • the process processing chamber is an annealing chamber for annealing the substrate held in the substrate holder, and the substrate held in the substrate holder is kept under a high vacuum and a predetermined pressure. It includes a preheating chamber for heating at a temperature, a growth chamber for forming a thin film on a substrate held in a substrate holder, and an etching chamber for performing an etching process after growing a thin film on a substrate held in a substrate holder.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably configured such that the substrate holder has slit-shaped holes around the substrate.
  • the substrate holder has a disk shape having a concave portion inside, and a groove for locking the substrate holder in the substrate heating section is formed on the periphery of the substrate holder. are doing.
  • the substrate holder can be easily mounted on the substrate heating unit.
  • the substrate holder has a ring shape with a step formed on the inner side, and the periphery of the substrate holder is used to lock the substrate holder to the substrate heating section.
  • a holder ring provided with a groove, and a disk-shaped holder plate formed of a substance having high heat absorption efficiency by holding at least one substrate on a side of the substrate heating section facing the heating means; The holder plate is supported by the step of the ring.
  • the heated holder plate is in contact only with the step portion of the holder ring, and the amount of heat that escapes by heat conduction can be reduced, so that the temperature uniformity of the holder plate is improved.
  • the combinatorial molecular layer epitaxy apparatus of the present invention preferably comprises The substance with high heat absorption efficiency that forms the die plate is Inconel, whose surface is oxidized at high temperature. -According to this configuration, the holder plate can be effectively heated.
  • the combinatorial molecular layer epitaxy apparatus of the present invention is preferably configured such that the substrate holder and the holder plate are arranged at the focal position of the lamp heater.
  • FIG. 1 is a schematic diagram of a combinatorial molecular layer epitaxy apparatus according to a first embodiment of the present invention.
  • FIG. 2 is an external view of a second embodiment of the combinatorial molecular layer epitaxy apparatus according to the present invention.
  • FIG. 3 is an external view of a main part of a growth chamber as a combinatorial laser single-molecule beam epitaxy apparatus, showing an independent vacuum chamber including a substrate heating unit and a growth chamber.
  • FIG. 4 is a detailed cross-sectional view of the substrate heating unit according to the second embodiment, and is a diagram illustrating a state where the substrate heating unit has moved to a lower end point by a transfer plate and is in contact with a partition wall.
  • 5A and 5B are views showing the substrate holder 1, wherein FIG. 5A is an external perspective view and FIG. 5B is a sectional view.
  • FIG. 6 is a view showing a modification of the substrate holder, (a) is an external perspective view, and (b) is a cross-sectional view.
  • FIG. 7 is a view showing another substrate holder, (a) is an external perspective view, and (b) is a cross section.
  • FIG. 7 is a view showing another substrate holder, (a) is an external perspective view, and (b) is a cross section.
  • FIG. 8 is a sectional view of a revolving and moving shaft according to the second embodiment.
  • -Fig. 9 is a detailed view of a water-cooled pipe of the orbital moving shaft according to the second embodiment.
  • FIG. 10 is an external view of the third embodiment.
  • FIG. 11 is a detailed view of the substrate heating unit according to the third embodiment. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 is a schematic diagram of a combinatorial molecular layer epitaxy apparatus according to a first embodiment of the present invention.
  • Fig. 1 shows an example of a combinatorial laser single-molecule beam epitaxy apparatus as a thin film growth apparatus. Instead of this combinatorial laser single-molecule beam epitaxy apparatus, a combinatorial gas source organometallic molecular beam epitaxy apparatus is used. May be used.
  • the combinatorial molecular layer epitaxy apparatus of the present invention comprises
  • the composition of the thin-film growth apparatus differs depending on the ⁇ , and a solid-state material suitable for vaporizing a solid material by one pulsed laser beam and epitaxially growing it for each molecular layer to synthesize an inorganic superstructure is used.
  • a compina rial laser single molecular beam epitaxy apparatus includes a vacuum chamber 12 and a vacuum valve 12 and a gate valve (not shown).
  • An ultra-high vacuum pump 4 such as a molecular pump, an ion pump, or a cryopump for evacuating to a high vacuum, a rotatable substrate holder 6 for holding a plurality of substrates 5, and a rear portion of the substrate holder 16.
  • a lamp heater 18 for heating the substrate.
  • the apparatus further includes a substrate holder 6 supported on a rotatable shaft 9, a rotatable evening table 10, 10 provided opposite to the substrate holder 16, Targets 12 of a plurality of different solid raw materials mounted on tables 10 and 10 and light sources 14 and 1 of excimer lasers 13 and 13 which vaporize these evening targets 12 4, lenses 15 and 15 for condensing the laser light, windows 16 and 16 for introducing the laser light into the vacuum chamber 12 and molecular layer epitaxial growth on the thin film growth substrate It is equipped with a reflection high-energy electron diffraction (hereinafter referred to as “RHEEDJ”) electron gun 18 and a RHEED screen 17 for monitoring in situ.
  • RHEEDJ reflection high-energy electron diffraction
  • the home position and the rotation position of the substrate holder 16 and the target tables 10 and 10 are not shown, but are controlled by a control device, and the position where the substrate grows by the control device is controlled.
  • the type of target is selected, and the time for irradiating the excimer laser in pulse form is controlled.
  • the ultra-high vacuum pump 4 has an ability to hold the vacuum chamber 12 at 10-1 ⁇ Torr, and the vacuum chamber 2 controls the pressure by controlling the opening / closing degree of a valve (not shown). Has become.
  • the ultra-high vacuum pump uses a one-way pump as an auxiliary pump.
  • the lamp heater 8 is powerful when heated at a temperature suitable for the growth process when the thin film is being grown on the substrate 5, and the lamp heater for other residual heat is located when the thin film is located at other positions. Heating. These lamp lights are arranged near the substrate holder 6.
  • the lamp heater may be disposed on the substrate holder itself. In this case, the lamp heater is controlled at the growth temperature when the substrate is at the growth position, and is controlled at the predetermined temperature when the substrate is at the position for residual heat. It has become so.
  • the vacuum chamber for the preheating and the vacuum chamber for the thin film growth are also used as one, but the chamber for growing the thin film on the substrate and the vacuum chamber for the preheating are separately provided.
  • the chambers may be provided adjacent to each other and formed independently.
  • the vacuum chamber is provided with a gas supply system such as oxygen and a reactive gas supplied from the nozzle 19 for atmospheric pressure for returning to normal pressure and nitrogen or oxide epitaxy related to high-temperature superconductivity.
  • a gas supply system such as oxygen and a reactive gas supplied from the nozzle 19 for atmospheric pressure for returning to normal pressure and nitrogen or oxide epitaxy related to high-temperature superconductivity.
  • the gas supply system in Fig. 1 is a schematic diagram, and is usually controlled by a mass flow meter, and can be controlled in conjunction with a vacuum pump.
  • Still substrate ⁇ - A l 2 0 3 ⁇ YSZ, M g O, S r T i 0 3 ⁇ L a A 10 3, N d G a 0 3, YA 10 3, L a S r G a 0 ,, Nd A 10 3, Y 2 0 5, S r L aA 10 4, C aNdA 10 4, S i and compound semiconductors you can use.
  • the substrate surface In order to detect RHEED oscillation based on molecular layer epitaxy, and to monitor this RHEED oscillation and control each monolayer to maintain monolayer epitaxy, the substrate surface must be flat at the atomic level. And the ability to identify the outermost atomic layer are extremely important.
  • AB0 3 perovskite Sani ⁇ represented by the general formula is comprised force rather by repetition of AO and B0 2 of atomic layer, if the outermost surface of the A 0, if the B 0 2, both In the case of coexistence, the growth mode of the film deposited thereon is different.
  • S r T i 0 3 abrasive substrate is T i 0 2 outermost mainly, surface roughness is several nm.
  • a substrate in which the surface of the substrate is flattened at the atomic level and the outermost atomic layer is specified.
  • An rodents Bok of solid material is anything usable as long as it is a solid, for example, high temperature superconductors such as YB a 2 Cu 3 0 7, ZnO, (ZnMg) 0, such as (ZnC d) 0 luminescent materials, S rTi 0 3, B aT I_ ⁇ 3, PZT, (S r B a) T dielectric or a ferroelectric such as i 0 3, it is available (L a S r) M a 0 3 giant magnetoresistance materials such as.
  • high temperature superconductors such as YB a 2 Cu 3 0 7, ZnO, (ZnMg) 0, such as (ZnC d) 0 luminescent materials, S rTi 0 3, B aT I_ ⁇ 3, PZT, (S r B a) T dielectric or a ferroelectric such as i 0 3, it is available (L a S r) M a 0 3 giant magnetoresi
  • a vacuum chamber one 2 controlled to a high vacuum of about 1 0- 4 T orr, while controlling the substrate 5 in the growth temperature of, for example, 8 5 0 ° C in Ranpuhi one coater 8, the substrate holder 6 rotating to The substrate 5 is placed at the growth position.
  • the target tables 10 and 10 are rotated so as to face the substrate 5 at the growth position, and the targets 12 and 12 are arranged at predetermined positions.
  • the excimer laser is applied to the targets 12 and 12.
  • One light 13, 13 is irradiated, for example, in a pulse shape for a predetermined time.
  • the irradiation of this excimer laser causes both rapid heat generation and photochemical reaction on the target surface, explosively vaporizing the raw material components and forming a thin film of the desired composition on the substrate. Furthermore, at the specular reflection point of RHEED, vibrations due to repeated nucleation and flattening due to the growth of each layer can be observed, and a film thickness monitor with strict self-control of each monolayer can be observed.
  • the target tables 10 and 10 are rotated, and the other targets 12 and 12 are arranged at predetermined positions, and the thin film that is another superlattice structure is grown. I do.
  • the substrate holder 16 rotates to process the next substrate.
  • the necessary oxidation conditions are satisfied by increasing the oxygen partial pressure in the vacuum chamber 2 of the reaction system.
  • the degree of pressure reduction is low, and the oxygen partial pressure in the reaction system can be controlled in a wide range.
  • the combination of [multiple raw materials] X [multiple substrates] X [reaction parameters such as temperature, pressure, and flux from the gas phase (deposition rate)] can be independently controlled, and a series of reactions can be used to synthesize a group of substances whose structure is systematically controlled.
  • reaction parameters such as temperature, pressure, and flux from the gas phase (deposition rate)
  • X reaction parameters such as temperature, pressure, and flux from the gas phase (deposition rate)
  • a computer-aided organometallic molecular beam epitaxy apparatus includes a vacuum chamber 12 and a vacuum chamber 12 connected to a high vacuum through a gate valve (not shown). It is equipped with a vacuum pumping system such as an ultra-high vacuum pump 4 such as a turbo molecular pump, an ion pump, and a cryopump for evacuating.
  • a vacuum pumping system such as an ultra-high vacuum pump 4 such as a turbo molecular pump, an ion pump, and a cryopump for evacuating.
  • a substrate holder 16 that can hold and rotate a plurality of substrates 5, a lamp heater 18 disposed at the rear of the substrate holder 16 to heat the substrate, a substrate holder 16 and a lamp heater 8 are provided.
  • a rotatable shaft 9 that is supported and rotatable is provided, and the flow rate of a plurality of reactive gases, such as organic metals, is controlled and blown to the substrate by the nozzle 19, and the gas flow rate and gas The on / off of gas introduction or the timing of gas introduction are controlled in conjunction with the evacuation.
  • reflectivity difference spectroscopy in which linearly polarized light is incident on the substrate almost perpendicularly, the anisotropy of the surface structure is detected based on the polarization characteristics of the reflected light, and the epitaxial growth of each molecular layer is monitored.
  • a surface light absorption method and a surface light interference method that monitor the epitaxy growth of each molecular layer by measuring the change in reflection intensity due to light absorption and phase change of light by atoms or molecules can be used.
  • I I I-V group I I-V I group, I-V I I group,
  • the organic metal-organic molecular beam epitaxy apparatus is capable of epitaxial growth by monitoring the growth of each monolayer on each substrate. Synthesis of substances whose structure is systematically controlled by the reaction of Can be
  • FIG. 2 is an external view of a second embodiment of a combinatorial molecular layer epitaxy apparatus according to the present invention.
  • the combinatorial molecular layer epitaxial growth apparatus 20 includes a common chamber 22, a growth chamber 24, an anneal chamber 26, a preheating heating chamber 28, and a substrate holder-load lock chamber 34.
  • Each of these chambers is a vacuum chamber which is vacuum shielded and independently evacuated to high vacuum.
  • the growth chamber 24 is an area for growing a thin film on the substrate
  • the annealing chamber 26 is an area for annealing the growth substrate
  • the preheating heating chamber 28 is for cleaning and preheating the substrate in a high vacuum atmosphere. This is the area to put.
  • an etching chamber for etching a predetermined region of a substrate on which a thin film is grown a doping chamber for performing doping, or the like may be provided. You will have a chamber.
  • the TMP in Fig. 2 is an abbreviation of a turbo molecular pump, which is evacuated by an ultra-high vacuum pump through a gate valve (not shown). I have.
  • each vacuum chamber can control the pressure by adjusting the opening / closing degree of a valve (not shown), and a valve and a mass flow meter (not shown) are provided at predetermined locations so that the flow rate of oxygen and dry nitrogen can be controlled. It has become.
  • the common chamber 22 is connected to the growth chamber 24, the anneal chamber 26, and the preheating heating chamber 28 through the openings 42, 42, 42 provided in the partition wall 39, and around the opening. O-ring 41 is embedded in the groove. Further, the growth chamber 24, the annealing chamber 26, and the preheating heating chamber 28 are vacuum-shielded and fixedly held to the partition wall 39, respectively.
  • the common chamber 22 contains a substrate heating section with a substrate holder 48, a substrate holder checker 45 and a lamp heater 18 (see Fig. 4) housed in a cylindrical housing 3.5. In Fig. 2, three are provided.
  • These substrate heating sections 36 are vacuum-shielded and held by a flange section 31 of a housing 35 on a transport plate 38 that is rotated and transported and moved up and down by a revolving and moving shaft 43. I have.
  • the revolving movement shaft 43 is rotated by the rotating mechanism 60 while the common chamber 22 is vacuum-shielded, and is moved up and down by the moving mechanism 70.
  • the flange 33 at the other end of the housing 35 is connected to the O-ring 41 (Fig. 4) embedded in the groove around the opening 42 of the partition 39 when the transport plate 38 moves to the lower end point. It is abutted and isolated from the common room 22 by vacuum shielding. At this time, the vacuum chambers formed by the substrate heating sections 36, 36, 36, the growth chamber 24, the annealing chamber 26, and the preheating heating chamber 28 are independently evacuated and pressurized. It is controlled and heated to a predetermined temperature.
  • a plurality of substrate holders-48 on which a substrate 5 is mounted are held in a single-piece, one-by-one lock chamber 34 provided in a common chamber 22 through a gate valve 46.
  • the stocker 4 9 is installed strongly, and the board holder 4 8 is mounted on the checker of the board heating section 36 with the clip 5 2 which is operated from the outside while keeping the substrate holder and the load lock chamber 34 at a high vacuum. It is like that.
  • the configuration of the growth chamber 24 is the same as the configuration of the compina tial laser single molecular beam epitaxy shown in Fig. 1, except that only one lamp heater is provided for the growth chamber 24.
  • the point power is ⁇ different.
  • a plurality of targets 12 are held in a target load lock chamber 32 provided through a gate valve 47 in a growth chamber 24.
  • the target plate 12 is mounted on a target table (not shown) with a clip 56 that is operated from the outside while the target lock chamber 32 is maintained at a high vacuum. It has become.
  • FIG. 3 shows the main part of the growth chamber using a combinatorial laser single-molecule beam epitaxy system.
  • FIG. 3 is an external view showing an independent vacuum chamber composed of a substrate heating unit and a growth chamber.
  • the substrate heating section 36 abuts against a partition wall (not shown) to form an independent vacuum chamber 110 Q between the substrate heating section 36 and the growth chamber 24, and a plurality of substrates 5.
  • a substrate holder 48 having a substrate is provided in the substrate holder rotating unit 84 of the substrate heating unit 36 (shown in FIG. 2), and the substrate holder is rotatable.
  • the growth chamber 24 has a rotatable target table 10 provided facing the substrate holder 148, and a mask plate provided between the substrate holder 148 and the evening target table 10. Each is provided with one and two. For example, eight types of mask patterns 104 are formed on the mask plate 102 in a powerful manner.
  • the mask plate shown in FIG. 3 is a disc-shaped powerful plate. As another example of the mask plate, it may be a shutter shape movable in both directions with respect to the substrate. In this case, the mask plate is a movable mask in the horizontal direction. And can be moved up and down. Further, a plurality of different fixed raw material evening sources 12 mounted on the target table 10 and an excimer laser light source 14 for evaporating these evening sources 12 are provided. A lens 15 for condensing the laser light, a window 16 for introducing the laser light into the vacuum chamber 100, and a reflection for monitoring the molecular layer epitaxial growth on the thin film growth substrate in situ. An electron gun 18 for high-speed electron diffraction (hereinafter referred to as "RHEED") and a screen 17 for RHEED are provided.
  • RHEED high-speed electron diffraction
  • the evening get table 10 and the mask plate 10 2 are rotatable and vertically movable while maintaining the degree of vacuum in the growth chamber 24, and are respectively a mask rotating mechanism, a target table rotating mechanism, It has a mask vertical movement mechanism and an evening get table vertical movement mechanism.
  • the mask rotating mechanism is precisely controlled by, for example, a steving motor so as to be movable by controlling the film thickness of the thin film growth in a predetermined region.
  • a gas supply system such as oxygen and reactive gas supplied from a nozzle or the like is provided in the growth chamber 24 for air and nitrogen for returning to normal pressure and for epitaxy related to high-temperature superconductivity.
  • Force ⁇ is provided.
  • substrate holder 148, mask plate 102 and target table 110 The home position and the rotation position of the substrate are managed by a control device (not shown), and the type of the mask pattern 104 and the type of the target are controlled by each rotation mechanism with respect to the position of the substrate on which the thin film is grown by the control device. While the epitaxy growth of each monolayer is selected and monitored by RHEED on the spot, the time for irradiating the excimer laser in a pulsed form in conjunction with this monitor is controlled. . Next, an operation of forming a combinatorial laser molecular beam epitaxy thin film according to the second embodiment will be described.
  • the vacuum chamber 100 is controlled to a high vacuum of about 10—Torr, and the lamp heater 18 controls the substrate 5 to a growth temperature of, for example, 850 ° C.
  • the substrate holder 16 is rotated to place the substrate 5 at the growth position.
  • a mask pattern 104 is selected corresponding to the substrate 5 by a mask rotating mechanism, and the target table 10 is rotated so as to face the substrate 5 located at the growth position, and the target 12 is located.
  • the target 12 is irradiated with an excimer laser beam 13 in a pulse shape for a predetermined time, for example. Subsequent processes are the same as in the first embodiment.
  • a thin film having a different composition or a super-grating having a different laminated structure may be manufactured by covering or removing a predetermined region of the substrate by using a shutter-shaped mask plate as a movable mask with respect to the substrate.
  • the [multiple raw materials] X [multiple substrates] X [mask pattern] X [temperature, pressure and flux (deposition rate) from gas phase, etc.
  • Multi-source supply means is gas source organic
  • the metal is supplied to each substrate by controlling the flow rate and sprayed onto each substrate by a nozzle. This configuration is the same as that of the first embodiment.
  • FIG. 4 is a detailed cross-sectional view of the substrate heating unit according to the second embodiment, and is a diagram illustrating a state in which the substrate heating unit is in contact with a partition wall by moving to a lower end point of a transfer plate force.
  • the substrate heating section 36 includes a cylindrical housing 35 having flanges 31 and 33 at both ends, a lamp holder 82 provided on the center line of the housing, A lamp heater installed in the lamp holder; and a substrate rotating mechanism for rotating the substrate holder.
  • the lamp heater 8 is water-cooled for safety and stability of temperature control, and the lamp from inside the substrate heating section is passed through the bulk head union 203, which is vacuum-shielded on the transfer plate 38.
  • the water-cooled pipes 201 of the heater 8 and the coaxial water-cooled pipes 200 provided coaxially with the orbital movement shaft 43 are water-cooled pipes 202 and 202 for the ink and the art. It is connected.
  • the electrode plug 101 for the lamp heater is provided on the transfer plate 38 in a vacuum shielded manner.
  • the power supply line of the lamp heater 18 and the signal line of the temperature control thermocouple crawl inside the cylindrical orbital moving shaft 43 and are vacuum-shielded to the outside and drawn out to the outside. It is connected. Details will be described later.
  • the substrate rotating mechanism includes a substrate holder rotating portion 84 disposed outside the lamp holder 82 and a substrate holder 48 provided at the rotating portion at a focal point of the lamp heater 18. It is equipped with one and a half.
  • a rotation gear 83 is provided at the upper part of the substrate holder one-rotation part 84, meshes with the gear 85 of the rotation shaft 86, and is provided at the other end of the rotation shaft 86.
  • the rotation gear 8 8 meshes with the revolution gear 6 5.
  • a bearing 87 is provided at a lower portion of the substrate holder one rotation part.
  • FIG. 5A and 5B are views showing the substrate holder 1, wherein FIG. 5A is an external perspective view and FIG. 5B is a sectional view.
  • FIG. 5 (b) shows the position of the lamp heater 18 side.
  • the substrate holder 48 has a disc shape having an internal recess 311.
  • a groove 310 is formed in the peripheral edge of the recess 311 so that the groove 3110 is engaged with the checker 45, and a plurality of substrates 5 are mounted on the opposite surface of the bottom surface of the recess 311. ing.
  • the recess 311 is formed so as not to deform the substrate holder 1 and is formed with an appropriate depth so as to heat the substrate effectively.
  • a plurality of substrates 5 are mounted on one holder plate, but only one substrate may be mounted, and a plurality of substrates 5 are preferably mounted on concentric circles.
  • the substrate can be effectively heated without being deformed by the substrate holder.
  • FIG. 6 shows a modification of the substrate holder shown in FIG. 5, (a) is an external perspective view, and (b) is a sectional view. 8 in Fig. 6 (b) indicates a lamp heater.
  • the substrate holder 310 has a slit-like hole 309 formed around the substrate 5.
  • the lamp heater 8 focuses on a position where the substrate 5 is supported by the lamp heater 8 and heats the same.
  • the substrate 5 is heated by the heat conduction of the substrate holder 308 itself surrounded by the slit-shaped holes 309, and the slit-shaped holes reduce the heat escaping force due to the heat conduction.
  • a plurality of substrates may be provided. In that case, a slit-shaped hole may be provided around the substrate, or a slit-shaped hole may be provided around the plurality of substrates.
  • the substrate 5 is effectively heated, and the temperature uniformity is improved.
  • FIG. 7 is a view showing another substrate holder, (a) is an external perspective view, and (b) is a cross-sectional view.
  • FIG. 7 (b) shows the position of the lamp heater 18 side.
  • the substrate holder 48 has a groove 310 on the periphery thereof which is locked by the checker 45, and a ring-shaped holder ring 3 having a step portion 312 formed inside. 20 and a disk-shaped holder plate 330 attached inside the holder ring 320.
  • the holder ring 320 and the holder plate 330 have a stepped portion 3 Contact is made in an extremely small area of 12.
  • the holder plate 330 is formed so as to be at the focal position of the lamp heater 1 when the substrate holder 148 is attached to the checker 145.
  • the holder plate 330 is made of a material having high heat absorption efficiency, and the disk-shaped surface facing the lamp heater 18 is oxidized so that the heat absorption efficiency ⁇ maximum.
  • the substance is formed. For example, if the lamp heater is an infrared heater, the surface of the holder plate formed of Inconel is 100, so that the infrared absorption efficiency is maximized. It is desirable to form an oxide 3 13 which has been oxidized at a high temperature of about C and changed to black.
  • the lamp plate heats the holder plate with the maximum heat absorption efficiency, but the amount of heat that escapes from the peripheral edge of the holder plate by heat conduction is extremely small. Therefore, the temperature of the holder / plate can be made uniform.
  • rotating mechanism 60 for rotating transport plate 38 includes a motor 61 provided on moving plate 72 and a shaft 62 for transmitting the rotational driving force of motor 61. And a drive gear 64 provided at an end of the shaft 62. The drive gear 64 is engaged with a revolving gear 65 provided in a revolving movement shaft to transmit a rotational driving force. It is supposed to.
  • the rotating shaft 62 passes through the inside of a flexible tube 82 provided for vacuum shielding between the moving plate 2 and the growth chamber 22.
  • a support portion 92 for fixing the transport plate 38 via a plurality of fixing shafts 91 is fixedly provided at the end of the revolving shaft 43.
  • a revolving gear 65 is provided so as to rotate at a predetermined torque with respect to the support portion 92 via a bearing 93.
  • the moving mechanism 70 is rotated by a bracket 73 fixed to the upper lid 71 of the common room 22 and a module 74 provided on the bracket # 3.
  • the orbiting moving shaft 42 is formed between the moving plate 72 and the growth chamber 22. It passes through the inside of a flexible tube 83 provided for vacuum shielding therebetween, is magnetically shielded by a magnetic shield unit 77 fixed on a moving plate 72, and is rotatably held.
  • this magnetic shield unit vacuum shields the orbital moving shaft with a magnetic fluid.
  • each of the vacuum chambers is vacuum shielded by the substrate heating unit 36, and further, is independently evacuated and pressure-controlled, and can be heated to a predetermined temperature.
  • the rotational driving force is transmitted to the shaft 62 by the motor 61, and the driving gear 64 rotates.
  • the revolving movement shaft 43 rotates together with the revolving gear 65 by the driving gear 64, and the transport plate rotates as the rotation proceeds, and the substrate heating unit 36 revolves.
  • the rotation gear 88 also rotates, the rotation driving force is transmitted to the rotation gear 83 by the rotation shaft 86, the substrate holder rotating unit 85 rotates, and the substrate holder 148 rotates.
  • the revolving shaft 43, the rotary shaft 62 and the rotation shaft 86 rotate while being vacuum-shielded in each vacuum chamber.
  • the substrate heating section provided on the transport plate can be transported to each vacuum chamber, and the substrate holder 148 can be rotated.
  • the rotational driving force of the rotating shaft 62 is transmitted to the revolving gear 65, but the substrate is heated. Because the part is in contact with the 0 ring and is in a locked state, only the revolving gear 65 rotates along with the bearing 93. When rotated, the substrate holder one rotation part 85 rotates, and the substrate holder one 48 rotates.
  • the substrate holder 1 can be rotated in each vacuum chamber. -Next, the orbital movement shaft will be described.
  • FIG. 8 is a cross-sectional view of the orbital moving shaft according to the second embodiment.
  • the orbital movement shaft 43 passes through the center of the computer-assisted molecular layer epitaxy apparatus 20 according to the second embodiment, and communicates with the common chamber, which is a vacuum chamber, and the outside under atmospheric pressure. It is arranged across.
  • the upper end of the orbital movement shaft 43 is tightly closed for vacuum shielding by a slip ring 301, and the inside of the orbital movement shaft is connected to the connection of the slip ring 301 fixed to the orbital movement shaft.
  • the electrical wiring that has been crawling is connected.
  • the connecting portion of the slip ring 301 rotates together with the orbital movement shaft, and the connecting portion of the slip ring slides and is electrically connected to the upper take-out portion to which the slip ring is fixed.
  • FIG. 9 is a detailed view of a water-cooled pipe of the revolving and moving shaft according to the second embodiment.
  • an inner water cooling pipe 401 and an outer water cooling pipe 403 are coaxially provided in the orbital movement shaft 43. Cooling water is introduced from the cooling water inlet port 402 of the water-cooled seal unit 405 to the inner water-cooling pipe 401, and from the water outlet 404 at the end of the revolving shaft 43 to the water cooling pipe of the lamp heater 2 After passing through 0 1 and 0 2 (Fig. 2), the water is further discharged from the water inlet 4 06 through the outer water cooling pipe 4 0 3 and the cooling water outlet 4 08 of the water cooling seal unit 4 07. I have.
  • the water cooled units 405 and 407 are connected and fixed to the bracket (Fig. 3).
  • the water-cooled seal units 405 and 407 are water-tightly sealed by an O-ring 409.
  • the transfer plate 38 When the transfer plate 38 is at the home position of the upper starting point at room temperature at a predetermined pressure, the first plate holder 148 is mounted on the checker 145 of the substrate heating section corresponding to the preheating heating chamber, and then the transfer plate 388 Then, each substrate heating section 36 comes into contact with the O-ring 41 of the partition wall, compresses and stops.
  • the preheating chamber 28 is maintained at a high vacuum of, for example, 1 (T s Tor) for cleaning, and the temperature is raised to 950 ° C at a rate of 10 ° CZ.
  • each vacuum chamber and the common chamber are returned to a predetermined pressure while maintaining the temperature of each substrate heating section, and are moved to the starting point on the transfer plate 38 force.
  • the transfer plate 38 rotates to transfer the substrate heating section 36 equipped with the first substrate holder 148 corresponding to the preheating heating chamber 28 to the growth chamber 24.
  • the substrate heating section 36 with the lamp heater 18 turned off under the room temperature, that is, the substrate heating section 36 with the lamp heater 18 turned off, and the second substrate holder 48 for performing the next process with the substrate heating section 36 corresponding to the preheating heating chamber 28 In the checker 45.
  • Carrier plate 3 8 isolates the respective vacuum chamber one descends, maintaining the growth chamber 2 4 to a high vacuum of eg 1 0- 4 T orr, 9 5 0 ° predetermined time while heated and C, the laser one molecule Perform line epitaxy growth.
  • the time is kept preheating chamber in 2 8 1 0- 6 T orr, is at a Atsushi Nobori rate Bok 1 0 ° CZ min 9 5 0 ° C until during heating.
  • a superlattice structure is formed on each substrate by rotating the substrate holder by molecular layer epitaxy for each monolayer, and then each vacuum is maintained at the set temperature of 950 ° C.
  • the chamber 1 and the common chamber 22 are returned to the predetermined pressure, and the transfer plate 38 moves to the upper starting point.
  • the force of the transfer plate 38 rotates, and the substrate heating section 36 equipped with the first substrate holder 148 corresponding to the growth chamber 24 is transferred to the annealing chamber 26.
  • the third substrate holder 148 is mounted on the checker 45 of the substrate heating unit 36 corresponding to the residual heat heating chamber 28.
  • the transfer plate 38 descends to isolate each vacuum chamber, and the annealing chamber 28 is used as an example. For example, while maintaining the temperature at 1 Torr, annealing is performed at a rate of 10 ° C / min from 950 ° C for 10 hours. In this anneal chamber 28, the oxygen partial pressure is optimally controlled.
  • the lamp heater 8 is turned off and the anneal chamber 28 reaches room temperature, the other vacuum chambers 36 and 36 are maintained at 950 ° C, and the vacuum chambers 1 and the common chamber 22 are specified.
  • the pressure is restored, the transport plate 38 moves to the upper starting point, and the transport plate 38 rotates to return to the home position. Then, the substrate holder after the epitaxial growth is taken out and stored in the stocker 49, and a new fourth substrate holder is mounted on the chucker 45 of the substrate heating unit 36, and is sequentially processed.
  • the combination of [multiple raw materials] X [multiple substrates] X [reaction parameters such as temperature, pressure, and flux (deposition rate) from the gas phase] is controlled independently, and one series By this reaction, a group of substances whose structure is systematically controlled can be synthesized.
  • a growth chamber 24 for forming a monolayer epitaxial growth layer on the substrate an annealing chamber 28 for annealing the thin-film-grown substrate, and a preheating heating chamber 28 for heating the substrate while cleaning it,
  • the pressure and temperature are controlled independently with the corresponding substrate heating sections 36, 36, 36, so that the substrate can be transported without lowering the temperature, and the process at different substrate temperatures and pressures can be performed continuously. It can be carried out.
  • FIG. 10 is an external view of the third embodiment.
  • the third embodiment has a configuration in which the substrate heating units are arranged not in a circle but in a line, and the chambers forming the vacuum chambers are also arranged in a line corresponding to the substrate heating units. .
  • the substrate holder, the load lock chamber, and the like are omitted.
  • the combinatorial molecular layer epitaxy apparatus 400 includes a preheating heating chamber 410, a growth chamber 412, and an etching chamber in a common chamber 422.
  • a preheating heating chamber 410 By transporting and locking the substrate heating unit 436 to the process chambers of 4 14 and the annealing chamber 4 16, each of these chambers is vacuum shielded and vacuum is independently exhausted to high vacuum. It is the first chamber.
  • the common chamber 4 2 2 is connected to the preheating chamber 4 10, the growth chamber 4 12, the etching chamber 4 14, and the annealing chamber 4 16 via the openings 4 2 provided in the partition walls 4 3 9. , this O-rings are embedded in grooves around these openings. Further, each of the chambers is vacuum-shielded against the partition wall 439, and is fixed and held.
  • the substrate heating section 436 is held on a transport plate 438 which can be moved up and down by vertical moving shafts 401 and 401, and a revolving rail 40 provided on the transport plate 438. 2, for example, being transported by being locked by a chain.
  • reference numeral 429 denotes a motor for transporting the substrate heating section 436 along the chain of the orbiting rail 402
  • reference numeral 421 denotes a motor inside the substrate heating section 436. 1 shows a motor for rotating the substrate holder of FIG.
  • FIG. 11 is a detailed view of the substrate heating unit according to the third embodiment, and the same members as those of the substrate heating unit of the first embodiment shown in FIG.
  • a substrate heating unit 436 according to the third embodiment is held on a transport plate 438 by a shaft 406, and has the same configuration as the substrate heating mechanism 36 shown in FIG.
  • a rotation mechanism for rotating the substrate holder 1 is provided, and a motor 421 for transmitting a rotational driving force to the rotation shaft 86 of the rotation mechanism is provided on the upper lid 4 18.
  • each vacuum chamber is vacuum-shielded, independently evacuated and pressure-controlled, and heated to a predetermined temperature.
  • each vacuum chamber is vacuum-shielded by the substrate heating unit, and is further independently evacuated and pressure-controlled, and can be heated to a predetermined temperature.
  • the growth chamber of the third embodiment may have the same configuration as that of the first embodiment or the second embodiment. Industrial use
  • the combinatorial molecular layer epitaxy apparatus according to the present invention is extremely useful as a monomolecular layer epitaxy apparatus for efficiently searching for a substance in a short time, and also as a substrate heating and transporting processing apparatus.

Description

明 細 書 コンビナトリアル分子層ェピタキシ一装置 技術分野
この発明は、 分子層ごとにェピタキシャル成長する無機系超構造、 金属や有機 系超構造を形成するのに利用し、 特に短時間で効率的な物質探索をするためのコ ンビナ卜リアル分子層エピタキシー装置に関する。
さらに、 この発明は、 薄膜形成装置の基板搬送に利用し、 基板を加熱したまま 搬送し、 各プロセス処理室とともに独立して真空室を形成して圧力制御及び温度 制御をするためのコンビナ卜リアル分子層エピタキシー装置に関する。 背景技術
近時、 ランタン ·バリゥム ·銅酸化物系超伝導体が発見され、 高温超伝導酸化 物の薄膜形成技術が格段の進歩をとげるにつれ、 金属材料、 無機材料及び有機材 料など様々な新機能物質の探索及び研究が行われている。
高温超伝導酸化物の薄膜形成では、 ベロブスカイトなどの酸化物機能材料自体 力多 の複酸化物を基にしているため、 成分の最適化や薄膜作製条件と特性と の相関関係を理論的に予測することが困難であり、 試行錯誤的に最適ィヒを図らざ るを得ない。
このような中で、 X. — D. X i a n gらは多元スパッタリング法による薄膜 形成を基板上の特定の場所をマスクで覆うマスクパターンニング技術と組み合わ せ、 多数の無機物質を並行して合成する無機材料のコンビナトリアル薄膜合成に より酸化物高温超伝導体の探索を行い、多元系物質の機能探索に威力を発するこ とを示している (X. — D. X i a n gら、 S c i e n c e., 2 6 8、 1 7 3 8 (1 9 9 5) ) o
また、 G. B r i c e n 0らは、 超巨大磁気抵抗 (CMR) 材料の探索のため に、 コバルト酸化物をべ一スとする新材料の L nx My C ο θ,-δ (L n = L a , Y、 M = B a, S r, C a, P b ) をコンビナトリアル合成法で組成の異なる 1 2 8個の試料をスパッタ蒸着し、 酸素雰囲気中での焼結後に磁気抵抗を測定し て、 C o 0 2 をべ一スとする複酸化物も最大磁気抵抗比 7 2 % C M Rを示すこと - を明らかにし、 焼結条件を変えたわずか 2回のコンビナトリアル合成で、 C o系 C M R材料の発見と最適化を行っている。
しかしながら、 上記無機材料に対するコンピナ卜リアル合成では薄膜形成がい ずれも室温で堆積されているため組成制御の役割を果たしているにすぎず、 また 有機 ·無機系いずれの材料においても分子層ごとのェピタキシャル成長で超格子 構造を形成した薄膜をコンビナ卜リアル合成することは未だ実現されていない。 一方、 従来の薄膜製造装置では、 複数のプロセスを処理する場合、 異なるプロ セスを処理する装置間を人間やロボッ 卜がウェハーを搬送し、 逐次的に圧力や温 度のプロセスパラメータ一を設定してプロセス処理を行っていた。
特にウェハーに清浄な表面が要請される場合には、 プロセス装置間を清浄空間 で密閉した搬送路でウェハ一搬送を行わなければならなかつた。
しかしながら、 プロセス装置間の搬送装置は通常、 高温のウェハーに対応して いないため、 プロセスの処理終了後にウェハーを室温に冷ましてからウェハー搬 送をし、 また次のプロセスではウェハーを所定温度に昇温してから処理しており 、 ウェハ一の昇降温に多くの時間がかかっていた。
さらに従来のプロセス装置では、 反応圧力やウェハー温度等のプロセスパラメ —夕一を逐次的に設定する必要があり、 異なるプロセスを連続して処理するのに 適していなかった。
そこで、 本発明はこのような従来の技術における課題を解決するものであり、 第 1の目的は、 分子層ごとにェピタキシャル成長して無機系超構造、 金属や有機 系超構造を形成するとともに、 短時間で効率的な物質探索をするためのコンピナ 卜リアル分子層ェピタキシ一装置を提供することである。
さらに本発明の第 2の目的は、 ウェハ一を加熱したまま搬送することができる とともに、 各プロセス処理室と組み合つて形成した真空室を独立して圧力制御及 び温度制御するためのコンピナ卜リアル分子層ェピ夕キシー装置を提供すること にある。 発明の開示
この第 1の目的を達成するために、 本発明のコンビナトリアル分子層ェピタキ - シ—装置は、 圧力制御可能な共通室と、 共通室内で一以上の基板を保持した基板 ホルダーを有する一以上の搬送可能な基板加熱部と、 基板加熱部に対応したー以 上の圧力制御可能なプロセス処理室とを備え、 プロセス処理室のうちの成長室が 基板加熱部の保持する基板に原料を供給する多原料供給手段と、 基板表面にガス を供給するガス供給手段と、 基板表面での単分子層ごとのェピタキシャル成長を その場で観察するその場観察手段とを有しており、 基板ごとに成長温度、 圧力及 び供給原料を制御し、 系統的にその場観察手段に基づ L、て単分子層ごとのェピタ キシャル成長をした物質群を合成する構成とした。
この構成によれば、 [多原料] X [多基板] X [温度, 圧力及び気相からのフ ラックス (堆積速度) などの反応パラメータ] の組合せを独立に制御し、 1シリ ーズの反応により単分子層ごとにェピタキシャル成長した超格子構造を系統的に 合成する。
また本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは多原料 供給手段が複数の異なる固体原料のターゲッ トをエキシマレーザーで気化し各基 板上に狙 、通りの組成の薄膜を形成するようにしたレ一ザ一分子線ェピタキシ一 である。
この構成によれば、 波長の短いエキシマレ一ザ一光によりターゲッ 卜の限られ た表面を瞬時に気化するので、 狙い通りの組成の薄膜が形成でき、 例えば無機系 超構造の形成が可能である。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは多原料 供給手段がレーザ一分子線エピタキシーであって、 基板が α - A i 2 0 3 、 Y S Z、 M g O、 S r T i 03 、 L a A 1 0 3 、 N d G a 0 3 、 Y A 1 0 3 、 L a S r G a 0 , 、 N d A 1 03 、 Y 2 05 、 S r L a A 1 04 、 C a N d A 1 0 4 、 S i及び化合物半導体のいずれかである。 さらに、 夕一ゲッ 卜の固体原料が高温 超伝導体、 発光材料、 誘電体、 強誘電体、 巨大磁気抵抗材料及び酸化物のいずれ かである。
この構成よれば、 タ一ゲッ 卜の原料組成を忠実に基板表面に供給し、 成分によ らず付着確率がほぼ 1である点が有利に働くので、 単分子層ごとにェピタキシャ ル成長した薄膜の高温超伝導体、 発光材料、 誘電体、 強誘電体、 巨大磁気抵抗材 ― 料を各基板上に形成する。
さらに本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは多原 ネ 給手段がターゲッ 卜を配置する回転かつ上下移動可能なターゲッ トテ—ブル と、 夕一ゲッ 卜と基板との間に配設した回転かつ上下移動可能なマスクプレート とを備えている。 また好ましくはマスクプレー卜が異なる複数のマスクパターン を有し、 このマスクパターンを順次交換してェピタキシャル成長させる。 さらに 好ましくはマスクプレー卜が基板に対して水平移動可能なシャッターの可動マス クであり、 基板及び基板の所定領域のいずれか、 或いは両方を上記可動マスクで 覆ったりはずしたりする。
この構成によれば、 マスクプレー卜を移動したマスクパターンを可動マスクと し、 複数の所定領域に組成の異なる薄膜や積層構造の異なる超格子を作製する。 また本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは多原料 供給手段がレ―ザ一分子線ェピ夕キシ一であって、 その場観察手段が反射高速電 子線回折である。
この構成によれば、 分子層ごとのェピタキシャル成長をモニタ一しながら、 例 えば高融点、 多成分の酸化物を薄膜化できる。
さらに本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは成長 室内にタ一ゲッ トを装塡するためのターゲッ 卜ロードロック室を備えている。
この構成によれば、 ターゲッ 卜を大気に晒すことなく清浄な状態で交換するこ とができる。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは多原料 供給手段がガスソ一ス有機金属を流量制御してノズルにより各基板に吹き付けて 供給するガスソース分子線ェピタキシ一である。
この構成によれば、 有機金属などの気化原料を用いて、 例えば金属や有機系構 造を形成することができる。
さらに本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは、 そ の場観察手段が光を利用した反射率差分光法、 表面光吸収法及び表面光干渉法の いずれかの方式に基づくものである。
この構成によれば、 金属や有機系構造を単分子層ごとにモニタ一しながらェピ - タキシャル薄膜成長ができる。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは基板が
S i及び化合物半導体である。
この構成によれば、 S i及び化合物半導体基板上に金属や有機系構造を単分子 層ごとにェピタキシャル成長した超格子構造を形成できる。
さらに本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは基板 力く、 基板表面を原子レベルで平坦化し最表面原子層を特定した基板である。
この構成によれば、 例えば格段に規則正しく長く続く R H E E D振動を観察す ることができるので、 単分子層ごとに進行するェピタキシャル成長を確実に実現 できる。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは共通室 に、 高真空を保持したまま基板ホルダーを交換するための基板ホルダーロードロ ック室を備えている。
この構成によれば、 基板を大気に晒すことなく清浄な状態で交換することがで きる。
さらに第 2の目的を達成するために、 本発明のコンビナ卜リアル分子層ェピタ キシ一装置は、 好ましくは基板加熱部がプロセス処理室に当接し真空シールして 、 基板加熱部とプロセス処理室とで独立して圧力制御可能な真空チヤンバーを形 成するようにしている。
この構成によれば、 各プロセス処理室間を基板を加熱したまま搬送し、 さらに 基板を加熱したまま各真空チヤンバ一ごとに独立して圧力制御をし、 しかも温度 制御も独立して行える。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは基板加 熱部が搬送プレー卜により周回及び上下移動し、 基板加熱部をプロセス処理室に 逐次搬送する構成としている。
この構成によれば、 基板加熱部が所定の軌道上を回って各プロセス処理室に移 動し、 多数の基板を保持した基板ホルダ一を各プロセス処理室に搬送して複数の プロセス処理室を逐次的に並列処理する。
さらに本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは共通 ― 室の真空を保持したまま回転及び上下移動するとともに共通室外部の電気配線及 び水道配管と連結した円筒状の公転移動シャフトを備え、 水道配管と基板加熱部 の水冷配管とを連結し、 基板加熱部を搬送する搬送プレー卜が公転移動シャフ卜 の回転軸を中心とする位置に配設している。
この構成によれば、 搬送プレー卜が回転軸を中心として連続的に回転して連続 プロセスを並列的に処理し、 さらに真空を保持したまま搬送プレー卜が連続回転 しても、 基板加熱部への冷却水の供給のための水冷配管や電力供給や、 例えば温 度モニタ—用の熱電対などの電気 ¾線がねじれない。
また、 本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは公転 移動シャフトが上端を真空シールして電気配線と接続するスリ ップリングと、 水 道配管と連結した水冷シールユニッ トと、 水冷シールユニッ トと水密的に連結し て摺動する同轴水冷配管とを有する。
この構成によれば、 真空シールしたまま水冷配管や電位配線により冷却水及び 電力を供給し、 これらが捻れることなく搬送プレー卜が公転移動シャフトにより 上下移動及び回転する
さらに本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは公転 移動シャフ卜と同軸に設けた内側水冷配管と外側水冷配管とで一水道路を形成し た同軸水冷配管を設けている。
この構成によれば、 上下移動かつ回転する公転移動シャフ卜を真空シールした まま冷却水を供給できる。
また本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは基板加 熱部が基板ホルダ一を回転させる基板回転機構を備えている。
この構成によれば、 基板ホルダーを回転するので、 基板の温度均一性が向上す る。
さらに本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは基板 回転機構の回転が基板加熱部の周回と同一の駆動力に基づいて回転する。
この構成によれば、 同一の駆動力で基板加熱部の周回と同時に基板ホルグーを 回転できる。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは基板回 - 転機構により真空チヤンバー内で基板ホルダ一が回転する構成としている。
この構成によれば、 基板加熱部とプロセス処理室とで形成した真空チヤンバー 内で圧力及び温度を制御可能であつて、 しかも基板ホルグーが回転する。
さらに本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくはプロ セス処理室が、 基板ホルダーに保持した基板をァニールするためのァニ一ル室、 基板ホルダ一に保持した基板を高真空かつ所定温度で加熱しておく余熱加熱室、 基板ホルダ一に保持した基板に薄膜を形成する成長室及び基板ホルダーに保持し た基板に薄膜成長後エツチング処理をするためのエツチング室を含んでいる。
この構成によれば、 複数のプロセスを並列して連続的に実行できる。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは基板ホ ルダ一が基板の周囲にスリッ ト状の孔を有している構成としている。
この構成によれば、 基板から逃げる熱量を少なくするので、 基板を均一に効果 的に加熱する。
さらに本発明のコンピナ卜リアル分子層エピタキシー装置は、 好ましくは基板 ホルダーが内部に凹部を有する円板状であって、 この周縁に基板加熱部に基板ホ ルダ一を係止するための溝を形成している。
この構成によれば、 基板ホルダーを基板加熱部に容易に装塡できる。
また本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくは基板ホ ルダ一が、 内側に段差部を形成したリング状であって、 この周縁に基板加熱部に 基板ホルダ一を係止するための溝を形成したホルダ一リングと、 基板加熱部の加 熱手段に面した側に基板を一以上保持し熱吸収効率の高い物質で形成した円板状 のホルダ一プレー卜とを備え、 ホルダ一リングの段差部でホルダ一プレートを支 持している。
この構成によれば、 加熱されるホルダ一プレー卜がホルダ一リングの段差部と でしか接触しておらず、 熱伝導により逃げる熱量を小さくできるので、 ホルダー プレー卜の温度均一性が向上する。
さらに本発明のコンビナ卜リアル分子層エピタキシー装置は、 好ましくはホル ダ一プレートを形成する熱吸収効率の高い物質が、 インコネルであって、 この表 面を高温酸化した構成としている。 ― この構成によれば、 ホルダープレー卜を効果的に加熱できる。
また本発明のコンビナトリアル分子層エピタキシー装置は、 好ましくは基板ホ ルダ—及びホルダ—プレー卜がランプヒ一ターの焦点位置に配置される構成とし ている。
この構成によれば、 基板ホルダ一及びホルダープレー卜に効果的に集光して加 熱できる。 図面の簡単な説明
この発明は、 以下の詳細な説明及び本発明の実施形態を示す添付図面により、 より良く理解されるものとなろう。 なお、 添付図面に示す実施形態は本発明を特 定又は限定することを意図するものではなく、 単に本発明の説明及び理解を容易 にするためのものである。
図中、
図 1は本発明の第 1の実施形態にかかるコンビナ卜リアル分子層エピタキシー 装置の概略図である。
図 2は本発明によるコンビナトリアル分子層エピタキシー装置の第 2の実施形 態の外観図である。
図 3はコンビナ卜リアルレ一ザ一分子線エピタキシー装置とした成長室の要部 外観図であり、 基板加熱部と成長室とでなる独立した真空チャンバ一を示す図で ある。
図 4は第 2の実施形態にかかる基板加熱部の詳細断面図であり、 搬送プレー卜 力く下方の終点に移動して基板加熱部が隔壁に当接している状態を示す図である。 図 5は基板ホルダ一を示す図であり、 (a ) は外観斜視図、 (b ) は断面図で ある。
図 6は基板ホルダーの変形例を示す図であり、 (a ) は外観斜視図、 (b ) は 断面図である。
図 7は他の基板ホルダーを示す図であり、 (a ) は外観斜視図、 (b ) は断面 図である。
図 8は第 2の実施形態に係る公転移動シャフ卜の断面図である。 ― 図 9は第 2の実施形態に係る公転移動シャフ卜の水冷配管詳細図である。
図 1 0は第 3の実施形態の外観図である。
図 1 1は第 3の実施形態に係る基板加熱部の詳細図である。 発明を実施するための最良の形態
以下、 図面に示した好適な実施形態に基づいて本発明を詳細に説明する。 なお 、 以下の説明では、 本発明の例示的な実施形態について説明している力 開示し た実施形態に関して、 本発明の要旨及び範囲を逸脱することなく、 種々の変更、 省略、 追加が可能であることは当業者において自明である。 したがって本発明は 実施形態に限定されるものではなく、 請求の範囲に記載された要素によって規定 される範囲及びその均等範囲を包含するものとして理解されなければならな 、。 先ず、 本発明のコンビナトリアル分子層エピタキシー装置における典型的な第 1の実施形態を図面を参照して詳細に説明する。
図 1は本発明の第 1の実施形態にかかるコンビナ卜リアル分子層エピタキシー 装置の概略図である。 図 1は薄膜成長装置としてコンビナ卜リアルレーザ一分子 線エピタキシー装置を例示したものであり、 このコンビナ卜リアルレーザ一分子 線エピタキシー装置に代えてコンビナトリアルガスソース有機金属分子線ェピ夕 キシ一装置を用いてもよい。
本発明のコンビナトリアル分子層エピタキシー装置には、 原料と作製する物質
^に応じて薄膜成長装置の構成が一部異なり、 パルスレーザ一光によって固体 原料を気化して分子層ごとにェピタキシャル成長させて無機系超構造をコンピナ 卜リアル合成するのに適するコンビナ卜リアルレ一ザ一分子線エピタキシー装置 と、 有機金属などの気化原料を用いて金属や有機系超構造を分子層ごとにェピタ キシャル成長させて形成するのに適するコンビナ卜リアルガスソース有機金属分 子線ェピタキシ一装置とがある。 両装置は薄膜原料の供給方法が異なる他はほぼ 同一の装置構成である。
先ず、 コンビナトリアルレ一ザ一分子線エピタキシー装置について説明する。 図 1を参照すると、 本実施形態に係るコンピナトリアルレ一ザ一分子線ェピ夕 キシ一装置は、 真空チャンバ一 2と、 この真空チャンバ一 2とゲートバルブ (図 ― 示せず) を介して高真空に排気する夕一ボ分子ポンプ、 イオンポンプ及びクライ ォポンプ等の超高真空ポンプ 4と、 複数の基板 5を保持し回転可能な基板ホルダ — 6と、 この基板ホルダ一 6の後部に配設され基板を加熱するランプヒータ一 8 とを備えている。
さらにこの装置は、 回転可能なシャフト 9に支持された基板ホルダー 6と、 基 板ホルダ一 6に対向して設けられた回転可能な夕一ゲッ 卜テーブル 1 0, 1 0と 、 これらのターゲッ トテーブル 1 0 , 1 0に装塡された複数の異なる固体原料の ターゲッ 卜 1 2と、 これらの夕一ゲッ ト 1 2を気化するエキシマレ一ザ一光 1 3 , 1 3の光源 1 4 , 1 4と、 このレーザ光を集光するレンズ 1 5 , 1 5と、 レ一 ザ一光を真空チャンバ一 2内に導入する窓 1 6, 1 6と、 薄膜成長基板上の分子 層ェピタキシャル成長をその場でモニターする反射高速電子線回折 (以下、 「R H E E D J という。 ) の電子銃 1 8と、 R H E E Dのスクリーン 1 7とを備えて いる。
さらに、 基板ホルダ一 6及びターゲッ 卜テーブル 1 0 , 1 0のホ一厶ポジショ ンと回転位置は図示しな 、制御装置により管理されるとともに、 この制御装置に より基板が成長する位置に対してタ一ゲッ 卜の種類が選択され、 エキシマレ一ザ 一をパルス状に照射する時間が制御されている。
超高真空ポンプ 4は真空チャンバ一 2を 1 0—1 β T o r r禾 に保持できる能 力を有することが望ましく、 また真空チヤンバー 2は図示しないバルブの開閉度 を調節して圧力制御するようになっている。 なお、 超高真空ポンプは口一タリ一 ポンプを補助ポンプとして用いている。
ランプヒ一ター 8は基板 5に薄膜を成長させている位置にあるとき成長プロセ スに適した温度で加熱している力く、 その他の位置にあるときは他の余熱用のラン プヒ一夕 7で加熱している。 これらのランプヒ一夕一は基板ホルダー 6の近傍に 配設されている。 ランプヒーターは基板ホルダ一自体に配設されていてもよいが 、 この場合ランプヒータ一は基板が成長位置にあるときは成長温度に制御され、 余熱用の位置にあるときは所定温度に制御されるようになつている。 図 1に示した例では、 余熱用と薄膜成長用の真空チヤンバ一は兼用していて一 つであるが、 基板に薄膜を成長させるチャンバ一と余熱しておく真空チャンバ一 ― とを別途に隣接して設け、 各チヤンバーを独立して形成しておいてもよい。
また真空チヤンバ一には、 常圧復帰のための大気及び窒素や高温超伝導関連の 酸化物エピタキシーのために、 ノズル 19で供給する酸素及び反応性ガスなどの ガス供給系が設けられている。 なお、 図 1のガス供給系は略図であり、 通常は質 量流量計で制御され、 真空ポンプと連動して制御可能になっている。
さらに基板としては、 α— A l 2 03 ヽ YSZ、 MgO、 S r T i 03 ヽ L a A 103 、 N d G a 03 、 YA 103 、 L a S r G a 0, 、 Nd A 103 、 Y2 05 、 S r L aA 104 、 C aNdA 104 、 S i及び化合物半導体が使用でき る。
ところで、 分子層エピタキシーに基づく RHE ED振動を検出し、 しかもこの RHEED振動をモニターして単分子層ごとに制御して単分子層ェピタキシャル 成長を持続させるには、 基板表面の原子レベルでの平坦化と最表面原子層の特定 力極めて重要である。
例えば AB03 の一般式で表されるぺロブスカイト酸ィ匕物は AOと B02 の原 子層の繰り返しで構成される力く、 最表面が A 0の場合、 B 02 の場合、 両者が共 存する場合、 その上に堆積していく膜の成長モードが異なる。
例えば S r T i 03 研磨基板は最表面が主として T i 02 であり、 表面荒さは 数 nmである。 この S r T i 03 ( 1 00 ) 基板を HFZNH3 緩衝溶液 (pH =4. 5) でゥエツ トエッチング処理すると表面を原子レベルで平坦化でき、 最 表面原子層が T i 02 面にできる。
このように表面が原子レベルで平坦化された基板では、 単分子層ごとの成長に 起因する RHE ED振動を検出できる。
したがって、 本実施形態では基板表面を原子レベルで平坦化し最表面原子層を 特定した基板を用いるのが好ましい。
夕一ゲッ 卜の固体原料としては固体であれば何でも使用可能であるが、 例えば 、 YB a2 Cu 3 07 などの高温超伝導体、 ZnO, (ZnMg) 0, (ZnC d) 0などの発光材料、 S rTi 03 , B aT i〇3 , PZT, (S r B a) T i 0 3 などの誘電体や強誘電体、 (L a S r ) M a 0 3 などの巨大磁気抵抗材料 等が使用可能である。
さらに、 単成分及び多成分の酸化物を使用して成分ごとに供給することも可能 である。
次に、 コンビナトリアルレ一ザ一分子線エピタキシー装置の薄膜形成時の動作 について説明する。
例えば真空チャンバ一 2を 1 0—4 T o r r程度の高真空に制御し、 ランプヒ一 ター 8で基板 5を例えば 8 5 0 °Cの成長温度に制御しつつ、 基板ホルダー 6を回 転して基板 5を成長位置に配置する。 この成長位置にある基板 5に対向するよう にターゲッ トテーブル 1 0, 1 0が回転してターゲッ ト 1 2 , 1 2を所定位置に 配置し、 このターゲッ ト 1 2 , 1 2にエキシマレ一ザ一光 1 3, 1 3を例えばパ ルス状に所定時間照射する。
このエキシマレ一ザ一光の照射によってターゲットの表面で急激な発熱と光化 学反応の両方が起き、 原料成分が爆発的に気化し、 基板上に狙い通りの組成の薄 膜を形成する。 さらに R H E E Dの鏡面反射点では一層ごとの成長による核発生 と平坦化の繰り返しに伴う振動を観測でき、 厳密に一分子層ごとの自己制御性の ある膜厚モニターをする。
単分子層ごとのェピタキシャル成長後、 ターゲットテーブル 1 0, 1 0が回転 し、 他のタ一ゲッ 卜 1 2 , 1 2を所定位置に配置し、 他の超格子構造物である薄 膜成長を行う。
—つの基板に新たな格子構造を有する人工結晶や超格子を作製後、 基板ホルダ 一 6が回転して次の基板の処理を行う。
ェピタキシャル成長膜力 <超伝導体の場合、 反応系の真空チヤンバー 2内の酸素 分圧を高く して必 な酸化条件を満たしておく。 なお、 本実施形態では減圧度が 低く、 反応系内の酸素分圧を広い範囲で制御することが可能である。
このようにして本実施形態のコンビナトリアルレーザ一分子線エピタキシー装 置では、 [多原料] X [多基板] X [温度, 圧力及び気相からのフラックス (堆 積速度) などの反応パラメータ] の組合せを独立に制御し、 1シリーズの反応に より構造を系統的に制御した物質群を合成することができる。 次に、 コンビナ卜リアルガスソース有機金属分子線エピタキシー装置について 説明する。 ― 図 1はコンビナ卜リアルレ一ザ一分子線エピタキシー装置の例示である力く、 装 置構成が共通する点が多いので、 図 1を参照して説明する。
図 1を参照して、 本実施形態に係るコンピナ卜リアルガスソース有機金属分子 線エピタキシー装置は、 真空チャンバ一 2と、 この真空チャンバ一 2とゲートバ ルブ (図示せず) を介して高真空に排気するターボ分子ポンプ、 イオンポンプ及 びクライオボンプ等の超高真空ポンプ 4などの真空排気系とを備えている。
さらに、 複数の基板 5を保持し回転可能な基板ホルダ一 6と、 この基板ホルダ 一 6の後部に配設され基板を加熱するランプヒータ一 8と、 基板ホルダ一 6とラ ンプヒーター 8とを支持して回転可能なシャフ卜 9とを備え、 有機金属などの気 化原料である複数の反応性ガスを流量制御してノズル 1 9により基板に吹き付け るようになっており、 ガス流量、 ガス導入のオン ·オフ又はガス導入のタイミン グなどと真空排気とは連動して制御されている。
またコンピナトリァルガスソ一ス有機金属分子線ェピタキシ一装置では、 原料 がガスソ―ス有機金属であり吸着表面反応が支配的であることから、 分子層ェピ タキシャル成長のその場でモニタ一するその場観察手段としてレーザ一光を照射 し、 その強度変化をモニタ一するのが効果的である。
例えば直線偏光を基板にほぼ垂直に入射させ、 反射光の偏光特性により表面構 造の異方性を検知して分子層ごとのェピ夕キシャル成長をモニタ一する反射率差 分光方式、 表面吸着原子又は分子による光吸収及び光の位相変化による反射強度 変化を測定して分子層ごとのェピタキシャル成長をモニターする表面光吸収方式 及び表面光干渉方式が利用できる。
さらに、 基板としては、 例えば I I I 一 V族、 I I — V I族、 I 一 V I I族、
I I 一 I V族、 I V— V I族の種々の組合せの化合物半導体力く利用できる。 さら に化合物半導体でなくても、 例えば S i基板でもよい。
このような構成のコンピナ卜リアルガスソ一ス有機金属分子線ェピ夕キシ一装 置では、 各基板ごとに単分子層ごとの成長をモニタ一してェピタキシャル成長す ることができ、 1シリ一ズの反応により構造を系統的に制御した物質群を合成す ることができる。
次に、 本発明のコンビナトリアル分子層エピタキシー装置における第 2の実施 ― 形態を説明する。
図 2は本発明によるコンビナ卜リアル分子層エピタキシー装置の第 2の実施形 態の外観図である。
第 2の実施形態に係るコンビナトリアル分子層ェピ夕キシャル成長装置 2 0は 、 共通室 2 2、 成長室 2 4、 ァニール室 2 6、 余熱加熱室 2 8及び基板ホルダ— ロードロック室 3 4を備え、 これらの各室は真空シールドされ独立して高真空に 排気される真空チヤンバーとなっている。
共通室 2 2内で成長室 2 4、 ァニール室 2 6及び余熱加熱室 2 8のプロセス処 理室に搬送プレー卜 3 8に設けられた基板加熱部 3 6を搬送してロックすること により、 これらの各プロセス処理室が真空シールドされる。
成長室 2 4は基板に薄膜成長させる領域であり、 ァニール室 2 6は成長基板を ァニールする領域であり、 余熱加熱室 2 8は基板を高真空雰囲気下でクリーニン グし、 かつ、 余熱しておく領域である。
本実施形態では 3つのプロセス処理を行う例を示しているが、 薄膜成長させた 基板の所定領域をェッチングするエツチング室ゃド一ピングを行う ドーピング室 などを設けてもよく、 この場合 5つの真空チヤンバーを有することになる。
なお、 図 2中の T M Pはターボ分子ポンプの略称を示すが、 図示しないゲー卜 バルブを介して超高真空ポンプにより排気されるようになつており、 補助ポンプ として口一夕リポンプを使用している。
また各真空チヤンバ一は図示しないバルブの開閉度を調節して圧力制御でき、 さらに図示しないバルブ及び質量流量計が所定個所に設けられて、 酸素及びドラ ィ窒素などを流量制御して導入できるようになつている。
共通室 2 2は、 成長室 2 4、 ァニール室 2 6及び余熱加熱室 2 8と隔壁 3 9に 設けられた開口部 4 2 , 4 2, 4 2を介して連結され、 この開口部の周囲の溝に 0リング 4 1が埋め込まれている。 さらに成長室 2 4、 ァニール室 2 6及び余熱 加熱室 2 8は、 隔壁 3 9に対してそれぞれ真空シールドされて固定保持されてい る。 共通室 2 2には、 基板ホルダ一 4 8、 基板ホルダーのチヤッカ一 4 5及びラン プヒータ一 8 (図 4を参照) を円筒状のハウジング 3. 5内に格納した基板加熱部 - 3 6力く、 図 2では 3つ設けられている。
これらの基板加熱部 3 6は、 公転移動シャフ卜 4 3によって回転搬送及び上下 方向に移動する搬送プレート 3 8に、 ハウジング 3 5のフランジ部 3 1で真空シ —ルドされ、 かつ、 保持されている。
公転移動シャフト 4 3は共通室 2 2を真空シールドしたまま回転機構 6 0によ り回転し、 移動機構 7 0により上下方向に移動するようになっている。
ハウジング 3 5の他端のフランジ部 3 3は、 搬送プレート 3 8が下方の終点に 移動したとき隔壁 3 9の開口部 4 2の周囲の溝に埋め込まれた 0リング 4 1 (図 4 ) に当接し、 共通室 2 2と隔離して真空シ一ルドされている。 このとき各基板 加熱部 3 6, 3 6, 3 6と、 成長室 2 4、 ァニール室 2 6及び余熱加熱室 2 8と で形成される各真空チャンバ一は独立して真空排^ ¾び圧力制御され、 力、つ、 所 定温度に加熱されるようになつている。
図 2に示すように、 共通室 2 2にゲートバルブ 4 6を介して設けられた基板ホ ルダ一口一ドロック室 3 4には、 基板 5が装塡された基板ホルダ— 4 8を複数個 保持したストッカー 4 9力く設置されており、 基板ホルダ一ロードロック室 3 4を 高真空に保持したまま外部から操作するクリップ 5 2で基板ホルダー 4 8を基板 加熱部 3 6のチヤッカーに装塡するようになつている。
成長室 2 4の構成は図 1に示したコンピナトリアルレ一ザ一分子線ェピ夕キシ —装置の構成と同様であるが、 ランプヒータ一は成長室 2 4に対して一つだけ設 けられている点力 <異なる。
なお、 レーザ一分子線エピタキシーでは、 図 2に示すように、 成長室 2 4にゲ 一卜バルブ 4 7を介して設けられたターゲットロードロック室 3 2にタ一ゲッ 卜 1 2を複数個保持したプレート 5 4が設置されており、 ターゲッ トロ一ドロック 室 3 2を高真空に保持したまま外部から操作するクリップ 5 6でターゲット 1 2 を図示しないタ一ゲッ 卜テ一ブルに装塡できるようになつている。
次に、 成長室の詳細について説明する。
図 3はコンビナ卜リアルレ一ザ一分子線エピタキシー装置とした成長室の要部 外観図であり、 基板加熱部と成長室とでなる独立した真空チヤンバーを示す図で ある。
図 3に示すように、 基板加熱部 3 6が隔壁 (図示省略) に当接して基板加熱部 3 6と成長室 2 4とで独立した真空チャンバ一 1 0 Qを形成し、 複数の基板 5を 有する基板ホルダー 4 8が基板加熱部 3 6の基板ホルダー回転部 8 4に設けられ ており (図 2に示す) 、 基板ホルダーが回転可能になっている。
成長室 2 4には、 基板ホルダ一 4 8に対向して設けられた回転可能なターゲッ トテーブル 1 0と、 基板ホルダ一 4 8と夕ーゲッ トテーブル 1 0との間に設けら れたマスクプレ一卜 1 0 2とが備えられている。 マスクプレ一卜 1 0 2には例え ば 8種類のマスクパターン 1 0 4力く形成されている。
図 3に示すマスクプレ一卜は円板状である力く、 他のマスクプレ一卜の例として 基板に対して両方向から可動なシャッター状であってもよく、 その場合マスクプ レートは可動マスクとして水平方向及び上下方向に移動可能になっている。 さらに、 ターゲッ トテーブル 1 0に装塡された複数の異なる固定原料の夕一ゲ ット 1 2と、 これらの夕一ゲッ 卜 1 2を気化するエキシマレ一ザ一光 1 3の光源 1 4と、 このレーザ光を集光するレンズ 1 5と、 レーザ一光を真空チャンバ一 1 0 0内に導入する窓 1 6と、 薄膜成長基板上の分子層ェピタキシャル成長をその 場でモニタ一する反射高速電子線回折 (以下、 「R H E E D」 という。 ) の電子 銃 1 8と、 R H E E Dのスクリーン 1 7とが備えられている。
夕一ゲッ トテーブル 1 0及びマスクプレ一卜 1 0 2は成長室 2 4の真空度を維 持したまま回転可能かつ上下移動可能になつており、 それぞれマスク回転機構、 ターゲッ トテ一ブル回転機構、 マスク上下移動機構及び夕一ゲッ 卜テーブル上下 移動機構を有している。
特にマスク回転機構は所定領域での薄膜成長の膜厚を制御して可動できるよう に、 例えばステツビングモータ一で精密制御されている。
また成長室 2 4には、 常圧復帰のための大気及び窒素や高温超伝導関連の酸化 ェピタキシ一のために、 ノズルなどで供給される酸素及び反応性ガスなどのガス 供給系 (図示せず) 力 <設けられている。
さらに基板ホルダ一 4 8、 マスクプレート 1 0 2及びターゲッ 卜テーブル 1 0 のホームポジションと回転位置は、 図示しない制御装置により管理されると共に 、 この制御装置により薄膜成長させる基板の位置に対して各回転機構によりマス ― クパターン 1 0 4の種類及びターゲッ 卜の種類が選択され、 単分子層ごとのェピ タキシャル成長をその場で R H E E Dでモニタ一しつつ、 このモニタ一と連動し てエキシマレ一ザ一をパルス状に照射する時間が制御されるようになつている。 次に第 2の実施形態に係るコンビナ卜リアルレーザー分子線エピタキシー薄膜 形成時の動作について説明する。
図 3を参照して、 例えば真空チャンバ一 1 0 0を 1 0— T o r r程度の高真空 に制御し、 ランプヒータ一 8で基板 5を、 例えば 8 5 0 °Cの成長温度に制御しつ つ、 基板ホルダ一 6を回転して基板 5を成長位置に配置する。 この基板 5に対応 してマスク回転機構によりマスクパターン 1 0 4を選択し、 この成長位置にある 基板 5に対向するようにタ一ゲッ トテ一ブル 1 0が回転してターゲッ ト 1 2を所 定位置に配置し、 このターゲッ 卜 1 2にエキシマレーザ一光 1 3を例えばパルス 状に所定時間照射する。 後の過程は第 1の実施形態と同様である。
以上は多基板に対してマスクパターンを固定してコンビナ卜リアル合成をした 場合である力く、 一つの基板に対して異なるマスクパターンを順次交換移動させて 、 つまりマスクプレー卜を移動させたマスクパターンを可動マスクとして、 複数 の所定領域に組成の異なる薄膜や積層構造の異なる超格子を作製してもよい。
さらに基板に対してシャッター状のマスクプレートを可動マスクとして、 基板 の所定領域を覆つたりはずしたりして組成の異なる薄膜や積層構造の異なる超格 子を作製してもよい。
このようにして、 本実施形態のコンビナ卜リアルレーザー分子線エピタキシー 装置では、 [多原料] X [多基板] X [マスクパターン] X [温度, 圧力及び気 相からのフラックス (堆積速度) などの反応パラメータ] の組合せを独立に制御 し、 1シリーズの反応により構造を系統的に制御した物質群を合成することがで きる。
なお、 成長室はコンビナ卜リアルレーザ一分子線エピタキシー装置用について 説明した力 <、 ガスソ一ス分子線ェピタキシ一の場合には基板ホルダ一の成長位置 にある基板に対して薄膜組成の原料を供給する多原料供給手段がガスソース有機 金属を流量制御してノズルにより各基板に吹き付けて供給するものになるが、 こ れは第 1の実施形態と同様の構成である。
次に、 基板加熱部について説明する。
図 4は第 2の実施形態にかかる基板加熱部の詳細断面図であり、 搬送プレー卜 力〈下方の終点に移動して基板加熱部が隔壁に当接している状態を示す図である。 図 4に示すように、 基板加熱部 3 6は、 フランジ 3 1, 3 3を両端に有する円 筒状のハウジング 3 5と、 このハウジングの中心線上に設けられたランプホルダ ー8 2と、 このランプホルダーに設置されたランプヒータ一 8とを有し、 基板ホ ルダ一を回転させる基板回転機構を備えている。
ランプヒーター 8は安全性と温度制御の安定性のために水冷されており、 搬送 プレート 3 8に真空シールドされて設けられているバルクへッ ドユニオン 2 0 3 を介して、 基板加熱部内からのランプヒーター 8の水冷配管 2 0 1と公転移動シ ャフ 卜 4 3に同軸に設けられている同軸水冷配管 2 0 0とがィン及びァゥ卜用の 水冷配管 2 0 2 , 2 0 2で接続されている。
ランプヒー夕一用の電極プラグ 1 0 1は、 搬送プレート 3 8に真空シールドさ れて設けられている。 このランプヒータ一 8の電力供給 £線及び温度制御用熱電 対 (図示せず) の信号線等は円筒状の公転移動シャフト 4 3の内側を這って真空 シールドされて外部に引き出され、 電源に接続されている。 詳細は後述する。 基板回転機構は、 ランプホルダー 8 2の外側に配設された基板ホルダ一回転部 8 4と、 この回転部に設けられていて基板ホルダ一 4 8をランプヒータ一 8の焦 点位置に配置するチヤッカ一 4 5とを備えている。
基板ホルダ一回転部 8 4の上部には回転用ギヤ 8 3が設けられ、 自転シャフ卜 8 6のギヤ 8 5と嚙み合っており、 またこの自転シャフ 卜 8 6の他端に設けられ た自転用ギヤ 8 8は公転用ギヤ 6 5と嚙み合っている。 さらに基板ホルダ一回転 部の下部にはべァリング 8 7が設けられている。
次に、 基板ホルダ一について説明する。
図 5は基板ホルダ一を示す図であり、 (a ) は外観斜視図、 (b ) は断面図で ある。 なお、 図 5 ( b ) は、 ランプヒータ一 8側の位置を示す。
図 5を参照して、 基板ホルダー 4 8は内部の凹部 3 1 1を有する円板状であつ て周縁に溝 3 1 0が形成され、 この溝 3 1 0でチヤッカ一 4 5に係止されるよう になつており、 凹部 3 1 1の底面側の反対面に基板 5が複数個取り付けられてい ― る。 この凹部 3 1 1は基板ホルダ一を変形させない程度に形成され、 また基板を 効果的に加熱するように適度の深さで形成されている。 なお、 図 5ではホルダ一 プレートに基板 5が複数個取り付けられているがーつだけでもよく、 また同心円 上に複数の基板を取り付けるのが好ましい。
このような基板ホルダ一では適度の凹部が形成されているので、 基板ホルダー 力変形することなく基板を効果的に加熱することができる。
図 6は図 5に示した基板ホルダーの変形例であり、 ( a ) は外観斜視図であり 、 ( b ) は断面図である。 なお、 図 6 ( b ) 中の 8はランプヒーターを示す。
図 6に示すように、 この基板ホルダ一 3 0 8は基板 5の周囲にスリツ 卜状の孔 3 0 9を形成したものである。 この基板ホルダ一 3 0 8ではランプヒーター 8に より基板 5を支持している個所にランプヒータ一 8の焦点を合わせて加熱する。 この基板 5がスリッ ト状の孔 3 0 9に囲まれた基板ホルダー 3 0 8自体の熱伝導 により加熱される力く、 スリット状の孔があるため熱伝導による熱の逃げ力少なく なる。 なお、 図 6において基板は複数個あってもよく、 その場合は基板の周囲に スリッ 卜状の孔を設けても良く、 複数の基板を囲んでスリッ ト状の孔を設けても よい。
このような基板ホルダ一 3 0 8では、 基板 5が効果的に加熱されるとともに、 温度均一性が向上する。
図 7は他の基板ホルダーを示す図であり、 (a ) は外観斜視図、 (b ) は断面 図である。 なお、 図 7 ( b ) は、 ランプヒータ一 8側の位置を示す。
図 7を参照して、 基板ホルダ一 4 8は、 周縁にチヤッカー 4 5に係止される溝 3 1 0を有し、 内側に段差部 3 1 2が形成されたリング状のホルダ一リング 3 2 0と、 このホルダ一リング 3 2 0の内側に取り付けられる円板状のホルダ一プレ ート 3 3 0とを備え、 ホルダ一リング 3 2 0とホルダープレー卜 3 3 0とは段差 部 3 1 2の極めて小さい領域で接触している。
このホルダープレート 3 3 0は基板ホルダ一 4 8がチヤッカ一 4 5に取り付け られたときランプヒータ一の焦点位置になるように形成されている。 なお、 ホル ダ一プレー卜 3 3 0を取り付けたときガタカ <生じないように、 ホルダ一プレート 3 3 0の側部に先端を丸く形成した微少突起 3 1 5を両端に設けてもよい。 またホルダープレート 3 3 0は熱吸収効率が高い物質で形成されている方がよ く、 さらにランプヒータ一 8に面した円板状の表面には熱吸収効率力 <最大になる ように酸化された物質が形成されて 、る。 例えばランプヒータ一が赤外線ヒータ であれば、 赤外線吸収効率が最大になるようにィンコネルで形成したホルダ—プ レ一卜の表面を 1 0 0 0。C程度の高温で酸化させて黒色に変化させた酸化物 3 1 3を形成しておくのが望ましい。
このような構成の基板ホルダ一では、 ランプヒ一ターによりホルダープレート を熱吸収効率最大で加熱するが、 ホルダ一プレートの周端から熱伝導により逃げ る熱量が極めて小さい。 このため、 ホルダ一プレートの温度を均一にすることが できる。
次に、 搬送プレートを回転搬送する回転機構と上下方向に移動する移動機構と を詳細に説明する。
図 2を参照して、 搬送プレート 3 8を回転させる回転機構 6 0は、 移動プレー ト 7 2に設けられたモータ一 6 1と、 このモータ一 6 1の回転駆動力を伝達する シャフト 6 2と、 このシャフト 6 2の端部に設けられた駆動ギヤ 6 4とを備え、 この駆動ギヤ 6 4が公転移動シャフ卜に設けられた公転用ギヤ 6 5に嚙み合って 回転駆動力を伝達するようになっている。
なお、 回転シャフト 6 2は、 移動プレート Ί 2と成長室 2 2との間を真空シー ルドするために設けられたフレキシブルチューブ 8 2の内部を通っている。
図 2及び図 4を参照して、 公転移動シャフト 4 3の端部には搬送プレート 3 8 を複数の固定用シャフ卜 9 1を介して固定する支持部 9 2が固定されて設けられ ており、 この支持部 9 2に対してベアリング 9 3を介して所定トルクで回転する ように、 公転用ギヤ 6 5が設けられている。
図 2を参照して、 移動機構 7 0は、 共通室 2 2の上蓋 7 1に固定されたブラケ ッ ト 7 3と、 このブラケッ 卜 Ί 3に設けられたモ一夕一 7 4により回転駆動する 回転シャフト 7 5と、 この回転シャフ卜 7 5の回転により上下移動する移動プレ —ト 7 2とを備え、 公転移動シャフ卜 4 2は移動プレート 7 2と成長室 2 2との 間に真空シールドするために設けられたフレキシブルチューブ 8 3の内部を通り 、 移動プレート 7 2上に固定された磁気シールドュニッ ト 7 7により磁気シール - ドされ、 かつ、 回転可能に保持されている。 なお、 この磁気シールドュニッ 卜は 磁性流体により公転移動シャフ トを真空シールドしている。
次に、 先ず移動機構の動作を説明する。
図 2及び図 4を参照して、 移動プレー卜 7 2力く上始点にあるとき、 モータ一 7 4により回転シャフ卜 7 5が回転し移動プレー卜 7 2が下降する。 このとき移動 プレー卜 7 と成長室 2 2の上蓋 7 1間のフレキシブルチューブ 8 2, 8 3が縮 んでいく。 移動プレート 7 2が下降するにつれて公転移動シャフト 4 3が下降し 、 この公転移動シャフ卜 4 3の下降につれて搬送プレー卜 3 8に設けられた基板 加熱部 3 6のフランジ 3 3が 0リング 4 1に当接し、 0リング 4 1を圧縮して停 止する。
したがって、 各真空チャンバ一は基板加熱部 3 6で真空シールドされ、 さらに 独立して真空排^¾び圧力制御され、 力、つ、 所定温度に加熱できる。
次に、 搬送プレート及び基板回転機構の動作について説明する。
図 2及び図 4を参照して、 移動プレート 7 2が上始点にあるときモータ一 6 1 により回転駆動力がシャフ卜 6 2に伝達し、 駆動ギヤ 6 4が回転する。 この駆動 ギヤ 6 4により公転用ギヤ 6 5とともに公転移動シャフ卜 4 3が回転し、 この回 転につれて搬送プレートが回転し、 基板加熱部 3 6が公転する。 このとき自転用 ギヤ 8 8も回転するため、 自転シャフ ト 8 6により回転駆動力を回転ギヤ 8 3に 伝達し、 基板ホルダー回転部 8 5が回転し、 基板ホルダ一 4 8が回転する。 なお 、 公転移動シャフト 4 3、 回転シャフ 卜 6 2及び自転シャフ 卜 8 6は各真空チヤ ンバ一において真空シ一ルドされたまま回転する。
したがって、 搬送プレー卜に設けられた基板加熱部を各真空チヤンバーまで搬 送することができるとともに、 基板ホルダ一 4 8を回転することができる。
搬送プレート 3 8が下方の終点に移動して基板加熱部が共通室と隔離して真空 シールドされているとき、 回転シャフト 6 2の回転駆動力を公転用ギヤ 6 5に伝 達するが、 基板加熱部は 0リングに当接してロック状態にあるため、 公転用ギヤ 6 5だけがベアリング 9 3に沿って回転し、 この回転につれて自転用ギヤ 8 8力く 回転して基板ホルダ一回転部 8 5が回転し、 基板ホルダ一 4 8が回転する。
したがって、 各真空チャンバ一内で基板ホルダ一を回転することができる。 ― 次に、 公転移動シャフ卜について説明する。
図 8は第 2の実施形態に係る公転移動シャフトの断面図である。
図 8を参照して、 公転移動シャフ ト 4 3は第 2の実施形態に係るコンピナ卜リ アル分子層エピタキシー装置 2 0の中心を通り、 真空室である共通室と大気圧下 の外部とに渡って配設されている。
公転移動シャフト 4 3の上端部はスリップリング 3 0 1で真空シールド用に密 閉されており、 公転移動シャフト側に固定されているスリップリング 3 0 1の接 続部に、 公転移動シャフトの内側を這ってきた電気配線が接続されている。 この スリップリング 3 0 1の接続部が公転移動シャフ トとともに回転し、 このスリツ プリングの接続部がスリップリングの固定されている上部取り出し部と摺動して 電気的に接続されている。
このようにスリップリングを使用しているので、 公転移動シャフ卜の内部に這 つて £線されている電気配線は、 公転移動シャフ卜とともに回転しかつ移動して も、 外部との電気的接続はスリップリングにて摺動して電気的に接続する。
したがって、 公転移動シャフ卜のように回転移動するものであっても電気配線 等がねじれるようなことがない。
図 9は第 2の実施形態に係る公転移動シャフ 卜の水冷配管詳細図である。
図 9を参照して、 公転移動シャフ卜 4 3には内側水冷配管 4 0 1と外側水冷配 管 4 0 3とが同軸に設けられている。 冷却水は水冷シールュニッ 卜 4 0 5の冷却 水導入口 4 0 2から内側水冷配管 4 0 1に導入され、 公転移動シャフト 4 3の端 部にある水出口 4 0 4からランプヒーターの水冷配管 2 0 1 , 0 2 (図 2 ) を 通り、 さらに水入口 4 0 6から外側水冷配管 4 0 3を通って水冷シールュニッ 卜 4 0 7の冷却水排出口 4 0 8から排出されるようになっている。 なお、 水冷シ一 ルュニッ 卜 4 0 5 , 4 0 7は連結されてブラケッ 卜 (図 3 ) に固定されている。 水冷シールュニッ 卜 4 0 5, 4 0 7は 0リング 4 0 9で水密的にシールされてい る。
したがって、 ランプヒーター 8の水冷配管とともに公転移動シャフ卜 4 3が回 転及び移動しても水冷配管がねじれるようなことはな 、。 ,
次に第 2の実施形態のプロセスにおける動作について説明する。 なお、 成長室 ― ではレーザー分子線エピタキシーの例を用いて説明した。 さらに具体的な条件は 例示である。
所定圧力の室温下、 搬送プレート 3 8が上始点のホームポジションにあるとき 第 1基板ホルダ一 4 8を余熱加熱室に対応する基板加熱部のチヤッカ一 4 5に装 塡後、 搬送プレート 3 8が下降して各基板加熱部 3 6が隔壁の 0リング 4 1に当 接し、 圧縮して停止する。 余熱加熱室 2 8を高真空の例えば 1 (T s T o r rに維 持しクリーニングを行うとともに昇温レート 1 0 °CZ分で 9 5 0 °Cまで温度を上 げていく。
所定時間経過後、 各基板加熱部の温度を維持したまま各真空チヤンバー及び共 通室を所定圧力に戻し、 搬送プレー卜 3 8力上始点まで移動する。 この搬送プレ —卜 3 8が回転し、 余熱加熱室 2 8に対応して第 1基板ホルダ一 4 8を装塡して いる基板加熱部 3 6を成長室 2 4まで搬送する。 このとき室温のもと、 つまりラ ンプヒータ一 8を O F Fにした基板加熱部 3 6に、 次の処理をする第 2基板ホル ダ一 4 8を余熱加熱室 2 8に対応する基板加熱部 3 6のチヤッカー 4 5に装塡し ておく。
搬送プレート 3 8が下降して各真空チャンバ一を隔離し、 成長室 2 4を高真空 の例えば 1 0— 4 T o r rに維持し、 9 5 0 °Cに加熱したまま所定時間、 レーザ一 分子線エピタキシー成長を行う。 このとき余熱加熱室 2 8では 1 0— 6 T o r rに 維持され、 昇温レー卜 1 0 °CZ分で 9 5 0 °Cまで昇温中である。
成長室 2 4において、 単分子層ごとの分子層ェピタキシャル成長で超格子構造 などを基板ホルダ—を回転して各基板に形成した後、 設定温度の 9 5 0 °Cを維持 したまま各真空チャンバ一及び共通室 2 2を所定圧力に戻し、 搬送プレート 3 8 力 <上始点まで移動する。 この搬送プレート 3 8力 <回転し、 成長室 2 4に対応して 第 1基板ホルダ一 4 8を装塡している基板加熱部 3 6をァニール室 2 6まで搬送 する。 このとき、 余熱加熱室 2 8に対応する基板加熱部 3 6のチヤッカ一 4 5に 、 第 3基板ホルダ一 4 8を装塡しておく。
搬送プレート 3 8が下降して各真空チャンバ一を隔離し、 ァニール室 2 8を例 えば 1 T o r rに維持したまま、 例えば 9 5 0 °Cから降温レー卜 1 0 °C/分で所 定時間ァニールを行う。 このァニール室 2 8では酸素分圧が最適に制御されてい - る。 ランプヒーター 8を O F Fにしてァニール室 2 8が室温になったら、 他の基 板加熱部 3 6 , 3 6は 9 5 0 °Cに維持したまま、 各真空チャンバ一及び共通室 2 2を所定圧力に戻し、 搬送プレー卜 3 8が上始点まで移動して、 この搬送プレー 卜 3 8が回転してホームポジションに帰る。 そして、 ェピタキシャル成長後の基 板ホルダーを取り出してストッカー 4 9に格納後、 新たな第 4基板ホルダ一を基 板加熱部 3 6のチヤッカ一 4 5に装塡し、 逐次処理していく。
このようにして他の実施形態では、 [多原料] X [多基板] X [温度, 圧力及 び気相からのフラックス (堆積速度) などの反応パラメータ] の組合せを独立に 制御し、 1シリーズの反応により構造を系統的に制御した物質群を合成すること ができる。
さらに、 基板に単分子層ェピタキシャル成長層を形成する成長室 2 4、 薄膜成 長させた基板をァニールするァニール室 2 8及び基板をクリ一二ングしつつ加熱 する余熱加熱室 2 8を、 各対応した基板加熱部 3 6 , 3 6, 3 6とともに独立し て圧力制御及び温度制御しているので、 基板温度を下げることなく搬送でき、 異 なる基板温度及び圧力でのプロセスを連続的に行うことができる。
次に、 第 3の実施形態を説明する。
図 1 0は第 3の実施形態の外観図である。
第 3の実施形態は基板加熱部を円周上ではなく一列に並べた構成であり、 この 基板加熱部に対応して真空チヤンバーを形成するチヤンバ一も一列に並んで配設 されたものである。 なお、 基板ホルダ一ロードロック室等を省略した。
図 1 0に示すように、 第 3の実施形態に係るコンビナトリアル分子層ェピタキ シー装置 4 0 0は、 共通室 4 2 2内で、 余熱加熱室 4 1 0、 成長室 4 1 2、 エツ チング室 4 1 4及びァニール室 4 1 6のプロセス処理室に基板加熱部 4 3 6を搬 送してロックすることにより、 これらの各室が真空シールドされ、 独立して高真 空に排気される真空チャンバ一となっている。
共通室 4 2 2は余熱加熱室 4 1 0、 成長室 4 1 2、 エッチング室 4 1 4及びァ ニール室 4 1 6と隔壁 4 3 9に設けられた各開口部 4 2を介して連結され、 これ らの開口部の周囲の溝に 0リングカ埋め込まれている。 さらに各室は隔壁 4 3 9 に対してそれぞれ真空シ一ルドされて固定保持される。
基板加熱部 4 3 6は、 上下移動シャフト 4 0 1 , 4 0 1によって上下方向に移 動可能な搬送プレート 4 3 8に保持されており、 搬送プレート 4 3 8に設けられ た周回レール 4 0 2に沿った、 例えばチヱ一ンに係止されて搬送されるようにな つている。 なお、 図 1 0中、 4 2 9は基板加熱部 4 3 6を周回レール 4 0 2のチ ニーンに沿って搬送させるためのモータ一を示し、 また 4 2 1は基板加熱部 4 3 6内の基板ホルダーを自転させるためのモータ一を示す。
図 1 1は第 3の実施形態に係る基板加熱部の詳細図であり、 図 2で示した第 1 の実施形態の基板加熱部と共通する部材は同一符号を用いた。
図 1 1を参照すると、 第 3の実施形態にかかる基板加熱部 4 3 6は、 シャフト 4 0 6で搬送プレー卜 4 3 8に保持され、 図 2で示す基板加熱機構 3 6と同様の 構成で基板ホルダ一を回転させるための回転機構が設けられているが、 その回転 機構の自転シャフト 8 6に回転駆動力を伝達するモータ一 4 2 1は、 上蓋 4 1 8 に設けられている。
次に、 第 3の実施形態の動作について説明する。
搬送プレート 4 3 8が下降して基板加熱部 4 3 6のフランジ 3 3が隔壁 4 3 9 の 0リングに当接し、 0リングを圧縮して停止する。 このとき各真空チャンバ一 は真空シ一ルドされ、 独立して真空排気及び圧力制御され、 力、つ、 所定温度に加 熱されている。
次に搬送プレート 4 3 8力 <上昇して上始点で停止する。 この上始点から基板加 熱部 4 3 6が水平方向に移動する力 <、 常に各チヤンバー上に基板加熱部がくるよ うに搬送するようになっている。 さらに基板加熱部の移動中、 基板ホルダーが自 転し、 所定温度に保たれている。
これにより、 各真空チャンバ一は基板加熱部で真空シールドされ、 さらに独立 して真空排気及び圧力制御され、 力、つ、 所定温度に加熱できる。
なお、 第 3の実施形態の成長室は第 1の実施形態又は第 2の実施形態と同様の 構成でよい。 産業上の利用可能
以上のように、 本発明によるコンビナ卜リアル分子層エピタキシー装置は、 短 - 時間で効率的な物質探索をする単分子層エピタキシー装置として、 さらに基板加 熱搬送プロセス処理装置として極めて有用である。

Claims

請 求 の 範 囲
1. 圧力制御可能な共通室と、 この共通室内で一以上の基板を保持した基板ホル ダ一を有する一以上の搬送可能な基板加熱部と、 この基板加熱部に対応したー以 上の圧力制御可能なプロセス処理室とを備え、
上記プ口セス処理室のうちの成長室力《上記基板加熱部の保持する基板に原料を 供給する多原料供給手段と、 基板表面にガスを供給するガス供給手段と、 基板表 面での単分子層ごとのェピタキシャル成長をその場で観察するその場観察手段と を有しており、
上記基板ごとに成長温度、 圧力及び供給原料を制御し、 系統的に上記その場観 察手段に基づいて単分子層ごとのェピタキシャル成長をした物質群を合成するコ ンビナトリアル分子層エピタキシー装置。
2. 前記多原料供給手段が複数の異なる固体原料のターゲッ 卜をエキシマレーザ —で気化し前記各基板上に狙い通りの組成の薄膜を形成するようにしたレーザ一 分子線ェピタキシ一であることを特徴とする、 請求項 1 ~ 3のいずれかに記載の コンピナトリァル分子層ェピタキシャル成長装置。
3. 前記多原料供給手段がレーザー分子線エピタキシーであって、 前記基板が -A 12 03 、 YS Z、 MgO、 S r T i 03 、 L a A 103 、 NdG a 03 、 YA 103 、 L a S r G a 04 、 Nd A 103 、 Y2 05 、 S r L a A 104 、 C aNd A 10, 、 S i及びィ匕合物半導体のいずれかであることを特徴とする、 請求項 1に記載のコンピナ卜リアル分子層ェピタキシャル成長装置。
4. 前記多原料供給手段がレーザ一分子線エピタキシーであって、 ターゲッ トの 固体原料が高温超伝導体、 発光材料、 誘電体、 強誘電体、 巨大磁気抵抗材料及び 酸化物のいずれかであることを特徴とする、 請求項 1又は 2に記載のコンピナ卜 リァル分子層ェピタキシャル成長装置。
5 . 前記多原料供給手段が、 ターゲッ トを配置する回転かつ上下移動可能なター ゲッ 卜テーブルと、 上記ターゲッ 卜と前記基板との間に配設した回転かつ上下移 - 動可能なマスクプレー卜とを備えたことを特徴とする、 請求項 1〜 4の L、ずれか に記載のコンビナトリアル分子層エピタキシー装置。
6 . 前記マスクプレ一卜が異なる複数のマスクパターンを有し、 このマスクパ夕 一ンを順次交換してェピタキシャル成長することを特徴とする、 請求項 5記載の コンビナトリアル分子層ェピタキシー装置。
7 . 前記マスクプレ一卜が前記基板に対して水平移動可能なシャッターの可動マ スクであり、 前記基板及び前記基板の所定領域のいずれか、 或いは両方を上記可 動マスクで覆つたりはずしたりすることを特徴とする、 請求項 5記載のコンピナ トリアル分子層エピタキシー装置。
8 . 前言己多原料供給手段がレーザ一分子線エピタキシーであって、 前記その場観 察手段が反射高速電子線回折であることを特徴とする、 請求項 1に記載のコンビ ナ卜リアル分子層ェピタキシャル成長装置。
9 . 前記成長室内にターゲットを装塡するための夕一ゲットロ一ドロック室を備 えたことを特徴とする、 請求項 1に記載のコンピナ卜リァル分子層エピタキシー
1 0 . 前記多原禾顿給手段がガスソース有機金属を流量制御してノズルにより前 言己各基板に吹き付けて供給するガスソース分子線エピタキシーであることを特徴 とする、 請求項 1に記載のコンビナトリアル分子層ェピタキシャル成長装置。
1 1 . 前記多原料供給手段がガスソース分子線エピタキシーであって、 前記その 場観察手段が光を利用した反射率差分光法、 表面光吸収法及び表面光干渉法のい ずれかの方式に基づくものであることを特徴とする、 請求項 1に記載のコンビナ トリアル分子層ェピタキシャル成長装置。
1 2 . 前記多原料供給手段がガスソース分子線エピタキシーであって、 前記基板 が S i及び化合物半導体であることを特徴とする、 請求項 1 、 1 0 、 1 1のいず れかに記載のコンビナ卜リアル分子層ェピタキシャル成長装置。
1 3 . 前記基板が、 基板表面を原子レベルで平坦化し最表面原子層を特定した基 板であることを特徴とする、 請求項 1に記載のコンビナ卜リアル分子層ェピタキ シャル成長装置。
1 4 . 前記共通室に、 高真空を保持したまま前記基板ホルダ一を交換するための 基板ホルダーロードロック室を備えたことを特徴とする、 請求項 1に記載のコン ピナトリアル分子層エピタキシー装置。
1 5 . 前記基板加熱部力く前記プロセス処理室に当接し真空シールして、 この基板 加熱部とプロセス処理室とで独立して圧力制御可能な真空チャンノ <一を形成する ようにしたことを特徵とする、 請求項 1に記載のコンビナトリァル分子層ェピ夕 キシ一装置。
1 6 . 前記基板加熱部が搬送プレートにより周回及び上下移動し、 この基板加熱 部を前記プロセス処理室に逐次搬送することを特徴とする、 請求項 1又は 1 5に 記載のコンピナ卜リアル分子層ェピタキシ一装置。
1 7. 前記構成に加え、 前記共通室の真空を保持したまま回転及び上下移動する とともに共通室外部の電気 線及び水道配管と連結した円筒状の公転移動シャフ 卜とを備え、 上言 道配管と前記基板加熱部に設けた水冷配管とを連結し、 この 基板加熱部を搬送する搬送プレー卜が公転移動シャフ卜の回転軸を中心とする位 置に配設したことを特徴とする、 請求項 1に記載のコンピナ卜リアル分子層ェピ 夕キシ一装置。
1 8 . 前記公転移動シャフ トが、 この上端を真空シールして前記電気配線と接続 ― するスリップリングと、 前記水道配管と連結した水冷シールュニッ 卜と、 この水 冷シ一ルユニッ トと水密的に連結して摺動する同軸水冷配管とを有することを特 徴とする、 請求項 1 7に記載のコンビナトリアル分子層エピタキシー装置。
1 9 . 前記公転移動シャフ卜と同軸に設けた内側水冷配管と外側水冷配管とで一 水道路を形成した同軸水冷配管を設けたことを特徴とする、 請求項 1 7又は 1 8 に記載のコンビナトリアル分子層エピタキシー装置。
2 0 . 前記基板加熱部力 <前記基板ホルダーを回転させる基板回転機構を備えてい ることを特徴とする、 請求項 1, 1 5〜1 7のいずれかに記載のコンビナトリア ノレ分子層エピタキシー装置。
2 1 . 前記基板加熱部が基板回転機構を有しており、 この基板回転機構の回転が 前記基板加熱部の周回と同一の駆動力に基づし、て回転することを特徴とする、 請 求項 1, 1 5〜1 7のいずれかに記載のコンビナトリアノレ分子層エピタキシー装
2 2 . 前記基板加熱部が基板回転機構を有しており、 この基板回転機構により前 記真空チヤンバー内で前記基板ホルダ一が回転することを特徴とする、 請求項 1 , 1 5〜1 8, 2 1 , 2 2のいずれかに記載のコンビナトリアル分子層ェピタキ シ一装置。
2 3 . 前記プロセス処理室が、 前記基板ホルダ一に保持した基板をァニールする ためのァニール室、 前記基板ホルダーに保持した基板を高真空かつ所定温度で加 熱しておく余熱加熱室、 前記基板ホルダーに保持した基板に薄膜を形成する成長 室及び前記基板ホルダーに保持した基板に薄膜成長後ェッチング処理をするため のエツチング室を含んで 、ることを特徴とする、 請求項 1に言己載のコンピナ卜リ ァル分子層エピタキシー装置。
2 4 . 前記基板ホルダーが前記基板の周囲にスリッ ト状の孔を有していることを 特徴とする、 請求項 1 , 1 4, 2 0〜2 3のいずれかに記載のコンビナトリアル 分子層エピタキシー装置。
2 5 . 前記基板ホルダーが内部に凹部を有する円板状であって、 この周縁に前記 基板加熱部に前記基板ホルダ一を係止するための溝を形成していることを特徴と する、 請求項 1, 1 4, 2 0〜 2 3のし、ずれかに記載のコンピナトリァル分子層 エピタキシー装置。
2 6 . 前記基板ホルダーが、 内側に段差部を形成したリング状であって、 この周 縁に前記基板加熱部に前記基板ホルダーを係止するための溝を形成したホルダ一 リングと、 前記基板加熱部の加熱手段に面した側に前記基板を一以上保持し熱吸 収効率の高い物質で形成した円板状のホルダープレートとを備え、 上記ホルダー リングの段差部で上記ホルダ一プレートを支持していることを特徴とする、 請求 項 1, 1 4, 2 0〜2 3の 、ずれかに記載のコンピナトリアル分子層ェピタキシ —装置。
2 7 . 前記ホルダープレートを形成する前記熱吸収効率の高い物質力 インコネ ルであって、 この表面を高温酸化したことを特徴とする、 請求項 2 6に記載のコ ンビナトリアル分子層エピタキシー装置。
2 8 . 前言己基板加熱部の加熱手段が、 ランプヒータ一であることを特徴とする、 請求項 1 , 1 5〜 1 7のいずれかに記載のコンピナ卜リアル分子層ェピタキシー
2 9 . 前記基板ホルダー力 <前記ランプヒーターの焦点位置に配置されることを特 徵とする、 請求項 2 6〜2 8のいずれかに記載のコンビナトリアル分子層ェピタ キシ一装置。
3 0 . 前記ホルダープレー卜を前記加熱手段であるランプヒータ一の焦点位置に 配置していることを特徴とする、 請求項 2 6又は 2 7に記載のコンビナトリアル 分子層エピタキシー装置。
PCT/JP1999/004946 1998-09-11 1999-09-10 Dispositif combinatoire d'épitaxie de couche moléculaire WO2000015884A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE69937042T DE69937042T2 (de) 1998-09-11 1999-09-10 Kombinatorische vorrichtung für epitaktische molekularschicht
US09/554,011 US6344084B1 (en) 1998-09-11 1999-09-10 Combinatorial molecular layer epitaxy device
EP99943276A EP1038996B1 (en) 1998-09-11 1999-09-10 Combinatorial molecular layer epitaxy device

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP25897098A JP3028129B2 (ja) 1998-09-11 1998-09-11 同軸型真空加熱装置
JP10/258970 1998-09-11
JP10258967A JP3018000B1 (ja) 1998-09-11 1998-09-11 コンビナトリアル分子層エピタキシー装置
JP10/258968 1998-09-11
JP25896898A JP3192404B2 (ja) 1998-09-11 1998-09-11 基板加熱搬送プロセス処理装置
JP10258969A JP3018001B1 (ja) 1998-09-11 1998-09-11 コンビナトリアルレーザー分子線エピタキシー装置
JP10/258967 1998-09-11
JP10/258969 1998-09-11

Publications (1)

Publication Number Publication Date
WO2000015884A1 true WO2000015884A1 (fr) 2000-03-23

Family

ID=27478495

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1999/004946 WO2000015884A1 (fr) 1998-09-11 1999-09-10 Dispositif combinatoire d'épitaxie de couche moléculaire

Country Status (4)

Country Link
US (1) US6344084B1 (ja)
EP (1) EP1038996B1 (ja)
DE (1) DE69937042T2 (ja)
WO (1) WO2000015884A1 (ja)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7435660B2 (en) * 1998-12-21 2008-10-14 Finisar Corporation Migration enhanced epitaxy fabrication of active regions having quantum wells
US20030219917A1 (en) * 1998-12-21 2003-11-27 Johnson Ralph H. System and method using migration enhanced epitaxy for flattening active layers and the mechanical stabilization of quantum wells associated with vertical cavity surface emitting lasers
US7286585B2 (en) * 1998-12-21 2007-10-23 Finisar Corporation Low temperature grown layers with migration enhanced epitaxy adjacent to an InGaAsN(Sb) based active region
FR2816714B1 (fr) * 2000-11-16 2003-10-10 Shakticom Procede et dispositif de depot de couches minces
US6521503B2 (en) 2001-04-23 2003-02-18 Asm America, Inc. High temperature drop-off of a substrate
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
KR20040007963A (ko) * 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
CN101432936B (zh) * 2004-10-01 2011-02-02 菲尼萨公司 具有多顶侧接触的垂直腔面发射激光器
US7860137B2 (en) * 2004-10-01 2010-12-28 Finisar Corporation Vertical cavity surface emitting laser with undoped top mirror
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US20070102290A1 (en) * 2005-11-10 2007-05-10 The Circle For The Promotion Of Science And Engineering Novel material development apparatus and novel material development method using arc plasma
CN101421433B (zh) * 2006-02-10 2013-11-06 分子间公司 用于联合改变材料、单元工艺和工艺顺序的方法和装置
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
KR101388389B1 (ko) * 2006-02-10 2014-04-22 인터몰레큘러 인코퍼레이티드 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US7867904B2 (en) * 2006-07-19 2011-01-11 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
US20080113877A1 (en) * 2006-08-16 2008-05-15 Intematix Corporation Liquid solution deposition of composition gradient materials
US20080076679A1 (en) * 2006-08-16 2008-03-27 Intematix Corporation Systems and methods of combinatorial synthesis using laser-assisted thermal activation
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI410527B (zh) * 2010-05-06 2013-10-01 Taiwan Semiconductor Mfg 電鍍輔助裝置及於基板上電鍍導電層之方法
US20120060758A1 (en) * 2011-03-24 2012-03-15 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9406942B2 (en) * 2012-06-27 2016-08-02 Nissan North America, Inc. Electrocatalyst rotating disk electrode preparation apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140273533A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Annealing Method Utilizing a Vacuum Environment
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11402672B2 (en) 2018-05-03 2022-08-02 X Development Llc Quantum confined nanostructures with improved homogeneity and methods for making the same
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
WO2021077100A1 (en) * 2019-10-17 2021-04-22 Veeco Instruments Inc. Molecular beam epitaxy systems with variable substrate-to-source arrangements
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11926925B2 (en) * 2019-11-12 2024-03-12 The Johns Hopkins University Molecular-beam epitaxy system comprising an infrared radiation emitting heater and a thermally conductive backing plate including an infrared-absorbing coating thereon
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996011878A1 (en) * 1994-10-18 1996-04-25 The Regents Of The University Of California The combinatorial synthesis of novel materials

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2542327B1 (ja) * 1983-03-07 1986-03-07 Bensoussan Marcel
JPS60249328A (ja) 1984-05-25 1985-12-10 Kokusai Electric Co Ltd 半導体ウエ−ハ用ドライエツチング・化学気相生成装置
JPS61159572A (ja) 1985-01-07 1986-07-19 Hitachi Ltd 連続スパツタ装置
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
EP0377281B1 (en) * 1988-11-28 1995-01-25 Fujitsu Limited Method of growing epitaxial layers
DE69422666T2 (de) * 1993-07-02 2000-07-27 Sumitomo Electric Industries Verfahren zur Herstellung eines hochkristallinen, dünnen SrTiO3 Oxidfilms
US5660628A (en) * 1993-08-18 1997-08-26 Mitsubishi Kasei Corp. Method of manufacturing semiconductor epitaxial wafer
FR2712308B1 (fr) * 1993-11-12 1996-01-26 Lagues Michel Jean Robert Procédé de dépôt d'un matériau sous forme de couches monomoléculaires.
JP2792423B2 (ja) * 1994-01-14 1998-09-03 日本電気株式会社 結晶成長方法およびその装置
US5772758A (en) * 1994-12-29 1998-06-30 California Institute Of Technology Near real-time extraction of deposition and pre-deposition characteristics from rotating substrates and control of a deposition apparatus in near real-time
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
NO970220L (no) * 1996-01-19 1997-07-21 Murata Manufacturing Co Transduktor med tynnfilmsjikt av metall, for akustiske overflatebölger
JP2849064B2 (ja) 1996-03-25 1999-01-20 芝浦メカトロニクス株式会社 真空処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996011878A1 (en) * 1994-10-18 1996-04-25 The Regents Of The University Of California The combinatorial synthesis of novel materials
US5776359A (en) * 1994-10-18 1998-07-07 Symyx Technologies Giant magnetoresistive cobalt oxide compounds

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
EARL DANIELSON ET AL.: "A combinatorial approach to the discovery and optimization of luminescent materials", NATURE,, vol. 389, 1997, pages 944 - 948, XP002922263 *
See also references of EP1038996A4 *
X.D. XIANG ET AL.: "A Combinatorial Approach to Materials Discovery", SCIENCE,, vol. 268, 1995, pages 1738 - 1740, XP000198590 *
XIAO-DONG SUN ET AL.: "Identification and optimization of advanced phosphors using combinatorial libraries", APPL. PHYS. LETT.,, vol. 70, no. 25, 1997, pages 3353 - 3355, XP002922264 *

Also Published As

Publication number Publication date
DE69937042T2 (de) 2008-05-29
EP1038996B1 (en) 2007-09-05
DE69937042D1 (de) 2007-10-18
EP1038996A1 (en) 2000-09-27
US6344084B1 (en) 2002-02-05
EP1038996A4 (en) 2002-05-02

Similar Documents

Publication Publication Date Title
WO2000015884A1 (fr) Dispositif combinatoire d&#39;épitaxie de couche moléculaire
JP5415077B2 (ja) 反応性同時蒸着によって酸化物薄膜を成長させるための高スループット蒸着システム
Norton Synthesis and properties of epitaxial electronic oxide thin-film materials
US7501145B2 (en) High throughput continuous pulsed laser deposition process
US5863327A (en) Apparatus for forming materials
EP1348229A2 (en) Consecutive deposition system
JP3018000B1 (ja) コンビナトリアル分子層エピタキシー装置
JP4775801B2 (ja) 分子性物質の成膜方法及びその装置
JP3018001B1 (ja) コンビナトリアルレーザー分子線エピタキシー装置
WO2006103303A1 (es) Preparación de precursores metalorgánicos anhidros y su uso para la deposición y crecimiento de capas y cintas superconductoras
JP2007109685A (ja) 化合物半導体製造装置および化合物半導体製造方法
US5990006A (en) Method for forming materials
US20010036214A1 (en) Method and apparatus for in-situ deposition of epitaxial thin film of high-temperature superconductors and other complex oxides under high-pressure
JPH04182317A (ja) 酸化物超電導薄膜の作製方法
CN117678348A (zh) 生产固态组件的方法、固态组件、量子组件及生产固态组件的设备
Tabbal et al. Synthesis of nano-grained MnO2 thin films by laser ablation
EP4314375A1 (en) Method of forming a layer of a compound
JP3446138B2 (ja) 基板マスキング機構およびコンビナトリアル成膜装置
WO2023274547A1 (en) Method of preparing a surface of a single crystal wafer as an epitaxial template, epitaxial template and device
Inoue et al. Annealing of Excimer-Laser-Ablated BaTiO3 Thin Films
Lippmaa et al. Parallel synthesis of artificially designed lattices and devices
Fujii et al. Fabrication of Organic Thin Films by Laser Ablation Deposition for Electro-Optics Devices
JPH0360408A (ja) 酸化物超伝導体薄膜の作製方法
JPS63219571A (ja) 蒸着装置
JPH01116079A (ja) 薄膜作製装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

WWE Wipo information: entry into national phase

Ref document number: 09554011

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1999943276

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999943276

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1999943276

Country of ref document: EP