WO2000044966A2 - Single-crystal material on non-single-crystalline substrate - Google Patents

Single-crystal material on non-single-crystalline substrate Download PDF

Info

Publication number
WO2000044966A2
WO2000044966A2 PCT/US2000/001648 US0001648W WO0044966A2 WO 2000044966 A2 WO2000044966 A2 WO 2000044966A2 US 0001648 W US0001648 W US 0001648W WO 0044966 A2 WO0044966 A2 WO 0044966A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
single crystal
layer
sic
crystal film
Prior art date
Application number
PCT/US2000/001648
Other languages
French (fr)
Other versions
WO2000044966A9 (en
WO2000044966A1 (en
WO2000044966A8 (en
Inventor
Francis J Kub
Karl D Hobart
Original Assignee
Us Navy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Us Navy filed Critical Us Navy
Priority to AU32131/00A priority Critical patent/AU3213100A/en
Publication of WO2000044966A1 publication Critical patent/WO2000044966A1/en
Publication of WO2000044966A2 publication Critical patent/WO2000044966A2/en
Publication of WO2000044966A8 publication Critical patent/WO2000044966A8/en
Publication of WO2000044966A9 publication Critical patent/WO2000044966A9/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/915Separating from substrate

Abstract

A method for making a multilayered structure with a single crystal film (12) bonded to a polycrystalline substrate (10) has the steps of bonding a single crystal film to a polycrystalline substrate, and growing an epitaxial layer (14, 14') on said single crystal film bonded to said polycrystalline substrate.

Description


  
 



   SINGLE-CRYSTAL MATERIAL ON NON-SINGLE-CRYSTALLINE SUBSTRATE
 BACKGROUND OF THE INVENTION
Field of the Invention
 The present invention relates generally to methods for the growth of single crystal material layer on a polycrystalline substrate.



  Description of Related Art
 A large area, inexpensive substrate for the growth of epitaxial layers (especially silicon carbide, SiC) has been a long-sought goal. Currently, single crystal 6H-or4H-polytype SiC substrates are the predominantly used substrates for epitaxial SiC growth. However, single crystal
SiC substrates are very expensive and are currently available in small substrates sizes of 2 inch diameter or less.



   An alternate approach that has been investigated for SiC epitaxial growth on a large area substrate has been the growth of the cubic polytype of SiC (also referred to as the 3C or beta polytype of SiC) on a silicon substrate. The 3C polytype of SiC is desirable for its high electron mobility and high breakdown field for power electronic device applications, and its isotropic mobility characteristics for sensor applications. However, the large lattice mismatch   (-20%)    and thermal expansion mismatch   (-8%)    between SiC and silicon have to date prevented the growth of high quality SiC epitaxial layers on silicon substrates.

   An additional problem with this approach is that the optimum growth temperature for SiC epitaxial growth is between   1500 C    and   1600 C,    well above the   1350 C    maximum use and the   1450 C    melting temperature of a silicon substrate.



   Another approach for 3C-SiC growth on silicon substrate has been to first carbonize the silicon surface forming a thin 3C-SiC layer, and then to grow 3C-SiC epitaxial layers on the carbonized silicon surface at a growth temperature below   1350 C.    U. S. Patent No. 4,855,254, issued to Eshita et al. describes a method to carbonize silicon substrate. There is a tendency for anti-phase domains to form in the epitaxial layer for 3C-SiC growth on a (100) orientation silicon substrate. U. S. Patent No. 5,230,768, issued to Furukawa et al. and U. S Patent No. 5,279,701, issued to Shigeta, et.   al.    describes a method to to obtain improved growth of 3C-SiC material on a silicon substrate silicon substrate that is oriented miscut from (100) orientation.

   More recent  studies have included the growth of 3C-SiC on silicon-on-insulator (SOI) substrates. U. S. Patent
No. 5,759,908, issued to Steckl et al. describes a method to fabricate SiC on SOI substrates.



   It is sometimes possible to obtain polytype conversion in growth of SiC depending on the growth temperature, and thus hexagonal polytypes of SiC can sometimes be grown on a cubic poly-type of SiC.



   It is sometimes possible to obtain polytype conversion in growth of SiC depending on the growth temperature, and thus hexagonal polytypes of SiC can sometimes be grown on a cubic poly-type of SiC for high temperature growth.



   Wide bandgap gallium nitride (GaN) material has recently been demonstrated to be very beneficial for microwave power transistor applications, and for blue-green laser and light emitting diodes (LED). GaN epitaxial layers have typically been grown on a sapphire substrate or on single crystal SiC substrates. There are continuing searches for new substrates for GaN growth. Sapphire is electrically insulating, a disadvantage for vertical current conducting optical emitters and power devices, and has relatively high thermal impedance which is a disadvantage for high power microwave devices. The best quality GaN epitaxial layers have been obtained for material grown on SiC substrates, however, single crystal SiC substrates are very expensive and are only available in small substrate sizes.

   GaN epitaxial growth on silicon substrates is recently being investigated as an approach to obtain GaN epitaxial growth on large area substrates. There is however, significant thermal expansion mismatch between GaN and silicon which leads to cracking of the epitaxial layer for thick GaN epitaxial layers. There is also a significant lattice mismatch between GaN lattice and silicon lattice which limits the quality of GaN epitaxial layers grown on a silicon substrate. In addition, the silicon substrate is not suitable for microwave applications because of microwave loss in the conducting silicon substrate.



   For GaN growth on a silicon substrate, different poly-types of GaN have a tendency to form, depending on the orientation of silicon substrate. Typically cubic polytypes of GaN will
 form on a (100) orientation silicon substrate. Likewise, hexagonal polytypes of GaN will form
 on a   (111)    orientation silicon substrate. In some cases, a preferred method to grow GaN on
 silicon is to first form a thin layer of cubic-SiC forms on the silicon surface by carbonization
 prior to the growth of GaN. There is a relatively good lattice constant match between cubic-GaN
 and cubic-SiC. Care should be taken in the GaN growth process, to avoid the formation of
 silicon nitride on the silicon surface prior to the GaN growth.  



   Non-single crystal ceramic substrates can be designed to have optimized mechanical, thermal expansion, thermal conduction, or electrical conduction properties for particular applications. One polycrystalline ceramic substrate that has especially desirable properties is poly-SiC. Poly-SiC substrates are manufactured commercially in hot pressed sintered form, reaction bonded form, and chemical vapor deposited (CVD) form. The CVD poly-SiC substrates are available commercially in substrate sizes up to 200mm diameter, with thermal impedance as high as 310 W/mK, electrical resistivity as high as 100,000 ohm-cm at room temperature, electrical impedance as low as 1 ohm-cm, maximum use temperature greater than   2000 C,    and excellent thermal expansion matching to single crystal cubic-SiC.

   Hot pressed sintered poly-SiC substrates are commercially available that have many of the above characteristics, but with electrical impedances as low as 0.1 ohm-cm. Ceramic AIN substrates are available commercially is substrate sizes to 100 mm square, with thermal impedances as high as 170 W/mK, electrical    resistivity as high as 10-13 ohm-cm at room temperature, and excellent thermal expansion    matching to single crystal GaN. Polycrystalline diamond has thermal conductivity as high as 1000 W/mK. Ceramic silicon nitride has good thermal expansion matching to silicon. Ceramic graphite substrates are available with electrical impedances as small   as. 001    ohm-cm at room temperature. AlSiC substrates are commercially available, and have good expansion matching to silicon.

   Mechanical, thermal, optical and electrical data on a large variety of ceramic substrate materials can be found on the National Institute of Standards WWW Version of the Structureal
Ceramic web site for ceramics:
 http://www. ceramics. nist.   gov/srd/scd/scdquery.    htm
 http://www. ceramics. nist.   gov/srd/scd/Z00390.    htm
A provisional patent application filed on June 30,1998 by Kub and Hobart discussed several techniques to make ultra-thin wafer bonded material layers.



   One method of fabricating thin wafer bonded semiconductor layer involves bond-andetch back (BESOI) technique. The BESOI technique involves bonding a wafer an etch stop layer to an oxidized silicon handle wafer, thinning the wafer that contain the etch stop layer using grinding, chemically etching to the etch stop layer, and then etching the etch stop layer. A key step in the BESOI process is the method of forming the etch stop layer. Heavily doped boron concentration   ( > 102 crri 3) layer    have been used as the etch stop layer. U. S. Patent No. 5,540,785, issued to Dennard et al. describes a method to fabricate BESOI that uses a heavily boron doped etch stop layer that has a small percentage of germanium added to heavily boron doped etch stop  layer to produce a defect free epitaxial layer. U. S.

   Patent No. 5,013,681 issued to Godbey et al. describes a method to fabricate BESOI that uses a strained SiGe etch stop. U. S. Patent No.



  5,024,723 issued to Goesele et al. describes a method to fabricate BESOI by implanting carbon ion into a substrate to form an etch stop layer. The disadvantage of all the BESOI approach is that the entire host substrate must be removed by a laborious sequence of grinding, polishing, and etching. In addition, overall thickness uniformity during the substrate thinning process must be critically maintained since the etch selectivity of Si over SiGe is limited ( < 100).



   U. S. Patent No. 5,374,564 issued to Bruel describes another method of fabricating a thin wafer bonded semiconductor layer involving combining wafer bonding with a hydrogen implantation and separation technique. The hydrogen implantation and separation technique uses a heavy dose of implanted hydrogen together with subsequent annealing to produce H exfoliation that releases the host substrate to generate the SOI structure. Following exfoliation, the surface has a microroughness of about 8 nm, and must be given a slight chemomechanical polish to produce a prime surface. This step degrades the Si layer thickness uniformity and makes the process unsuitable for producing very thin Si films.



   In the past, ultra-thin semiconductor layers have been produced by successive oxidation and oxide etching of silicon-on-insulator (SOI) wafers. In the oxide thinning technique, an SOI substrate with approximately a 200nm thick silicon layer is thinned to approximately   50nm    by multiple oxidations and dilute hydrofluoric acid etches. This technique is heavily dependent on the thickness uniformity of the SOI silicon layer and the oxidation uniformity. SOI substrates often have a thickness non-uniformity of approximately   10nm.    Thus, the oxidation thinning technique is not suitable for manufacturing ultra-thin   ( < 10nm)    silicon layers.



   Ultra-thin semiconductor layers are required for compliant substrates. In the compliant
 substrate approach, the ultra-thin semiconductor layer will be weakly bonded to a handle
 substrate and the thin compliant layer will expand or contract as a heteroepitaxially layer is
 grown on the surface of the ultra-thin semiconductor layer so that defects, if created, will reside
 in the ultra-thin semiconductor layer. In some cases, a potential mechanism for compliant
 operation is to bond a thin compliant material layer to a material that become viscous at a high
 growth temperature.

   Some examples of materials that become viscous at high temperature
 include silicon oxide at approximately   900 C,    germanium at   950 C,    and silicon at   1450 C.    In
 addition, metals, eutectics, and solders have a large range of melting temperatures ranging from
   156 C    for indium to greater than   1000 C    for other metals. Glasses and oxides also have a wide  range of melting temperatures ranging from below room temperature to greater than   1100C    for fused quartz. In other cases, the thin compliant material layer can slip at the interface between the thin compliant material and the material layer that it is in contact with.

   The thin compliant layer will expand or contract during epitaxial layer growth and is susceptible to buckling of the thin compliant layer.



   Direct wafer bonding typically requires polishing that the surfaces of the substrates to be bonded to a root mean square (RMS) surface roughness of less than   lnm.    Most materials can be polished to a surface roughness condition of less than   lnm    RMS. However, extensive polishing is required for some materials (e. g., silicon carbide and diamond) to achieve this surface roughness condition. There are a number of approaches that can be used to bond two substrates to reduce the requirement that the two substrate surfaces be polished to an RMS roughness of less than   lnm.    One approach is to deposit a material such as polysilicon, silicon dioxide, silicon nitride, or metal on the substrate surface, and then polish the material to a surface roughness of less than   lnm    RMS.

   The use of pressure, temperature, or vacuum separately or in combination also reduces the requirement to have a surface polishing of   lnm    or less. If one of the substrates is thin, then the thin substrate will more easily conform to the other substrate during bonding and thus reduce the requirement for surface roughness less than   lnm    RMS.



   Metals can be deposited on the substrate surface and the metals will bond to the second substrate surface with the help of pressure, temperature, and vacuum possibly by forming a eutectic with the second substrate material. Metals can be deposited on both substrate surfaces and bonded. Brazing or soft solder materials can be deposited on one or both surfaces and the substrates bonded. Preceramic polymers can be used to bond two substrates. Ceramic materials can be deposited on one or both substrate surfaces, the substrates heated to the melting point of the ceramic material (sometimes under pressure, and the two substrates bonded. Materials such as silicon and germanium that melt during a bonding process and react with the substrate material can be used to bond two SiC substrates together.

   Electrostatic or anodic bonding can be used to bond a substrate to a alkali containing glass material. In some cases, alkali containing glass can be deposited on one surface by sputtering or evaporation and anodic bonding performed. A rough surface can be coated with a spin-on-glass to achieve a surface smooth enough for bonding. A low melting point frit or solder glass can be deposited on a surface and bonded to a second surface using pressure and temperature. A sodium silicate material deposited on a substrate
 surface will aid bonding. Bonding approaches that are appropriate for lower temperatures  include polymer adhesive, organic adhesive, and epoxy bonding. The ambient is sometimes important during the bonding operation. For bonding of GaAs substrates, it is generally preferred to have a hydrogen ambient during bonding.



   SUMMARY OF THE INVENTION
 Accordingly, it is an object of this invention to provide an improved method of growth of single crystal material layers on non-single crystalline substrate with the mechanical, thermal expansion, thermal conduction, electrical conduction, and optical transmission properties of the non-single-crystalline substrate selected to optimize the growth of single crystal material layers, and to optimize the performance of devices formed using the grown highly oriented material.



   Another object of this invention is to provide wide bandgap material layers such as SiC,
GaN, diamond, ZnSe, etc. material layers on optimized large diameter non-single crystalline substrates for a wide number of applications, including lateral conducting microwave power devices, vertical conducting microwave power devices, lateral conducting power switching devices, vertical conducting power switching devices, and vertical and lateral conducting optical laser and LED emitters. This technology can be applied to other materials such as YBCO superconductor and narrow bandgap semiconductor materials.



   Another object of this invention is to provide a method to grow single crystal material layers on a wafer bonded thin single crystal material layer on non-single crystalline substrate with the non-single crystalline substrate selected to have thermal expansion, thermal conduction, electrical, and optical properties optimized for the grown single crystal material layer.



   Another object of this invention is to provide a method to grow a 3C-polytype SiC single
 crystal material layer on a thin silicon layer bonded to poly-SiC, ceramic, or non-single
 crystalline substrate. A carbonization step typically will be performed on the thin silicon layer
 surface prior to 3C-SiC material growth. The thin silicon layer is can be partially or wholly
 converted to 3C-SiC by carbonization prior to 3C-SiC epitaxial growth. For the case that the thin
 silicon layer is not wholly carbonized, it will become viscous for epitaxial growth temperatures
 near or above the melting temperature of silicon, allowing the thin silicon layer or thin
 carbonized surface layer to act as a compliant layer for the growth of 3C-SiC epitaxial material.



   In addition, 3C-SiC can be grown directly on the thin silicon layer without the carbonization step.



   In some cases, it may desirable that the thin silicon layer have a surface orientation that is miscut
 from (100) orientation to prevent the formation of anti-phase domains. For a lateral current  conducting microwave and power switching device, it is likely desirable to have an insulating non-single crystalline substrate with high thermal conductivity. Also, for lateral current conducting microwave device, power switching device, and piezoelectric resistor device, it may be desirable to have a dielectric insulating layer such as silicon dioxide, silicon nitride, or tantalum oxide between the 3C-SiC layer and the non-single crystalline substrate. For vertical current conducting power devices, it is desirable to have a high electrical conductivity and high thermal conductivity non-crystalline substrate with high electrical conductivity across the bonding interface.

   A metal or silicon at the bonding interface may be advantageous for electrical current conduction across the interface. Applications include lateral conducting microwave power device, lateral conducting power switching devices, piezoelectric resistor for pressure sensors, vertical conducting microwave power devices, and vertical conducting optical laser and
LED emitters. In some cases, it is desirable to deposit and define a masking material (generally silicon oxide material) and to perform lateral epitaxial overgrowth of SiC or GaN material overtop of the masking material.



   It is possible to obtain polytype conversion in the growth of SiC depending on the growth temperature and thus hexagonal polytypes of SiC can sometimes be grown on a cubic poly-type of SiC depending on the growth temperature. Thus, another object of this invention is to provide a method to grow a hexagonal-polytype of SiC single crystal material layer on a thin carbonized silicon layer bonded to poly-SiC, ceramic, or non-single crystalline substrate.



   An ultra-thin layer of silicon   ( < 10nm)    can be bonded to poly-SiC substrate and then partially or wholly carbonized. The ultra-thin silicon layer can be formed using the techniques of hydrogen (sometimes with the addition of a helium implant to facilitate splitting) ion implant layer splitting plus etch stop, or electrochemical etching plus etch stop as described elsewhere by the inventors. An alternate technique to form the ultra-thin silicon layer bonded to a nonsingle crystalline substrate is to thin the silicon layer on an SOI substrate to   10nm,    bond the surface of the SOI substrate to the non-single crystalline substrate and etch away the SOI substrate stopping at the silicon dioxide layer. The silicon dioxide layer is next etched to the thin silicon layer.



   The ultra-thin silicon layer can then be wholly or partially converted to 3C-SiC using a carbonization process. After the ultra-thin silicon layer is carbonized, a 3C-SiC epitaxial layer,
AIN, InGaN, or GaN epitaxial layer can be grown.  



   Another object of this invention is to provide a method to grow epitaxial layers of cubicpolytype of GaN and hexagonal-polytype of GaN on a thin single crystal layer of silicon or GaAs that is   wafer bonded to a poly-SiC    substrate, poly-AlN substrate, ceramic substrate, or non-single crystalline substrate. The GaN can be directly grown on the thin single crystal silicon or thin
GaAs layer, however, in some cases it is desirable that the surface of the silicon layer be carbonized prior to AIN, InGaN, or GaN epitaxial layer growth. The thin silicon layer is can be partially or wholly converted to 3C-SiC by carbonization prior to GaN growth or A1N buffer growth.

   Typical, a thin layer of (100) orientation single crystal silicon or GaN layer will be used for cubic-polytype GaN growth, and a thin layer of   (111)    silicon will be used for hexagonalpolytype GaN growth. An AIN or AlGaN buffer layer is often grown on the substrate surface prior to the GaN or InGaN growth. An interposed layer of a material that becomes viscous at the
GaN growth temperature such as germanium, metal, silicon dioxide, or boron-phosphorous doped silicon dioxide between the thin silicon layer and the non-single crystalline substrate can be used so the thin silicon layer expands or contracts during GaN growth to achieve compliant growth of GaN.

   Applications include lateral conducting microwave power device, piezoelectric resistor for pressure sensors, vertical conducting microwave power devices, and vertical conducting optical laser and LED emitters. For a lateral current conducting microwave and power switching device, it is likely desirable to have an insulating non-single crystalline substrate with high thermal conductivity. Also, for lateral current conducting microwave device, power switching device, and piezoelectric resistor device, it may be desirable to have a dielectric insulating layer such as silicon dioxide, silicon nitride, or tantalum oxide between the GaN layer
 and the non-single crystalline substrate.

   For vertical current conducting power devices, it is
 desirable to have a high electrical conductivity and high thermal conductivity non-crystalline
 substrate with high electrical conductivity across the bonding interface. A metal or silicide at the
 bonding interface may be advantageous for electrical current conduction across the interface.



   Applications include vertical conducting microwave power devices, and vertical conducting
 optical laser and LED emitters. In some cases, it is desirable to deposit and define a masking
 material (generally silicon oxide material) on the surface of the carbonized or thin single crystal
 layer, and to perform layer epitaxial overgrowth of SiC or GaN overtop of the masking material.



   Another object of this invention is to provide a method to grow a hexagonal or cubic
 polytype GaN epitaxial layer on a thin compliant layer that is weakly wafer bonded to a poly-SiC
 substrate,   A1N    substrate, ceramic substrate, or non-single crystalline substrate for a wide range  of applications, including lateral conducting microwave power devices, vertical conducting microwave power devices, and vertical conducting optical laser and LED emitters.



   Another object of this invention is to provide a method to form grooves through the compliant layer at selected lateral separations to allow the compliant layer to expand or contract without buckling during the growth of single crystal material layers.



   Another object of this invention is to provide a method to fabricate a material structure where there is an additional material layer between the thin single crystal material layer and the non-signal-crystalline substrate with the further growth of a wide bandgap material layer on the surface of the material structure. The additional material layer may be a dielectric layer to provide insulation, a low melting point material to allow viscous flow for a compliant substrate, or a silicide or metal to aid bonding and vertical electrical current conduction. In some cases, it is difficult to polish the non-single crystalline substrate to a surface roughness sufficiently small for direct wafer bonding.

   An alternative is to deposit a material layer such as polysilicon, silicon oxide, silicon nitride, glasses, or metal on the non-single crystalline surface and polish the deposited material to a surface roughness suitable for direct wafer bonding.



   These and additional objects of the invention are accomplished by the structures and processes hereinafter described.



   The invention uses the wafer bonding technique to bond a thin single crystal material to a non-single crystalline substrate with the non-single crystalline substrate selected to have certain mechanical, thermal expansion, thermal conduction, and electrical conduction characteristics, and the further growth of a single crystal material on a thin wafer bonded material layer.



   An aspect of the present invention is a method for growing a single crystal material layer on a thin single crystal layer that is bonded to a non-crystalline substrate, having the steps: (a) using techniques that include wafer bonding and other known techniques to form a thin single crystal material layer or plurality of layers on a non-crystalline substrate (in some cases, an
 additional material layer or layers can be interposed between the non-crystalline substrate and
 the thin single crystal material layer), (b) optionally growing a carbonization or buffer layer on
 the thin bonded single crystal layer, and (c) growing a single crystal material layer or plurality
 of layers (including possibly lateral epitaxial overgrowth material) on the thin single crystal layer.



   Another aspect of the present invention is a method for growing a thin single crystal
 material layer on a first substrate, transferring the thin single crystal layer to a non-crystalline
 substrate, having the steps: (a) growing a single crystal material layer on a first substrate, (b)  implanting hydrogen (and/or helium) to a selected depth into the first substrate; (c) bonding the thin single crystal layer to a non-single crystalline substrate; and cause the first substrate to split at the selected depth. d) etching the remaining first substrate material to the single crystal substrate (g) growing a single crystal material layer or plurality of layers (including LEO) on the thin bonded layer.



   Another aspect of the invention is a method for making a compliant ultra-thin single crystal layer compliantly bonded to a substrate. One way of doing this is to interpose a viscous layer between a single crystal layer and a polycrystalline substrate. Alternately, the thin single crystal layer (or single crystal layer with a buffer layer on its surface) can become viscous at the growth temperature.



   Another aspect of the invention is a method for making a single crystal layer bonded to a non-single crystalline substrate with high thermal conductivity, having the steps: (a) growing a material layer that includes an etch stop layer on a first substrate; (b); implanting hydrogen   (and/or    helium) to a selected depth into the first substrate; (c) wafer bond the first substrate to the second substrate; (d) cause the first substrate to split at the selected depth; and (e) etching the remaining portion of first substrate to the etch stop layer.



   Another aspect of the invention is a method for making a single crystal layer bonded to a non-single crystaline substrate with high thermal conductivity: (a) implanting hydrogen (and/or helium) to a selected depth into the first substrate (or into a material layer grown on the first substrate); (b) wafer bond the first substrate to a second non-single crystalline substrate;   (c)    cause the first substrate (or the material layer on the first substrate) to split at the selected depth, and (d) polish the surface of the substrate to small surface roughness.



   BRIEF DESCRIPTION OF THE DRAWINGS
 A more complete appreciation of the invention will be obtained readily by reference to the following Description of the Preferred Embodiments and the accompanying drawings in which like numerals in different figures represent the same structures or elements, wherein:
 FIG. 1 illustrates a method according to the invention.



     DETAILED    DESCRIPTION OF THE PREFERRED   EMBODIMENTS   
   Referring    to FIG. 1, a method according to the invention has a polycrystalline substrate
 10 with a thin single crystal film 12 bonded to it. Preferably, bonding is by direct wafer bonding,  but other methods may be used as well. This single crystal thin film 12 provides a good surface for the subsequent epitaxial growth of one or more epitaxial layer 14,14'.



   In this invention, several concepts are combined to produce substrates that are ideally suited for the growth of high quality 3C-SiC and other epitaxial materials. To overcome the thermal expansion mismatch problem of 3C-SiC epitaxial growth on silicon, polycrystalline 3C
SiC wafers have been used as mechanical substrates. The poly 3C-SiC substrates, produced by chemical vapor deposition (CVD) and subsequent machining into wafers, are widely used in the microelectronics industry for wafer blanks and wafer carriers, and thus must be highly pure. The material is thermally expansion matched to 3C-SiC. The poly 3C-SiC is also thermally stable permitting high temperature   ( < 2600 C)    epitaxy and more optimal growth conditions of epitaxial 3C-SiC films. 

   In order to produce monocrystalline 3C-SiC films on the polycrystalline substrates, an ultra-thin Si film is transferred  



      Preparation of an ultra-thin 3C-SiC single crystal semiconductor layer on Substrate A   
 2. Ultra-thin (2nm to   50nm    thick) 3C-SiC layers can be formed on the silicon  < 100 >  orientation surface   (oroff-orientation  < 100 > or < 111 >     surface) of Substrate
A through carbonization. The 3C-SiC surface will later be direct bonded to the poly-SiC handle
Substrate B, and the silicon substrate etched away using the 3C-SiC layer as the etch stop layer.



   3. An optional step is to grow 3C-SiC epitaxial layer on the carbonized 3C-SiC surface.



  As mentioned above, a thin 3C-SiC layer can be grown on a silicon surface using a process called carbonization. If this layer is too thin to act as an etch stop, a thicker 3C-SiC layer can be formed by the epitaxial growth at this step. In order to direct bond, it is necessary that the surface roughness be    < 10nm.    An additional polish step can be used if the surface roughness is not    < 10nm.   



   4. An optional step is to deposit a thin material layer (silicon dioxide, polysilicon, or silicon nitride) on the prebond Substrate B or Substrate A prior to bonding. A possible reason to use this material layer is that it is generally easier to polish an insulator to a surface roughness  <    lnm    then it is to polish a hard material such as poly-SiC. Another reason an insulator may be desirable is for the case that a weak bond at the interface of an insulator-compliant layer or a viscous layer at the growth temperature can be used so that the compliant layer can expand or contract during epitaxial growth.



   5. Direct bond the 3C-SiC surface of Substrate A to poly-SiC handle Substrate B.



   The surfaces of Substrate A and Substrate B can be prepared so that either hydrophillic or hydrophobic direct bonding can be performed.



   6. Anneal the bonded wafer pair at   500 C    to   1100 C    to increase the bond strength.



   7. Etch away the silicon portion of Substrate A and stop at the 3C-SiC layer. The most conventional way to remove the silicon portion of Substrate A would be to grind the silicon so that there is a remaining silicon thickness of approximately   101lm.    Chemical etching is then used to etch the remaining silicon and stop at the 3C-SiC layer.



   Alternate techniques to the grinding and etching approach for removing the silicon portion of Substrate A are the hydrogen layer splitting plus etch stop technique or the electrochemical etching plus etch stop technique (if the poly-SiC substrate is conductive).  



   8. Epitaxial layers of 3C-SiC, GaN, InGaN, or AlGaN can now be grown on the 3C-SiC surface. A particular advantage is obtained for the case that 3C-SiC epitaxial layer is grown on a 3C-SiC poly handle Substrate B is used. In this case, there is an excellent match of the thermal coefficient of expansion between the 3C-SiC epitaxial material and the 3C-polysilicon handle substrate which allows a thick epitaxial layer to be grown without cracking of the epitaxial layer.



   As noted above, the invention uses wafer bonding to form a thin, single crystal material layer on a non-single crystalline substrate and subsequent growth of single crystal material layer on the thin bonded single crystal material layer. Techniques that use hydrogen (and/or helium) ion implant layer splitting, etching to etch stop or polishing, along with wafer bonding can be used to form the thin single crystal wafer bonded layer. In addition, normal grinding and etch stop techniques can be used to produce thin single crystal layers.



   One of the key advantages for the use of the poly-SiC substrate approach described above is that it is one of the most viable approaches for making large diameter, relatively low cost wide bandgap semiconductor substrates. Large diameter poly-SiC substrates are readily available at relatively low cost, especially compared to the high cost of 2"diameter single crystal SiC substrates. Other advantages of poly-SiC are its high thermal conductivity (ca. 250 W/mK), an important feature for high power microwave and high power switching devices.



   The electrical conductivity of poly-SiC can be controlled, and optimized for various applications. Highly insulating poly-SiC is typically desired for microwave devices in order to achieve low loss transmission lines and passive devices. For power switching devices with vertical conduction, highly conductive poly-SiC substrates are desired.



  Additional Embodiments
A. Carbonization.



   An ultra-thin   ( < 10nm)    layer of Si can be bonded to a poly-SiC substrate, and then partially or wholly carbonized. The ultra-thin layer can be formed using the hydrogen (and/or helium) ion implant splitting plus etch stop technique, or using the hydrogen (and/or helium) ion implant splitting plus chem-mechanical polish plus oxidation thinning, or by electrochemical etching plus etch stop technique, or by thinning the Si layer of an SOI substrate to 10 nm, bond the Si to poly-SiC, and etch away the SOI substrate down to the silicon dioxide layer.



   The ultra-thin Si layer can then be wholly or partially converted to 3C-SiC using a  carbonization process. After the ultra-thin Si layer is carbonized, a 3C-SiC epitaxial layer, AlN layer, InGaN, or GaN epitaxial layer can be grown.



  B. Alternate   Substrates.   



   A similar approach can be used for a polycrystalline or ceramic substrate that has the same thermal coefficient of expansion as a GaN epitaxial layer. It may be desirable to bond the thin 3C-SiC layer or a thin GaN layer to a polycrystalline   AlN    handle substrate, and remove the substrate as described above. There is a good thermal coefficient match between   AlN    and GaN.



  Thus, thick layers of GaN can be grown on the thin single-crystal layer on poly-AIN substrate.



  The thin GaN layer can be made by growing GaN or   A1N    on silicon, hydrogen (and/or helium) implanting into the silicon layer for layer splitting, and direct bonding the GaN or A1N to the poly-AIN substrate. Alternate substrates include other ceramic substrates glass and quartz substrates.



  C. Thin insulator layers between the polycrystalline substrate and an ultra-thin compliant layer
 An optional step is to deposit a thin insulator (e. g., silicon dioxide or nitride) on the prebond Substrate B or Substrate A prior to bonding as discussed in Step 4 above. One reason to use this insulator layer is that it is generally easier to polish an insulator to an RMS roughness  < lnm than is is to polish hard materials such as poly-SiC. Furthermore, a weak bond at the interface of an insulator-compliant layer can be used so that the compliant layer can expand or contract during epitaxial growth.



  D. Thin GaN layer direct bonded to polycrystalline or single crystal Si substrate.



   Conventional GaN epitaxial growth suffers from the use of unsatisfactory sapphire substrates. Sapphire has poor thermal conductivity, and is highly insulating.



   A method for making a thin GaN layer on a polycrystalline or single crystal substrate that uses hydrogen implant layer splitting and direct bonding is to:
 1. Grow a GaN layer (ca. 1.5   pm    thick) on sapphire substrate A. The GaN must have a surface roughness    < lnm.    Polish the GaN if necessary.



   2. An optional step is to deposit a thin layer of oxide, GaAs, or Si on the GaN surface.



  This layer can be polished sufficiently to permit direct bonding.



   3. Implant hydrogen into GaN on the sapphire substrate with a dose   of ca.     >    Sx 1016 cm-2     and an energy of 90 KeV (depth of ca. 800 nm into Si).



   4. Prepare the surfaces of Substrate A and Substrate B (the handle substrate) for direct bonding. The typical surface preparation includes chemical cleaning such as   RCA1,    phirana, and
UV ozone to remove organics on the surface, followed by a chemical step to make the surface either hydrophobic or hydrophilic. For low temperatures   ( < 500 C),    the hydrophilic surface preparation provides stronger bond energy than hydrophobic, and is preferred when hydrogen layer splitting is employed and for compliant substrates when epitaxial growth temperatures are greater than ca.   450 C.   



   5. Direct wafer bond Substrate A to Substrate B. Substrate B can be, e. g., polycrystalline, or a silicon substrate that has a hydrogen terminated surface, a thin native oxide surface, or a thick insulator on the surface. Similarly, Substrate A may also have. a hydrogen terminated surface, a thin native oxide surface, or a thick insulator on the surface. A low temperature anneal (ca.   250 C)    to increase the bond strength prior to hydrogen layer splitting may be desirable.



   6. Heat the bonded wafer pair to about   500 C    to cause the hydrogen gas to expand and split off the GaN and sapphire at the location of the peak hydrogen implant dose. Alternately, a high pressure nitrogen gas stream can be directed at the side of the wafer where to cause the wafer to split at the location of the peak of the hydrogen and/or helium ion implant. There may be other techniques such as etching damaged regions that will cause the substrate to split at the location peak of the ion implant damage.



   7. An optional step is to polish the surface of the GaN at the location of the hydrogen layer splitting.



   8. Grow an additional GaN on the GaN layer/Substrate B.



   The approach described above can be applied to any material system in which an epitaxial layer is first grown on an non-optimum substrate, and there is a desire to transfer the material to a more optimum substrate for thermal conduction, thermal expansion, electrical or optical properties.



   Obviously, many modifications and variations of the present invention are possible in light of the above teachings. It is therefore to be understood that, within the scope of the appended claims, the invention may be practiced otherwise than as specifically described.
  

Claims

CLAIMS What is claimed is: 1. A method for making a multilayered structure with a single crystal film bonded to a polycrystalline substrate, comprising: bonding a single crystal film, consisting of one or more single crystal layers, to a poly crystalline substrate; and growing an epitaxial layer on said single crystal film bonded to said polycrystalline substrate.
2. The method of claim 1, wherein said polycrystalline substrate is a ceramic substrate.
3. The method of claim 1, wherein said single crystal film is a compliant single crystal film.
4. The method of claim 1, wherein said polycrystalline substrate is selected to have a coefficient of thermal expansion at least approximately equal to a coefficient of thermal expansion for said single crystal film.
5. The method of claim 1, wherein said single crystal film is a semiconductor.
6. The method of claim 1, wherein said single crystal film is selected from the group consisting of MgO, Si, SiC, InP, GaSb, GaAs, and CaF2.
7. The method of claim 1, wherein said substrate is electrically insulating.
8. The method of claim 1, wherein said substrate is electrically conducting.
9. The method of claim 1, wherein said substrate has a high thermal conductivity.
10. The method of claim 1, wherein said substrate is optically transparent.
11. The method of claim 1, wherein said substrate is selected from the group consisting of SiC and AIN.
12. The method of claim 1, wherein said epitaxial layer is selected from the group consisting of SiC, A1N, GaN, InGaN, diamond, and AlGaN.
13. The method of claim 1, further comprising: growing at least one additional epitaxial layer on said epitaxial layer on said single crystal film bonded to said polycrystalline substrate.
14. A method for making a multilayered structure with a single crystal film bonded to a polycrystalline substrate, comprising: disposing a single crystal etch stop layer, consisting of one or more single crystal layers, on an etchable first substrate; bonding said single crystal etch stop layer to a polycrystalline second substrate; and etching said etchable first substrate to expose said single crystal etch stop layer.
15. The method of claim 14, further comprising: growing an epitaxial layer on said single crystal film bonded to said polycrystalline substrate.
16. The method of claim 15, wherein said epitaxial layer is selected from the group consisting of SiC, A1N, GaN, InGaN, diamond, and AlGaN.
17. The method of claim 14, further comprising: prior to said step of bonding said single crystal etch stop layer to a polycrystalline second substrate, implanting hydrogen into said first substrate to a selected depth below said single crystal etch stop layer; and after said step of bonding said single crystal etch stop layer to a polycrystalline second substrate, heating said first substrate, to split said first substrate at the preselected depth of said implanted hydrogen.
18. The method of claim 14, wherein said single crystal etch stop layer is selected from the group consisting of Si, GaAs, CaF2.
19. The method of claim 14, wherein said etchable first substrate is a ceramic substrate.
20. The method of claim 14, wherein said etchable first substrate is selected from the group consisting of SiC and GaN.
21. The method of claim 14, wherein said single crystal film is a compliant single crystal film.
22. The method of claim 14, wherein said substrate is selected to have a coefficient of thermal expansion at least approximately equal to a coefficient of thermal expansion for said single crystal film.
23. The method of claim 14, wherein said substrate is electrically insulating.
24. The method of claim 14, wherein said substrate is electrically conducting.
25. The method of claim 14, wherein said substrate has a high thermal conductivity.
26. A method for making a multilayered structure with a single crystal film bonded to a nonsingle crystal substrate, comprising: bonding a single crystal film to a non-single crystal substrate, wherein said non-single crystal substrate has a thermal conductivity greater than 1.5 W/mK at room temperature.
27. The method of claim 26, wherein said thermal conductivity is greater than 10 W/mK at room temperature.
28. The method of claim 26, wherein said substrate is an amorphous substrate.
29. The method of claim 26, wherein said substrate is a glass or fused quartz substrate.
30. The method of claim 26, wherein said substrate has a strain point temperature greater than 1140 C.
PCT/US2000/001648 1999-02-01 2000-01-27 Single-crystal material on non-single-crystalline substrate WO2000044966A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU32131/00A AU3213100A (en) 1999-02-01 2000-01-27 Single-crystal material on non-single-crystalline substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/243,182 US6328796B1 (en) 1999-02-01 1999-02-01 Single-crystal material on non-single-crystalline substrate
US09/243,182 1999-02-01

Publications (4)

Publication Number Publication Date
WO2000044966A1 WO2000044966A1 (en) 2000-08-03
WO2000044966A2 true WO2000044966A2 (en) 2000-08-03
WO2000044966A8 WO2000044966A8 (en) 2001-03-15
WO2000044966A9 WO2000044966A9 (en) 2001-08-09

Family

ID=22917664

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/001648 WO2000044966A2 (en) 1999-02-01 2000-01-27 Single-crystal material on non-single-crystalline substrate

Country Status (3)

Country Link
US (1) US6328796B1 (en)
AU (1) AU3213100A (en)
WO (1) WO2000044966A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043112A2 (en) * 2000-11-27 2002-05-30 S.O.I.Tec Silicon On Insulator Technologies Method for making a substrate
EP1385215A2 (en) * 2002-07-08 2004-01-28 Nichia Corporation Nitride semiconductor device comprising bonded substrate and fabrication method of the same
WO2006138422A1 (en) * 2005-06-17 2006-12-28 Northrop Grumman Corporation Multilayerd substrate obtained via wafer bonding for power applications
US7265029B2 (en) 2000-11-27 2007-09-04 S.O.I.Tec Silicon On Insulator Technologies Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US7288430B2 (en) 2000-11-27 2007-10-30 S.O.I.Tec Silicon On Insulator Technolgoies Method of fabricating heteroepitaxial microstructures
US7301175B2 (en) 2001-10-12 2007-11-27 Nichia Corporation Light emitting apparatus and method of manufacturing the same
US7615468B2 (en) 2000-11-27 2009-11-10 S.O.I.Tec Silicon On Insulator Technologies Methods for making substrates and substrates formed therefrom
FR2967812A1 (en) * 2010-11-19 2012-05-25 Soitec Silicon On Insulator ELECTRONIC DEVICE FOR RADIOFREQUENCY OR POWER APPLICATIONS AND METHOD OF MANUFACTURING SUCH A DEVICE
US8252664B2 (en) 2000-11-27 2012-08-28 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
WO2021183084A1 (en) * 2020-03-09 2021-09-16 Kuvv Elektroni̇k Anoni̇m Şi̇rketi̇ Power conversion circuit having components with a thermal expansion coefficient matched

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6646292B2 (en) * 1999-12-22 2003-11-11 Lumileds Lighting, U.S., Llc Semiconductor light emitting device and method
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP3946427B2 (en) * 2000-03-29 2007-07-18 株式会社東芝 Epitaxial growth substrate manufacturing method and semiconductor device manufacturing method using this epitaxial growth substrate
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
DE10051465A1 (en) * 2000-10-17 2002-05-02 Osram Opto Semiconductors Gmbh Method for producing a GaN-based semiconductor component
EP1277240B1 (en) * 2000-04-26 2015-05-20 OSRAM Opto Semiconductors GmbH Method of manufacturing a light-emitting semiconductor element
EP1277241B1 (en) * 2000-04-26 2017-12-13 OSRAM Opto Semiconductors GmbH Gan-based light-emitting-diode chip
TWI289944B (en) * 2000-05-26 2007-11-11 Osram Opto Semiconductors Gmbh Light-emitting-diode-element with a light-emitting-diode-chip
US6624452B2 (en) * 2000-07-28 2003-09-23 The Regents Of The University Of California Gallium nitride-based HFET and a method for fabricating a gallium nitride-based HFET
WO2002013245A1 (en) * 2000-08-04 2002-02-14 The Regents Of The University Of California Method of controlling stress in gallium nitride films deposited on substrates
DE10042947A1 (en) * 2000-08-31 2002-03-21 Osram Opto Semiconductors Gmbh Radiation-emitting semiconductor component based on GaN
US6495401B1 (en) * 2000-10-12 2002-12-17 Sharp Laboratories Of America, Inc. Method of forming an ultra-thin SOI MOS transistor
US6890835B1 (en) 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US6649287B2 (en) * 2000-12-14 2003-11-18 Nitronex Corporation Gallium nitride materials and methods
US6497763B2 (en) * 2001-01-19 2002-12-24 The United States Of America As Represented By The Secretary Of The Navy Electronic device with composite substrate
US7238622B2 (en) * 2001-04-17 2007-07-03 California Institute Of Technology Wafer bonded virtual substrate and method for forming the same
WO2002084725A1 (en) 2001-04-17 2002-10-24 California Institute Of Technology A method of using a germanium layer transfer to si for photovoltaic applications and heterostructure made thereby
US20050026432A1 (en) * 2001-04-17 2005-02-03 Atwater Harry A. Wafer bonded epitaxial templates for silicon heterostructures
DE10128719A1 (en) * 2001-06-13 2002-12-19 Muehlbauer Ernst Gmbh & Co Kg Dentists impression tray of fillable rigidifiable walls has one wall stiff-ribbed or stiff throughout and fillable sleeve with outflows for mass placement.
US6936490B2 (en) * 2001-09-06 2005-08-30 Toshiba Ceramics Co, Ltd. Semiconductor wafer and its manufacturing method
US6555451B1 (en) 2001-09-28 2003-04-29 The United States Of America As Represented By The Secretary Of The Navy Method for making shallow diffusion junctions in semiconductors using elemental doping
US6593212B1 (en) 2001-10-29 2003-07-15 The United States Of America As Represented By The Secretary Of The Navy Method for making electro-optical devices using a hydrogenion splitting technique
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
FR2835095B1 (en) * 2002-01-22 2005-03-18 PROCESS FOR PREPARING SEPARABLE SEMICONDUCTOR ASSEMBLIES, IN PARTICULAR FOR FORMING SUBSTRATES FOR ELECTRONICS, OPTOELECTRIC, AND OPTICS
US6607969B1 (en) 2002-03-18 2003-08-19 The United States Of America As Represented By The Secretary Of The Navy Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques
US6767749B2 (en) 2002-04-22 2004-07-27 The United States Of America As Represented By The Secretary Of The Navy Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting
US7535100B2 (en) * 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
FR2844095B1 (en) * 2002-09-03 2005-01-28 Commissariat Energie Atomique METHOD FOR MANUFACTURING SICOI-TYPE COMPOSITE SUBSTRATE COMPRISING AN EPITAXY STEP
US6638872B1 (en) 2002-09-26 2003-10-28 Motorola, Inc. Integration of monocrystalline oxide devices with fully depleted CMOS on non-silicon substrates
EP1441445B1 (en) * 2003-01-24 2006-11-29 STMicroelectronics S.r.l. A pipeline analog-to-digital converter with correction of inter-stage gain errors
US7198974B2 (en) * 2003-03-05 2007-04-03 Micron Technology, Inc. Micro-mechanically strained semiconductor film
JP4217093B2 (en) * 2003-03-27 2009-01-28 スタンレー電気株式会社 Semiconductor light emitting device and manufacturing method thereof
FR2852974A1 (en) * 2003-03-31 2004-10-01 Soitec Silicon On Insulator PROCESS FOR THE PRODUCTION OF MONOCRYSTALLINE CRYSTALS
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7273788B2 (en) 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7008854B2 (en) 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7501329B2 (en) 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
FR2855908B1 (en) * 2003-06-06 2005-08-26 Soitec Silicon On Insulator METHOD FOR OBTAINING A STRUCTURE COMPRISING AT LEAST ONE SUBSTRATE AND AN ULTRAMINO LAYER
KR20060024421A (en) * 2003-06-30 2006-03-16 켄이치로 미야하라 Substrate for thin-film formation, thin-film substrate and light emitting element
US7439158B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US8529724B2 (en) * 2003-10-01 2013-09-10 The Charles Stark Draper Laboratory, Inc. Anodic bonding of silicon carbide to glass
DE10350038A1 (en) * 2003-10-27 2005-05-25 Robert Bosch Gmbh Method for anodic bonding of wafers and device
KR100938866B1 (en) * 2004-02-25 2010-01-27 에스.오.아이. 테크 실리콘 온 인슐레이터 테크놀로지스 Photodetecting Device
EP1569263B1 (en) * 2004-02-27 2011-11-23 OSRAM Opto Semiconductors GmbH Method for joining two wafers
EP1727177B1 (en) * 2004-03-12 2017-01-04 Hamamatsu Photonics K.K. Process for producing a photoelectric layered member and layered member
WO2005104192A2 (en) * 2004-04-21 2005-11-03 California Institute Of Technology A METHOD FOR THE FABRICATION OF GaAs/Si AND RELATED WAFER BONDED VIRTUAL SUBSTRATES
US9011598B2 (en) * 2004-06-03 2015-04-21 Soitec Method for making a composite substrate and composite substrate according to the method
FR2871172B1 (en) * 2004-06-03 2006-09-22 Soitec Silicon On Insulator HYBRID EPITAXIS SUPPORT AND METHOD OF MANUFACTURING THE SAME
US7339205B2 (en) * 2004-06-28 2008-03-04 Nitronex Corporation Gallium nitride materials and methods associated with the same
US7687827B2 (en) * 2004-07-07 2010-03-30 Nitronex Corporation III-nitride materials including low dislocation densities and methods associated with the same
US7497907B2 (en) * 2004-07-23 2009-03-03 Memc Electronic Materials, Inc. Partially devitrified crucible
JP4259414B2 (en) * 2004-07-27 2009-04-30 住友電気工業株式会社 Method for producing group III nitride single crystal
US20060021565A1 (en) * 2004-07-30 2006-02-02 Aonex Technologies, Inc. GaInP / GaAs / Si triple junction solar cell enabled by wafer bonding and layer transfer
US7846759B2 (en) * 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
US7560322B2 (en) * 2004-10-27 2009-07-14 Northrop Grumman Systems Corporation Method of making a semiconductor structure for high power semiconductor devices
FR2877491B1 (en) * 2004-10-29 2007-01-19 Soitec Silicon On Insulator COMPOSITE STRUCTURE WITH HIGH THERMAL DISSIPATION
EP1962340A3 (en) 2004-11-09 2009-12-23 S.O.I. TEC Silicon Method for manufacturing compound material wafers
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
GB0505752D0 (en) * 2005-03-21 2005-04-27 Element Six Ltd Diamond based substrate for gan devices
TW200707799A (en) * 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
US7776636B2 (en) * 2005-04-25 2010-08-17 Cao Group, Inc. Method for significant reduction of dislocations for a very high A1 composition A1GaN layer
US7365374B2 (en) * 2005-05-03 2008-04-29 Nitronex Corporation Gallium nitride material structures including substrates and methods associated with the same
US7420226B2 (en) * 2005-06-17 2008-09-02 Northrop Grumman Corporation Method for integrating silicon CMOS and AlGaN/GaN wideband amplifiers on engineered substrates
KR100641063B1 (en) * 2005-08-26 2006-11-01 삼성전자주식회사 Method of manufacturing a single crystalline structure and method of manufacturing a semiconductor device by using the same
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
EP1842940A1 (en) * 2006-04-06 2007-10-10 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a group III nitride material on a silicon substrate
US20070243703A1 (en) * 2006-04-14 2007-10-18 Aonex Technololgies, Inc. Processes and structures for epitaxial growth on laminate substrates
US8962447B2 (en) 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
EP1901345A1 (en) * 2006-08-30 2008-03-19 Siltronic AG Multilayered semiconductor wafer and process for manufacturing the same
WO2008078133A1 (en) * 2006-12-26 2008-07-03 S.O.I.Tec Silicon On Insulator Technologies Method for producing a semiconductor-on-insulator structure
WO2008078132A1 (en) * 2006-12-26 2008-07-03 S.O.I.Tec Silicon On Insulator Technologies Method for producing a semiconductor-on-insulator structure
US20080157090A1 (en) * 2006-12-28 2008-07-03 Darren Brent Thomson Transplanted epitaxial regrowth for fabricating large area substrates for electronic devices
US20080173895A1 (en) * 2007-01-24 2008-07-24 Sharp Laboratories Of America, Inc. Gallium nitride on silicon with a thermal expansion transition buffer layer
CN101573786B (en) * 2007-02-08 2011-09-28 硅绝缘体技术有限公司 Method of fabrication of highly heat dissipative substrates
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7615389B2 (en) * 2007-05-31 2009-11-10 Corning Incorporated GaN lasers on ALN substrates and methods of fabrication
US20080296616A1 (en) * 2007-06-04 2008-12-04 Sharp Laboratories Of America, Inc. Gallium nitride-on-silicon nanoscale patterned interface
FR2917232B1 (en) * 2007-06-06 2009-10-09 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING A STRUCTURE FOR EPITAXY WITHOUT EXCLUSION AREA
US7888248B2 (en) * 2007-07-13 2011-02-15 Northrop Grumman Systems Corporation Method of producing large area SiC substrates
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
DE102008006745B3 (en) * 2008-01-30 2009-10-08 Siltronic Ag Method for producing a semiconductor structure
KR20110063773A (en) * 2008-09-24 2011-06-14 에스.오.아이. 테크 실리콘 온 인슐레이터 테크놀로지스 Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US8637383B2 (en) 2010-12-23 2014-01-28 Soitec Strain relaxation using metal materials and related structures
CN102203904B (en) 2008-10-30 2013-11-20 S.O.I.探测硅绝缘技术公司 Methods of forming layers of semiconductor material having reduced lattice strain, semiconductor structures, devices and engineered substrates including same
US20110108854A1 (en) * 2009-11-10 2011-05-12 Chien-Min Sung Substantially lattice matched semiconductor materials and associated methods
WO2011068884A2 (en) 2009-12-01 2011-06-09 University Of Massachusetts A system for producing patterned silicon carbide structures
US9012253B2 (en) * 2009-12-16 2015-04-21 Micron Technology, Inc. Gallium nitride wafer substrate for solid state lighting devices, and associated systems and methods
CN102557640A (en) * 2010-12-20 2012-07-11 北京有色金属研究总院 High thermal conductivity multi-layer SiC monocrystal microwave attenuating material and preparation method thereof
FI20115255A0 (en) * 2011-03-14 2011-03-14 Optogan Oy Composite semiconductor substrate, semiconductor device, and manufacturing process
JP5696543B2 (en) * 2011-03-17 2015-04-08 セイコーエプソン株式会社 Manufacturing method of semiconductor substrate
US20140264456A1 (en) * 2013-03-15 2014-09-18 Semiconductor Components Industries, Llc Method of forming a high electron mobility semiconductor device
JP6237248B2 (en) * 2014-01-15 2017-11-29 住友電気工業株式会社 Method for producing silicon carbide single crystal
CN104979440B (en) 2014-04-10 2019-01-18 传感器电子技术股份有限公司 Compound substrate
US10290674B2 (en) 2016-04-22 2019-05-14 QROMIS, Inc. Engineered substrate including light emitting diode and power circuitry
US10297445B2 (en) * 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
US10510582B2 (en) 2016-06-14 2019-12-17 QROMIS, Inc. Engineered substrate structure
JP6387375B2 (en) * 2016-07-19 2018-09-05 株式会社サイコックス Semiconductor substrate
US10655243B2 (en) * 2016-08-05 2020-05-19 QROMIS, Inc. Growth of epitaxial gallium nitride material using a thermally matched substrate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5217564A (en) * 1980-04-10 1993-06-08 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
JPH03270220A (en) * 1990-03-20 1991-12-02 Fujitsu Ltd Manufacture of seed crystal for growing bulk crystal
US5391257A (en) * 1993-12-10 1995-02-21 Rockwell International Corporation Method of transferring a thin film to an alternate substrate
US5641381A (en) * 1995-03-27 1997-06-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Preferentially etched epitaxial liftoff of InP material
US5710057A (en) * 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7615468B2 (en) 2000-11-27 2009-11-10 S.O.I.Tec Silicon On Insulator Technologies Methods for making substrates and substrates formed therefrom
US8252664B2 (en) 2000-11-27 2012-08-28 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
WO2002043112A3 (en) * 2000-11-27 2002-07-18 Soitec Silicon On Insulator Method for making a substrate
US10002763B2 (en) 2000-11-27 2018-06-19 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US6794276B2 (en) 2000-11-27 2004-09-21 S.O.I.Tec Silicon On Insulator Technologies S.A. Methods for fabricating a substrate
CN100399511C (en) * 2000-11-27 2008-07-02 S.O.I.Tec绝缘体上硅技术公司 Compound semiconductor substrate and its production method
WO2002043112A2 (en) * 2000-11-27 2002-05-30 S.O.I.Tec Silicon On Insulator Technologies Method for making a substrate
EP1791170A2 (en) * 2000-11-27 2007-05-30 S.O.I.Tec Silicon on Insulator Technologies Method of manufacturing a substrate, in particular for optics, electronics or optoelectronics, and substrate obtained through said method
US7235462B2 (en) 2000-11-27 2007-06-26 S.O.I.Tec Silicon On Insulator Technologies Methods for fabricating a substrate
EP1791170A3 (en) * 2000-11-27 2007-07-04 S.O.I.Tec Silicon on Insulator Technologies Method of manufacturing a substrate, in particular for optics, electronics or optoelectronics, and substrate obtained through said method
US7265029B2 (en) 2000-11-27 2007-09-04 S.O.I.Tec Silicon On Insulator Technologies Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US7288430B2 (en) 2000-11-27 2007-10-30 S.O.I.Tec Silicon On Insulator Technolgoies Method of fabricating heteroepitaxial microstructures
US8507361B2 (en) 2000-11-27 2013-08-13 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
FR2817394A1 (en) * 2000-11-27 2002-05-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, PARTICULARLY FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED BY THIS PROCESS
US7939428B2 (en) 2000-11-27 2011-05-10 S.O.I.Tec Silicon On Insulator Technologies Methods for making substrates and substrates formed therefrom
US7888235B2 (en) 2000-11-27 2011-02-15 S.O.I.Tec Silicon On Insulator Technologies Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US7839001B2 (en) 2000-11-27 2010-11-23 S.O.I.Tec Silicon On Insulator Technologies Methods for making substrates and substrates formed therefrom
US7646038B2 (en) 2000-11-27 2010-01-12 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating heteroepitaxial microstructures
US7301175B2 (en) 2001-10-12 2007-11-27 Nichia Corporation Light emitting apparatus and method of manufacturing the same
US7390684B2 (en) 2001-10-12 2008-06-24 Nichia Corporation Light emitting apparatus and method of manufacturing the same
EP1385215A2 (en) * 2002-07-08 2004-01-28 Nichia Corporation Nitride semiconductor device comprising bonded substrate and fabrication method of the same
US7378334B2 (en) 2002-07-08 2008-05-27 Nichia Corporation Nitride semiconductor device comprising bonded substrate and fabrication method of the same
EP1385215A3 (en) * 2002-07-08 2007-04-04 Nichia Corporation Nitride semiconductor device comprising bonded substrate and fabrication method of the same
WO2006138422A1 (en) * 2005-06-17 2006-12-28 Northrop Grumman Corporation Multilayerd substrate obtained via wafer bonding for power applications
FR2967812A1 (en) * 2010-11-19 2012-05-25 Soitec Silicon On Insulator ELECTRONIC DEVICE FOR RADIOFREQUENCY OR POWER APPLICATIONS AND METHOD OF MANUFACTURING SUCH A DEVICE
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US9202741B2 (en) 2011-02-03 2015-12-01 Soitec Metallic carrier for layer transfer and methods for forming the same
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
US9716148B2 (en) 2012-03-09 2017-07-25 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum, and structures formed by such methods
WO2021183084A1 (en) * 2020-03-09 2021-09-16 Kuvv Elektroni̇k Anoni̇m Şi̇rketi̇ Power conversion circuit having components with a thermal expansion coefficient matched

Also Published As

Publication number Publication date
WO2000044966A9 (en) 2001-08-09
WO2000044966A8 (en) 2001-03-15
AU3213100A (en) 2000-08-18
US6328796B1 (en) 2001-12-11

Similar Documents

Publication Publication Date Title
US6328796B1 (en) Single-crystal material on non-single-crystalline substrate
WO2000044966A1 (en) Single-crystal material on non-single-crystalline substrate
US6497763B2 (en) Electronic device with composite substrate
US6562127B1 (en) Method of making mosaic array of thin semiconductor material of large substrates
US6323108B1 (en) Fabrication ultra-thin bonded semiconductor layers
US7420226B2 (en) Method for integrating silicon CMOS and AlGaN/GaN wideband amplifiers on engineered substrates
US7615468B2 (en) Methods for making substrates and substrates formed therefrom
EP0317445B1 (en) Method for fabricating a silicon carbide substrate
US7112515B2 (en) Method of making a hybrid substrate having a thin silicon carbide membrane layer
US7358152B2 (en) Wafer bonding of thinned electronic materials and circuits to high performance substrate
US6294478B1 (en) Fabrication process for a semiconductor substrate
EP0469630B1 (en) Process for preparing a semiconductor body
US20030064535A1 (en) Method of manufacturing a semiconductor device having a thin GaN material directly bonded to an optimized substrate
US20060284167A1 (en) Multilayered substrate obtained via wafer bonding for power applications
US20050269671A1 (en) Support for hybrid epitaxy and method of fabrication
CA2220600C (en) Method of manufacturing semiconductor article
JPH07187892A (en) Silicon and its formation
JP2009267427A (en) Detachable substrate with controlled mechanical holding power and method for production thereof
KR19980042471A (en) Manufacturing method of semiconductor article
US8785293B2 (en) Adaptation of the lattice parameter of a layer of strained material
JPH0613456A (en) Manufacture of semiconductor device
JPH10326884A (en) Semiconductor substrate, its manufacture and its composite member
EP3419061B1 (en) Process for fabricating of a gallium nitride semiconductor structure
Hobart et al. Single-Crystal Material on Non-Single-Crystalline Substrate
JPH11135761A (en) Manufacture of semiconductor substrate, adhered substrate and semiconductor substrate

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: C1

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: C1

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: PAT. BUL. 31/2000 REPLACE "A2" BY "A1"

AK Designated states

Kind code of ref document: C2

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

COP Corrected version of pamphlet

Free format text: PAGE 1/1, DRAWINGS, REPLACED BY A NEW PAGE 1/1; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase