WO2001008786A1 - Method and apparatus for catalytic conversion of fluorinated compounds in gases - Google Patents

Method and apparatus for catalytic conversion of fluorinated compounds in gases Download PDF

Info

Publication number
WO2001008786A1
WO2001008786A1 PCT/US2000/020323 US0020323W WO0108786A1 WO 2001008786 A1 WO2001008786 A1 WO 2001008786A1 US 0020323 W US0020323 W US 0020323W WO 0108786 A1 WO0108786 A1 WO 0108786A1
Authority
WO
WIPO (PCT)
Prior art keywords
effluent
gas
reactor
chamber
catalytic
Prior art date
Application number
PCT/US2000/020323
Other languages
French (fr)
Inventor
Shamouil Shamouilian
Tony S. Kaushal
Kwok Manus Wong
Michael G. Chafin
Kartik Ramaswamy
Mehran Moalem
Ashish Bhatnagar
Arnold Kholodenko
Semyon L. Kats
Harshad Borgaonkar
Efrain Quiles
You Wang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/607,918 external-priority patent/US6468490B1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2001008786A1 publication Critical patent/WO2001008786A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/75Multi-step processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/86Catalytic processes
    • B01D53/8659Removing halogens or halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/86Catalytic processes
    • B01D53/8659Removing halogens or halogen compounds
    • B01D53/8662Organic halogen compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Abstract

A system for reducing hazardous gases exhausted from a process chamber (25) includes a catalytic reactor (250) containing catalytic material that can catalyze destruction of the hazardous gases. The system may also include a heater (240), a scrubber (270), and a prescrubber (230). The system may reduce the amount of hazardous gases, particularly perfluorocompounds and/or F2, exhausted into the environment.

Description

METHOD AND APPARATUS FOR CATALYTIC CONVERSION OF FLUORINATED COMPOUNDS IN GASES
BACKGROUND
The present invention is related to an apparatus and method for reducing a hazardous gas content of an effluent from a process chamber.
Fluorocarbon, chlorofluorocarbons, hydrocarbon, and other fluorine containing gases are widely used in the manufacture of integrated circuits in process chambers. These gases are chemically toxic to humans and hazardous to the environment. In addition, they may also strongly absorb infrared radiation and have high global warming potentials. Especially notorious are persistent fluoπnated compounds or perfluorocompounds (PFCs) which are long-lived, chemically stable compounds that have lifetimes exceeding thousands of years. Some examples of PFCs are carbon tetrafiuoride (CF4), hexafluoroethane (C2F6), perafluoropropane (C3F8), tπfluoromethane (CHF3), sulfur hexafluoπde (SF6), nitrogen trifluoπde (NF3), carbonyl fluoride (COF2) and the like. For example, CF4 has a lifetime in the environment of about 50,000 years and can contribute to global warming for up to 6.5 million years. Thus it is desirable to have an apparatus or method that can reduce the hazardous gas content of effluents, and especially PFCs, that may be released from the process chambers.
Perfluorocompounds are utilized in numerous semiconductor fabrication processes. For example, perfluorocompounds are used in the etching of layers on substrates, such as oxide, metal and dielectric layers. Perfluorocompounds can also be used during chemical vapor deposition processes. Additionally, process chambers can be cleaned of etch or deposition residue using perfluorocompounds. These hazardous compounds are either introduced into a process chamber or are formed as byproducts within the process chamber and may be exhausted from the chamber in an effluent gas stream. Another hazardous gas is molecular fluorine, F2 Extended exposure to as little as 1 ppm of F2 can be hazardous, and F2 is difficult to breakdown or reduce to non- toxic forms. Previously, effluents containing F2 have been exhausted through exhaust stacks that are sufficiently tall that the concentration of F2 in the air that descends to the ground is below regulatory levels. However, this technique is less than ideal from an environmental standpoint, and also undesirable from a manufacturing standpoint in that the volume of fluoπnated gas processes that generate F2 is limited by the height of the exhaust stack. F2 containing effluents are generated in numerous substrate fabrication processes, as well as in other processes. For example, process gases containing F2, or that form F2 as a byproduct of the process, are used in the etching of layers on substrates, such as oxide, metal and dielectric layers; during chemical vapor deposition processes; and to clean etchant or deposition residue in process chambers These hazardous compounds may be exhausted from the chamber in the effluent gas stream. Thus, it is desirable to have an apparatus or method that can reduce the hazardous gas content of effluents, especially effluents containing F2, that may be released from process chambers.
One conventional F2 abatement system uses a hydrogen burn box to reduce F2. However, this system has several disadvantages. For example, a high temperature (generally above 850°C) is necessary for the conversion of F2 to HF in the presence of
H2. The heated HF is highly corrosive and hazardous, making its handling costly and dangerous Additionally, the use of H2 supply lines in a fabrication plant raises fire concerns that further add to the costs and danger of the system.
It is necessary for the health of our environment to minimize the introduction of harmful gases and byproducts into atmosphere. There is also a need to minimize the harmful content of the effluent gas in an efficient and inexpensive manner. There is a further need to reduce PFC and other harmful gases to the lowest possible levels especially for industries which widely use PFCs, even though such use is a relatively small component of the overall consumption or release of PFCs in the world. SUMMARY
The present invention reduces a content of hazardous gases, such as PFCs, in a simple and efficient manner. The present invention is useful for reducing the hazardous gas content of effluent gas resulting from processing of substrates, such as semiconductor wafers and other electronic devices. By hazardous gas it is meant any toxic, harmful or undesirable gas, such as, but not limited to PFCs, CFCs, hydrocarbons, other fluorine containing gases, and other undesirable gases.
In one aspect of the invention, an apparatus capable of reducing a hazardous gas content of an effluent from a chamber comprises a chamber capable of processing a substrate in an energized gas, thereby generating an effluent, and a catalytic reactor to receive the effluent
In another aspect of the invention, a gas treatment apparatus capable of reducing a hazardous gas content of an effluent from a chamber comprises a chamber capable of processing a substrate in an energized gas, thereby generating an effluent, and a scrubber adapted to receive and scrub the effluent.
In another aspect of the invention, a method of treating an effluent to reduce the hazardous gas content therein comprises adding a reactant to the effluent, and introducing the effluent and reactant into a catalytic reactor, whereby the hazardous gas content of the effluent gas may be reduced.
In another aspect of the invention, a method of treating an effluent gas to reduce a perfluorocompound gas content therein comprises introducing a reactant into the effluent gas, and introducing the effluent gas and reactant into a catalytic reactor.
In another aspect of the invention, a method of treating an effluent gas comprises catalyzing a reaction of the effluent gas, and before or after step (a) scrubbing the effluent gas. In another aspect of the invention, a gas treatment apparatus capable of reducing a hazardous gas content of an effluent from a chamber comprises a chamber capable of processing a substrate in an energized gas, thereby generating an effluent, an abatement system adapted to reduce the hazardous gas content of the effluent, and a controller adapted to control operation of the abatement syste ϊrm
In another aspect of the invention, a method for reducing a hazardous gas content of an effluent from a chamber comprises processing a substrate in an energized gas, thereby generating an effluent, reducing the hazardous gas content of the effluent, and controlling the reduction by monitoring the effluent.
In another aspect of the invention, an apparatus capable of treating an effluent from a chamber comprises a chamber capable of processing a substrate in an energized gas, thereby generating an effluent, a first reactor capable of removing a silicon and fluorine component from the effluent, and a second reactor capable of removing a second component of from the effluent.
In another aspect of the invention, an apparatus capable of treating an effluent from a chamber comprises a reactor capable of removing a component from the effluent, the reactor comprising an inlet and an outlet, and a heater adapted to be downstream from the outlet.
In another aspect of the invention, an apparatus capable of scrubbing an effluent from a chamber comprises a reactor capable of receiving a flow of effluent, a nozzle capable of dispensing scrubbing fluid into the reactor in a direction countercurrent to the flow of effluent, and a filter capable of removing reaction products from the effluent.
In another aspect of the invention, an apparatus capable of scrubbing an effluent from a chamber comprises a reactor capable of receiving the effluent, and a ventuπ nozzle capable of injecting scrubbing fluid into the reactor. In another aspect of the invention, an apparatus capable of scrubbing an effluent from a chamber comprises a reactor comprising four scrubbing channels.
In another aspect of the invention, an apparatus capable of scrubbing an effluent from a chamber comprises a reactor capable of receiving the effluent and scrubbing fluid, a filter, and a pump capable of pumping scrubbing fluid from the reactor and through the filter.
In another aspect of the invention, an apparatus capable of scrubbing an effluent from a chamber comprises a first scrubber comprising a first reactor capable of receiving the effluent, a second scrubber comprising a second reactor capable of receiving the effluent, and a pump capable of pumping scrubbing fluid from the first reactor into the second reactor.
In another aspect of the invention, an apparatus capable of scrubbing an effluent from a chamber comprises a reactor capable of receiving the effluent and introducing scrubbing fluid into the effluent, and a detector capable of detecting a condition of the scrubbing fluid or the reactor.
In another aspect of the invention, an apparatus capable of treating an effluent from a chamber comprises a first reactor capable of receiving the effluent, a second reactor capable of receiving the effluent, and a pressure compensator to compensate for pressure differences between the first and second reactors.
In another aspect of the invention, a method of treating an effluent from a chamber comprises processing a substrate in a chamber thereby generating an effluent, removing a silicon and fluorine containing component from the effluent in a first reactor, and removing a second component from the effluent in a second reactor. In another aspect of the invention, a method of treating an effluent from a chamber comprises removing a component from the effluent in a reactor, and heating the effluent downstream of the reactor
In another aspect of the invention, a method of scrubbing an effluent from a chamber comprises introducing a flow of effluent into a reactor, dispensing scrubbing fluid into the reactor countercurrent to the flow of effluent, and filtering the effluent.
In another aspect of the invention, a method of scrubbing an effluent from a chamber comprises introducing the effluent into a reactor, and injecting scrubbing fluid into the reactor through a ventuπ.
In another aspect of the invention, a method of scrubbing an effluent from a chamber comprises introducing the effluent into a reactor, dispensing scrubbing fluid in the reactor, and pumping scrubbing fluid from the reactor and through a filter.
In another aspect of the invention, a method of scrubbing an effluent from a chamber comprises introducing the effluent into a first reactor, introducing the effluent into a second reactor, and flowing scrubbing fluid from the first reactor to the second reactor.
In another aspect of the invention, a method of scrubbing an effluent from a chamber comprises introducing the effluent into a reactor, introducing scrubbing fluid into the reactor, and detecting a condition of the scrubbing fluid or the reactor.
In another aspect of the invention, a method of treating an effluent from a chamber comprises treating the effluent in a first reactor, treating the effluent in a second reactor, and compensating for pressure differences between the first and second reactors. In another aspect of the invention, an effluent gas heating apparatus comprises an effluent gas inlet, an effluent gas outlet, a wall adjacent a gas flow path, and a heater to heat the wall, whereby effluent gas introduced through the effluent gas inlet is heated while flowing along the gas flow path to the effluent gas outlet.
In another aspect of the invention, a substrate processing apparatus comprises a chamber capable of processing the substrate in an energized gas, thereby generating an effluent gas, a furnace comprising an effluent gas inlet, an effluent gas outlet, a wall adjacent a gas flow path, and a heater to heat the wall, whereby effluent gas supplied through the effluent gas inlet may be heated by the heater while flowing along the gas flow path to the effluent gas outlet.
In another aspect of the invention, a method of processing a substrate and treating an effluent gas comprises processing the substrate in a process zone, thereby generating the effluent gas, passing the effluent gas through a gas flow path, and heating the effluent gas to a predetermined temperature in a single pass of the effluent gas through the gas flow path.
In another aspect of the invention, an apparatus capable of treating an effluent gas from a chamber comprises a catalytic reactor having an effluent gas inlet, an effluent gas outlet, and an interior adapted to contain catalytic material, and an opening into the catalytic reactor, the opening adapted to remove or deliver catalytic material.
In another aspect of the invention, a method of removing catalytic material from a reactor capable of receiving effluent gas from a chamber comprises providing an opening into the reactor, and removing at least a portion of the catalytic material through the opening.
In another aspect of the invention, an apparatus capable of treating an effluent gas from a chamber comprises a catalytic reactor having an effluent gas inlet and an effluent gas outlet, and a reactor container adapted to releasably contain the catalytic reactor
In another aspect of the invention, a method of removing a catalyst from a system for treating effluent gas from a chamber comprises containing the catalyst in a container, and removing the catalyst from the container.
In another aspect of the invention, an apparatus capable of treating an effluent from a chamber comprises a chamber capable of processing a substrate in an energized gas, thereby generating an effluent, and a catalytic reactor comprising an effluent gas inlet and an effluent gas outlet and catalytic material therebetween, wherein the catalytic material is removable from the apparatus.
In another aspect of the invention, an apparatus capable of treating an effluent from a chamber comprises a chamber capable of processing a substrate in an energized gas, thereby generating an effluent, a catalytic reactor comprising an effluent gas inlet and an effluent gas outlet and an interior adapted to hold catalytic material therein, and means for removing or delivering catalytic material to or from the catalytic reactor.
In another aspect of the invention, a method of treating an effluent gas from a chamber comprises processing a substrate in an energized gas, thereby generating an effluent gas, catalyzing a reaction in the effluent to treat the effluent gas in a catalytic zone, and removing catalytic material from the catalytic zone.
In another aspect of the invention, a method of forming and treating an effluent gas from a process chamber comprises before, during, or after processing a substrate in the process chamber, introducing a process gas into the process chamber and forming an effluent gas comprising F2 gas, and passing the effluent gas over a catalyst and thereby reducing the content of the F2 gas in the effluent gas. In another aspect of the invention, a method of forming and treating an effluent gas from a process chamber comprises before, during, or after processing a substrate in the process chamber, introducing a process gas into the process chamber and forming an effluent gas comprising F2 gas, and introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species and thereby reducing the content of the F2 gas in the effluent.
In another aspect of the invention, a method of forming and treating an effluent gas from a process chamber comprises before, during, or after processing a substrate in the process chamber, introducing a process gas into the process chamber and forming an effluent gas comprising F2 gas, heating the effluent gas, and passing the effluent gas over a catalyst, thereby reducing the content of the F2 gas in the effluent.
In another aspect of the invention, a method of cleaning a process chamber comprises introducing a cleaning gas into the process chamber and forming an effluent gas comprising F2, and passing the effluent gas over a catalyst, thereby reducing the content of the F2 gas in the effluent.
In another aspect of the invention, a method of forming and treating an effluent gas from a process chamber comprises introducing a gas into the process chamber to process a substrate or clean the process chamber, forming an effluent gas comprising F2, introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species, passing the effluent gas over a catalyst, thereby forming HF, and introducing H20 into the effluent gas to dissolve the HF.
In another aspect of the invention, a substrate processing apparatus comprises a source of process gas, a process chamber capable of performing a process with the process gas and forming an effluent gas comprising F2 gas, and a catalytic reactor adapted to treat the effluent gas to reduce the F2 content thereof. In another aspect of the invention, a substrate processing apparatus comprises a process chamber capable of performing a process with process gas and forming an effluent gas comprising F2 gas, and an additive source capable of introducing an additive into the effluent gas to reduce the F2 content of the effluent gas, the additive comprising a hydrogen species and an oxygen species.
DRAWINGS
These features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings which illustrate examples of the invention, where
Figure 1 is a schematic sectional side view of an exemplary semiconductor processing apparatus for processing a substrate and which produces effluent containing hazardous gases;
Figure 2 is a schematic sectional side view of an exemplary catalytic reactor for use with the catalytic abatement system;
Figure 3 is a graph showing the destruction percentage of three PFC gases treated at varying temperatures;
Figure 4 is a schematic of an embodiment of the catalytic abatement system;
Figures 5a and 5b are schematic sectional side views of embodiments of heaters which can be used with the catalytic abatement system;
Figure 6 is a schematic of another embodiment of the catalytic abatement system; Figure 7 is a schematic sectional side view of an embodiment of a prescrubber which can be used with the catalytic abatement system;
Figure 8 is a schematic of another embodiment of the catalytic abatement system;
Figure 9 is a schematic sectional side view of an embodiment of a heat exchanger which can be used with the catalytic abatement system;
Figure 1 0 is a schematic sectional side view of a multichamber conduit which can be used with the catalytic abatement system;
Figure 1 1 is a schematic sectional side view of an embodiment of a control system which can be used with the catalytic abatement system;
Figure 1 2 is a diagram of a version of controller program code which can be used to control the catalytic abatement system;
Figure 1 3 is a schematic sectional side view of another embodiment of a prescrubber which can be used with an abatement system;
Figure 14 is a schematic sectional side view of an exemplary embodiment of a ventuπ tube and ventuπ nozzle which may be used in a prescrubber;
Figure 1 5 is a schematic partial perspective view of another embodiment of a prescrubber;
Figure 1 6 is a schematic sectional side view of an embodiment of a prescrubber with a scrubbing fluid recirculation system; Figure 1 7 is a schematic sectional side view of an embodiment of a prescrubber with a scrubbing fluid recirculation system showing the flow of scrubbing fluid to the postscrubber and the cooling system;
Figure 1 8 is a schematic sectional side view of another embodiment of a heater which can be used with an abatement system;
Figures 1 9a through 1 9d are schematic sectional side views of embodiments of heaters that can be used with an abatement system;
Figure 20 is a schematic perspective view of another embodiment of a catalytic reactor for use with a catalytic abatement system;
Figure 21 is a schematic sectional side view of the embodiment of the catalytic reactor of Figure 20 and with a catalyst collector and a catalyst source;
Figure 22 is a schematic sectional top view of another embodiment of the catalytic reactor and a reactor container for use with a catalytic abatement system;
Figure 23 is a schematic perspective view of the catalytic reactor of Figure
22 separated from the reactor container;
Figure 24 is a schematic sectional top view of another embodiment of the catalytic reactor and a reactor container for use with the catalytic abatement system;
Figure 25 is a schematic perspective view of another embodiment of the catalytic reactor of Figure 24 separated from the reactor container;
Figure 26 is a schematic sectional side view of another exemplary substrate processing apparatus which produces effluent containing hazardous gases; and Figure 27 is a schematic sectional side view of another version of a prescrubber with a scrubbing fluid recirculation system
DESCRIPTION
The present invention relates to a gas treatment apparatus for use with a substrate processing chamber and a method of abating a hazardous gas content of effluent from a process chamber. The foregoing description and accompanying drawings represent illustrative embodiments of the invention and are not intended to limit the invention Thus, while the description and drawings illustrate exemplary features of the invention, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features
An exemplary semiconductor processing apparatus, as illustrated in Figure
1 , comprises a chamber 25 such as for example, an MxP -i- OXIDE ETCH chamber, commercially available from Applied Materials Inc. , Santa Clara, California, and generally described in commonly assigned U.S. Patent Nos. 4,842,683 and 5,21 5,61 9 to Cheng, et al; and U .S. Patent No. 4,668,338 to Maydan, et al. , all of which are incorporated herein by reference Such chambers can be used in a multi-chamber integrated process system as for example, described in U .S. Patent No. 4,951 ,601 to Maydan, et al. , which is also incorporated herein by reference. The particular embodiment of the chamber 25 shown herein, is suitable for processing of semiconductor substrates 30. The embodiment is provided only to illustrate the invention, and should not be used to limit the scope of the invention.
During processing, the chamber 25 is evacuated to a low pressure of less than about 500 mTorr, and a substrate 30 is transferred to a plasma zone 35 of the chamber 25 from a load lock transfer chamber (not shown) maintained at vacuum. The substrate 30 is held on a support 40, which optionally comprises a mechanical or electrostatic chuck 45. A typical electrostatic chuck 45 comprises an electrostatic member 50 comprising a dielectric layer 52 having a surface 53 adapted to receive the substrate 30. The dielectric layer 52 covers an electrode 55 - which may be a single conductor or a plurality of conductors - which is chargeable to electrostatically hold the substrate 30 After the substrate 30 is placed on the chuck 45, the electrode 55 is electrically biased with respect to the substrate 30 by an electrode voltage supply 60 to electrostatically hold the substrate 30. A base 65 below the electrostatic chuck 45 supports the chuck, and optionally, is also electrically biased with an RF bias voltage The surface 53 may have grooves 54 in which a heat transfer gas, such as helium, is held to control the temperature of the substrate 30. The heat transfer gas is provided via gas conduits 66 having one or more outlets 68 that deliver the gas to the surface 53 of the chuck 45 and that extend through one or more of the electrodes 55 and dielectric layer 52. A heat transfer gas supply 67 supplies heat transfer gas to the conduits 66 via a gas supply channel. The grooves 54 on the surface 53 of the dielectric layer 52 are sized and distributed to hold heat transfer gas to heat or cool substantially the entire backside of the substrate 30, such as for example, a pattern of intersecting grooves 54 radiating across the dielectric layer 52. Preferably, at least one conduit 66 terminates in one of the grooves 54, and more preferably, the conduits 66 terminate at one or more intersections of the grooves 54. The gas conduits 66, gas supply channel, and grooves 54 are formed by conventional techniques, such as drilling, boring, or milling. Typically, the heat transfer gas comprises helium or argon which is supplied at a pressure of about
5 to about 30 Torr; however, other gases such as CF4 can also be used.
Process gas is introduced into the chamber 25 through a gas supply that includes a first gas supply 70 and one or more gas nozzles 72 terminating in the chamber 25. The gas in the chamber 25 is typically maintained at a low pressure. A plasma is formed in the plasma zone 35 from the gas by applying electromagnetic energy to the process gas. In the chamber 25, the plasma is capacitively generated by applying an RF voltage to the electrode 55 (which serves as the cathode electrode) and by electrically grounding the sidewalls 75 of the chamber 25 to form the other (anode) electrode 55. Alternatively, an RF current may be applied to an inductor coil (not shown) to inductively couple energy into the chamber 25 to generate the plasma in the plasma zone 35. The frequency of the RF current applied to the electrode 55 or to the inductor coil (not shown) is typically from about 50 KHz to about 60 MHz, and more typically about 1 3.56 MHz. The plasma can also be enhanced by electron cyclotron resonance in a magnetically enhanced reactor in which a magnetic field generator 77, such as a permanent magnet or electromagnetic coils, that provide a magnetic field that may increase the density and uniformity of the plasma in the plasma zone 35. Preferably, the magnetic field comprises a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate 30, as described in U.S. Patent No. 4,842,683.
Effluent 100 comprising process gas and process byproducts is exhausted from the chamber 25 through an exhaust system 80 capable of achieving a minimum pressure of about 1 0 3 mTorr in the chamber 25. The exhaust system 80 comprises an exhaust tube 85 that leads to one or a plurality of pumps 125, such as roughing and high vacuum pumps, that evacuate the gas in the chamber 25. A throttle valve 82 is provided in the exhaust tube 85 for controlling the pressure of the gas in the chamber
25. Also, an optical endpoint measurement technique is often to determine completion of the etching process by measuring a change in light emission intensity of a gas species in the chamber 25 or measuring the intensity of light reflected from a layer being processed on the substrate 30.
During operation of the chamber 25 in a typical semiconductor process, a semiconductor substrate 30 is placed on the support 40 in the process chamber 25, and a process gas comprising fluorine-containing gas such as CF4, C2F6, C3F8, CHF3, SF6, NF3, COF2, CH3F, C4F8, CH2F2, C4F6 and the like, is introduced into the process zone 35 through the process gas distributor 72. The process gas is energized by the gas energizer 60 in the chamber 25 to, for example, process the substrate 30 in an electromagnetically energized plasma gas or a microwave energized gas. Alternatively, the gas may be energized in a remote chamber. During and after processing, an effluent gas stream 100 of spent process gas and gaseous byproducts are exhausted from the process chamber 25 and into the conduit 210 of the catalytic abatement system 200.
The fluorine-containing gas can also be used in a process chamber 25 cleaning process. To abate hazardous and undesirable gases, such as PFC gases, from the effluent 100, an additive gas may be added to the effluent 100. For example, a hydrogen and oxygen containing gas or gases, such as H20, can be added to an effluent 100 containing CF4 to convert the CF4 to C02 and HF. It is believed that this reaction can be shown by:
CF4 + 2H20 - C02 + 4HF
The C02 may be exhausted, and the HF may be disposed of by dissolving it in water; however, it is still highly corrosive and toxic. Hydrogen and oxygen can be used to breakdown other PFCs as well with minor adjustments. For example, it is believed that when C2F6 is reacted with H20 and oxygen the following reaction occurs:
2C2F6 + 6H20 + 02 4C02 + 1 2HF.
Thus, it may be desirable to add gases such as H20 and/or 02 or other reactive gases to the effluent 100 to abate the hazardous gases in the effluent.
It has been discovered that the use of a catalyst is advantageous in encouraging these exemplary abatement reactions. Accordingly, in one version of the invention, a the effluent 100 is passed over a catalyst. The catalyst may be housed in a catalytic reactor 250 through which the effluent 100 with additive gas flows, an example of which is shown in Figure 2. A vacuum pump draws the effluent 100 through the catalytic reactor 250, and optionally, flow controllers such as throttle valves may be used to regulate the flow of effluent through the catalytic reactor 250. In addition, the effluent gas 100 may be mixed upstream with a reactant such as an additive gas or liquid, and the mixture of gases passed through the catalytic reactor 250 through the inlet 251 and out of the outlet 252 to provide abated gas 101 exiting the catalytic reactor that may be exhausted to the atmosphere or easily treated for safe exhaustion. The catalytic reactor 250 comprises a catalytic surface 257 that catalyzes a reaction for reducing the hazardous gas content in the effluent A vacuum pump or other flow control device is located on either side of the catalytic reactor 250 so as to cause effluent 100 to flow through the catalytic reactor 250. Effluent gas 100 may be mixed upstream with a reactant such as an additive gas or liquid, and the effluent 100 and additive gas enters the catalytic reactor 250 through an inlet 251 , passes through the reactor 250 and exits the reactor through an outlet 252. The catalytic surface 257 may be in the form of a structure, such as a ceramic or metal structure, made from catalytic material or supporting a finely divided catalyst, a bed of foam or pellets, or a coating on a wall or component of the catalytic reactor 250. For example, the catalytic surfaces
257 may comprise surfaces of a support structure comprising a honeycomb member with the catalyst embedded therein to form a high surface area member 255 over and through which the effluent 100 passes as it flows from the inlet 251 to the outlet 252. The catalytic surfaces 257 may be on, for example, a structure comprising a ceramic material, such as cordieπte, Al203, alumina-silica, mullite, silicon carbide, silicon nitride, zeolite, and their equivalents; or may comprise a coating of materials, such as Zr02, Al203, Tι02 or combinations of these and other oxides, which may be catalytic. The catalytic surfaces 257 may also be impregnated with catalytic metals, such as Pt, Pd, Rh, Cu, Ni, Co, Ag, Mo, W, V, La or combinations thereof or other materials known to enhance catalytic activity The abated gas 101 exiting the catalytic reactor is safe to be exhausted or is easily treated for safe exhaustion
By passing the effluent 100 over the catalytic surfaces 257, it has been shown that the activation energy of the abatement reactions is reduced thereby increasing the reaction rate. For example, the activation energy for the breakdown of
CF4 may be reduced to about 1 35 kJ/mol by passing the gas over a suitable catalyst. In another example, the activation energy for C2F6 may be lowered to about 98 kJ/mol. The lower levels of activation energy allow for reduced PFC content even at low temperatures, thereby reducing energy consumption, and improving reaction efficiency. Figure 3 shows the temperature and PFC gas destruction relationship for CF4, C2F6, and
C3F8 that was obtained by passing the effluent 100 and additive over the catalytic surface 257. Almost complete abatement of PFCs can be accomplished at or below about 700°C. It is preferable to destroy from about 80 percent to about 100 percent of the hazardous gases, including PFC gases, it is more preferable to destroy from about 90 percent to about 1 00 percent, and it is even more preferable to destroy from about 95 percent to about 1 00 percent, to obtain adequate abatement of the effluent. All three
PFC gases are abated at temperatures below about 1 000°C. Significant abatement occurs below about 800°C. From about 400 to about 800°C, all three of these PFC gases are increasingly abated. CF4 shows adequate abatement when reacted from about 550 to about 700°C and over 95 percent abatement at about 600°C. CF4, C4F8 and C2F6 show about 95 percent abatement or higher at reaction temperatures below about
700°C, and particularly at temperatures of about 700°C. The lower temperatures provided increased efficiency and reduced power consumption. Figure 3 also shows the useful life expectancy of the catalyst. The three curves for each of the gases show respective testing after processing 1 000 substrates, after processing 22,000 substrates, and after processing 53,000 substrates. The similarity of the three curves demonstrates the continued effectiveness of the catalytic surface 257 over extended periods. It is expected that the catalyst may maintain a high destruction efficiency after over 1 00,000 wafers have been processed. The catalyst and catalytic material will therefore have a life of at least 6 months.
In one version, the catalytic reactor 250 is part of a larger catalytic abatement system 200. One embodiment of the catalytic abatement system is shown in Figure 4. A conduit 210 is sealingly engaged to the exhaust system 80 of chamber 25 so that effluent gas 100 comprising hazardous gases, such as PFCs, from process chamber 25 flows into conduit 210. The conduit 210 delivers the effluent 100 through the catalytic abatement system 200. The catalytic abatement system may comprise, in general, a flow regulating system 215, an additive gas supply 220, a heater 240, a catalytic reactor 250, a cooling system 260 and a postscrubber 270.
The flow regulating system 215 comprises a valve 217 on an inlet tube 218 in communication with the conduit 210 to allow air or other non-reactive gases to be introduced into the effluent stream 100 and to allow adjustment of the pressure within the conduit 210. Control of the pressure of the effluent stream 100 may be needed to provide adequate flow rates through the catalytic abatement system 200.
Appropriate additive gases, such as H20 and 02, may be introduced into the effluent 100 by any one of the flow regulating system 21 5, the additive gas supply 220, and/or a prescrubber 230, which will be discussed hereinbelow. For example, a suitable additive gas supply includes a tube 223 for communicating additive gas or fluid from a gas source 222 to the conduit 210 under the control of a valve 224. Additives that react with the hazardous gases in the effluent 100 to abate the hazardous gases are added . For example, in one embodiment, PFCs are chemically broken down by adding H20 to the effluent 100 in a volumetric concentration of from about . 1 % to about 1 0% , and more preferably about 3 percent. Other additive gases, such as oxygen, may also be added to the effluent either as 02 or by adding air or other additives that release oxygen containing species or compounds in the hazardous gas. Alternatively or additionally, N2 can be added To minimize the formation of undesirable products, such as CO, the preferred additives are 02 and/or air. In an alternative embodiment, additives comprising oxygen and/or hydrogen can be introduced into the effluent 100 in a manner that produces HF and COF2.. COF2 is likewise readily water scrubbable. Other additives that can also react with and abate the hazardous content of the effluent may also be used.
Downstream, a heating system, for example heater 240 heats the effluent 100 and additives within conduit 210 to temperatures that promote the catalytic reaction and abate the hazardous gases in the catalytic reactor 250. The heated effluent 100 is passed through the catalytic reactor 250 to abate the content of hazardous gases in the effluent. In one version, the effluent 100 is heated to a temperature of about 700°C to abate PFC gases. As shown in the versions shown in Figures 5a and 5b, the heater 240 has an inlet 241 and an outlet 242 and may comprise laterally extending internal coils 243 or longitudinally extending internal coils 244. The effluent passes directly over and contacts the coils 243,244. Heat is transferred from the coils 243, 244 primarily by convection to the effluent 100 and subsequently by conduction through the effluent 100. The coils may be maintained at a temperature of about 800 to about 870°C. This allows for an inlet 241 to outlet 242 temperature change in the effluent of about 200 to about 250°C. For optimum abatement of PFC gases in the catalytic abatement system 200, a temperature of about 700°C is desired. The effluent 100 can be raised to a temperature of about 700°C by providing multiple heaters 240 in series along the conduit 210 or by forming a loop in the conduit 210 to recirculate the effluent 100 through the heater 240 multiple times.
After passing over the catalytic surface 257 in the catalytic reactor 250, the abated effluent 101 may be cooled before it is scrubbed and exhausted. In one embodiment, the cooling system 260 comprises a fluid cooling system such as a cold water quenching system that sprays cold water to cool the abated effluent 101 , as the effluent passes through the system. Other cooling systems, such as refrigerant systems, may alternatively be used.
The abated effluent 101 may then be introduced into a scrubber 270 having a reactor where the byproducts of the abated effluent 101 are dissolved in a solvent. The type of solvent used in the scrubber depends upon the nature of the byproduct present in the abated gas. For example, acidic byproducts, such as HF or HCI, may be dissolved in water to form an acidic solution that is more easily disposed.
It should be noted that acidic byproducts such as HF may be produced in the abatement system 200. The presence of HF in the effluents 100, 101 may pose safety concerns and handling difficulties because HF is toxic and the operator should avoid contact with the HF. Also, HF is highly corrosive, particularly at elevated temperatures and in the presence of moisture and oxygen. It has been discovered that nickel-based alloys, for example Inconel 600 or 625™ available from Inco Corporation in Huntington, West Virginia, provide excellent corrosion resistance in the catalytic abatement system 200 environment and may be reliably sealable to prevent the unwanted escape of HF from the system. In this embodiment, the catalytic reactor 250 may be welded or otherwise permanently and tightly secured to the inline gas heater 240 to prevent escape of harmful components of the effluent, such as HF.
Figure 6 shows, in general, another embodiment of the catalytic abatement system 200. The embodiment shown in Figure 6 includes a conduit 210, air system
215, additive gas supply 220, heater 240, catalytic reactor 250, cooling unit 260 and scrubber 270. In addition, the Figure 6 embodiment employs a prescrubber 230 that treats the effluent 100 before the effluent is treated in the catalytic reactor 250. The prescrubber, an example of which is shown in Figure 7, includes an inlet 231 for receiving effluent 100 from conduit and an outlet 232 for providing the prescrubbed effluent 100 to the other components of the catalytic abatement system 200. One or more water nozzles 233 extend into a prescrubber reactor 234 to spray water into the effluent 100. The prescrubber includes a filling system 235 and valve 236 for maintaining a volume of a reservoir 237 containing water and reaction products.
The prescrubber 230 is provided to add the additives in conjunction with or as an alternative to the additive gas supply 220. The prescrubber 230 may also be used to treat the effluent 100 before it is introduced into the catalytic reactor 250 to remove gaseous or particulate components of the effluent 100 that can damage the catalytic reactor 250 or make it less effective. For example, when SiF4 is present in the effluent
100, the SiF4 can potentially deactivate the catalyst or form deposits on the catalyst by breaking up in the presence of moisture and depositing silicon. The SiF4 vapor is often generated, for example, during oxide etching processes in the chamber 25. The prescrubber 230 reacts SiF4 with a scrubbing fluid, for example water, to reduce the content thereof in the effluent 100. It is believed that water reacts with the SiF4 vapor as follows:
2H20 + SiF4 — > Si02 + 4HF
The resultant Si02 and HF products are more easily removable from the effluent 100. The HF may be dissolved in water and the Si02 may be removed by filtering. The removal of SιF4 extends the life of the catalytic material in the catalytic reactor 250. The prescrubber 230 may also allow H20 or other such additive gases to be added to the effluent 100 in sufficient quantities to complete the hazardous gas reaction in the catalytic reactor 250
The elimination of SιF4 is important for extending the life of the catalytic material in the catalytic reactor 250, as discussed above. Thus, in one exemplary version, the size and conditions of the prescrubber 230 should be sufficient to remove substantially all of the SιF4 from the effluent It is desirable for at least about 90 percent of the SιF4 to be removed. The life of the catalyst is best extended when more than about 99 percent, and even more than about 99 9 percent of the SιF4 is removed
Figure 8 shows another embodiment of a catalytic abatement system 200. In this embodiment, the system 200 comprises a cross-flow heat exchanger 360 that includes an effluent inlet 361 , and effluent outlet 362, an abated effluent inlet 371 , and an abated effluent outlet 372. The cool and fresh effluent 100 and the heated and abated effluent 101 flow past each other and exchange heat. This raises the temperature of the fresh effluent 100 and also lowers the temperature of the abated effluent 101 . An example of a cross-flow effluent heat exchanger 360 is shown in Figure 9. Effluent 100 enters the heat exchanger 360 through inlet 361 and is dispersed into multiple channels 365. Abated effluent 101 enters the heat exchanger 360 through inlet 371 and is dispersed into multiple channels 375 which are adjacent and capable of transferring heat to channels 365. The abated effluent channels 375 lose heat to the effluent channels 365 and the temperatures of the effluent 100 and the abated effluent 101 are brought closer to one other. An insulating material 378 may surround the heat exchanger 360 to prevent the loss of heat to the atmosphere and to increase the efficiency of the heat exchanger 360. The heat exchanger 360 is also preferably made of nickel-based alloys, such as Inconel, especially for corrosion resistance to the HF; and because it is easily sealable. The heat exchanger improves the efficiency and lowers the power consumption of the catalytic abatement system 200. The heat exchanger 360 has demonstrated up to 70% efficiency. The heat exchanger 360 reduces the number of heaters 240 (or the number of passes through the heater 240) necessary for the effluent 100 to reach the desired temperature in addition to reducing the power consumption of the device.
The catalytic abatement system 200 can be adapted to receive effluent from multiple chambers 25a-25d as shown in Figure 1 0. The multiple chambers 25a-25d may operate in concert or may operate individually. The chambers 25a-25d perform processes that produce effluents 100a-100d that are introduced into respective conduits 210a-d and are combined in conduit 210 for treatment in the catalytic abatement system 200. The air inlet system 21 5 can be used to assure adequate pressure and flow through the catalytic abatement system 200.
All components and temperatures are controllable to assure optimal abatement of hazardous gases, including PFCs in the effluent, and proper functioning of the catalytic abatement system 200, as shown in Figure 1 1 . After passing through the catalytic abatement system 200, the effluent gas is substantially abated of hazardous gases and may be safely exhausted. In the embodiment shown in Figure 1 1 , the catalytic abatement system 200 comprises a control and monitoring system including a controller 400. Pressure detectors, P, and thermometers, T, may be positioned in the catalytic abatement system 200 as shown for example in Figure 1 1 . In addition, a gas analysis probe 405 may be positioned in the abated effluent stream, preferably after the scrubber 270, to analyze the gas content of the abated effluent 101 . The gas analysis probe 405 is in communication with gas analyzer 410. Gas analyzer 410 provides gas analysis data to controller 400. An additional gas analysis probe 405 may be provided in the effluent stream, preferably after the prescrubber 230 to determine, for example, the presence of SiF4 in the effluent 100. The gas analyzer 410 comprises any commercially available gas analyzer, such as for example, the RGA 300 system commercially available from Stanford Research Systems, Sunnyvale, California. A pressure monitor 420 and a temperature monitor 430 are in communication with the pressure detectors and the thermometers, respectively The pressure monitor 420 and the temperature monitor 430 provide data to the controller 400 about the pressure and temperature conditions in the catalytic abatement system 200 The controller 400 controls and adjusts the operation of the catalytic abatement system 200 and of process chamber 25 in accordance with the monitored data.
In operation, the gas analyzer 410 may continuously monitor the hazardous gas content of the effluent emitted from the catalytic abatement system 200 and provides a continuous output signal, or a safety level output signal, that is triggered when the hazardous gas content of the effluent exceeds a safety level. The controller 400 comprises a computer readable medium having computer readable program code embodied therein that monitors the output sιgnal(s) from the gas analyzer and performs at least one of the following steps: (i) adjusts the temperature of the heated effluent, for example by adjusting the heater 240 through a component control system 440,
(II) adjusts the quantity or composition of the additive gas by operating a valve control system 450, (in) adjusts process conditions in the process chamber 25, (iv) terminates a process in the process chamber 25; (v) diverts the flow of effluent from the process chamber 25 away from the catalytic abatement system 200 by causing the valve control system 450 to open diversion valve 480 and close abatement valve 490, and (vi) provides an alarm signal to notify an operator of dangerously high levels of hazardous gas in the effluent or of an inoperative condition in the catalytic abatement system 200 through a monitor 460 or a separate alarm. Similar steps can be taken when undesirable products are detected in the effluent 100 leaving the prescrubber 230. Step (v) above is advantageous in that it allows for the catalytic abatement system 200 to be changed periodically or during inoperativeness without shutting down the substrate processing in the chamber 25.
Additionally, the controller 400 can be designed to continuously monitor the pressures and resulting effluent flows within the catalytic abatement system 200. When a particular pressure reading falls above or below an acceptable level, adjustment can be made through, for example, valve control system 450 or component control system 440 to open or close valves or to alter an exhaust blower 280 to adjust the pressure. The exhaust blower 280 may be a exhaust pump or a ventuπ device, or the like. The controller 400 may also continuously monitor the temperature throughout the catalytic abatement system 200 to maintain an optimum temperature of the effluent 100, 101 .
The controller 400 can adjust the temperature through the component control system 440 that can, for example, adjust the temperature in the heater 240 or the amount of cold water quenching in the cooling system 260.
The controller 400 may operate the process chamber 25 and catalytic abatement system 200 and may comprise a computer program code product that controls a computer comprising one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, California. The CPUs of the controller 400 can also comprise ASIC
(application specific integrated circuits) that operate a particular component of the chamber 25 or the catalytic abatement system 200. The interface 401 between an operator and the controller 400 may be a CRT monitor and a light with a light sensor in the tip of the pen To select a particular screen or function, the operator touches a designated area of the CRT monitor and pushes a button on the pen. The area touched changes its color or a new menu or screen is displayed to confirm the communication between the light pen and the CRT monitor. Other devices, such as a keyboard, mouse or pointing communication device can also be used to communicate with the controller 400.
The computer program code operating the CPU(s) and other devices of the computer can be written in any conventional computer readable programming language, such as for example, assembly language, C, C + + , or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled to a compiler code which is linked with an object code of precompiled windows library routines. To execute the linked and compiled object code, the system user invokes the object code, causing the computer to load the code in memory to perform the tasks identified in the computer program
The computer program code comprises one or more sets of computer instructions that dictate the timing, process gas composition, chamber pressure and temperature, electromagnetic power levels inside the chamber, susceptor positioning, and other parameters of the process chamber 25. The computer program instruction set also controls operation of the catalytic abatement system 200, the flow levels and composition of additive gas introduced through the system, and the alarms and other safety operational modes of the catalytic abatement system 200 or process chamber 25 that are tπggered by a predefined concentration of hazardous gas in the effluent, or by the presence of a toxic hazardous gas even in minute trace levels in the effluent.
A preferred version of the computer program code, as illustrated in Figure 1 2, comprises multiple sets of program code instructions, such as a process selector and sequencer program code 475 that allows an operator to enter and select a process recipe, and that executes operation of the process recipe in a selected process chamber 25, chamber manager program code 480 for operating and managing priorities of the chamber components in the process chamber 25, and effluent abatement program code 485 for operating the catalytic abatement system 200. While illustrated as separate program codes that perform a set of tasks, it should be understood that these program codes can be integrated, or the tasks of one program code integrated with the tasks of another program code to provide a desired set of tasks. Thus the controller 400 and program code described herein should not be limited to the specific embodiment of the program codes described herein, and other sets of program code or computer instructions that perform equivalent functions are within the scope of the present invention.
In operation, a user enters a process set and process chamber number into the process selector program code 475 via the video interface terminal 401 . The process sets are composed of process parameters necessary to carry out a specific process in the chamber 25, and are identified by predefined set numbers. The process selector program code 475 identifies a desired process chamber, and the desired set of process parameters needed to operate the process chamber for performing a particular process. The process parameters include process conditions, such as for example, process gas composition and flow rates, chamber temperature and pressure, plasma parameters such as microwave or RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature.
The process selector program code 475 executes the process set by passing the particular process set parameters to the chamber manager program code 480 which control multiple processing tasks in different process chambers 25a-d according to the process set determined by the process selector program code 475. For example, the chamber manager program code 480 comprises program code for etching a substrate or depositing material on a substrate in the chamber 25. The chamber manager program code 480 controls execution of various chamber component program code instructions sets which control operation of the chamber components. Examples of chamber component control program code include substrate 30 positioning instructions sets that control robot components that load and remove the substrate 30 onto the support 40, process gas control instruction sets that control the composition and flow rates of process gas supplied into the chamber 25, pressure control instruction sets that set the size of the opening of the throttle valve 82, and plasma control instruction sets that control the power level of the plasma activator 60. In operation, the chamber manager program code 480 selectively calls the chamber component instruction sets in accordance with the particular process set being executed, schedules the chamber component instruction sets, monitors operation of the various chamber components, determines which component needs to be operated based on the process parameters for the process set to be executed, and causes execution of a chamber component instruction set responsive to the monitoring and determining steps. The effluent abatement program code 485 comprises program code instruction sets for monitoring the concentration of predefined hazardous gases in the effluent gas stream, and operating the process chamber or gas treatment components in relationship to the hazardous gas content/composition in the effluent gas stream. A preferred structure of the effluent abatement program code 485 comprises (i) gas analyzer program code 490 for receiving the output signals of the hazardous gas content and composition (or safety level output signal) from the gas analysis probe or probes 405 and storing the output signals in an Effluent Gas Composition Table that is periodically surveyed by the other program code instruction sets, (u) pressure control program code 491 for operating the valve control system 450 and/or the component control system
440 to control pressure and flow within the catalytic abatement system in relation to the output signals, (in) additive gas program code 492 for controlling the valve control system 450, (iv) a temperature control program code 493 for controlling the temperature of the effluents 100, 101 , and (v) safety operational program code 493 for monitoring the emission levels of the hazardous gas in the effluent, and adjusting operation of the process chamber 25 and/or the catalytic abatement system 200 to reduce or substantially eliminate the hazardous gas emissions.
The gas analyzer program code 490 monitors the composition or concentration of hazardous gas in the energized effluent as determined by the gas analyzer 410, and receives the output signals of the hazardous gas content and composition (or the safety level output signal) from the gas analysis probe 405. The gas analyzer program code 490 stores the output signals in an Effluent Gas Composition Table that is periodically surveyed by the other program code instruction sets. Alternatively, or in combination with the storage function, the gas analyzer program code
490 passes a safety level output signal to other program code instructional sets, when the hazardous gas content in the effluent gas exceeds a predefined operational safety level. The gas analyzer program code 490 can also be integrated into the gas analyzer 410, instead of being resident in the controller 400. The gas analyzer code 490 may cause adjustments through the valve control system 450 and/or the component control system 440 as discussed above. The pressure control program code 491 includes program code instruction sets for adjusting the pressure in the catalytic abatement system 200 in response to signals passed by the pressure detectors and pressure monitor 420. When a pressure falls above or below a predetermined value, the pressure control program code can control operation of the valves through valve control system 450 or control operation of the exhaust blower 280 through component control system 440 to make the necessary pressure adjustments.
The additive gas program code 492 includes program code instruction sets for controlling the effluent gas composition by controlling the gas, air and/or water supplies through valve control 450. Typically, the additive gas program code 492 adjusts the opening of one or more additive gas valves in response to the output signals passed by the controller 400. In addition, the additive gas program code 492 can operate in conjunction with the gas analyzer program code 490. When an increase in hazardous gas content is detected, the additive gas program code 492 energizes a flow, or increases a flow rate, of reagent gas into the catalytic abatement system 200 to further reduce the hazardous gas emissions.
The temperature control code 493 includes program code that responds to temperature readings to maintain the temperature of the effluents 100,101 at an optimal level for hazardous gas destruction by for example controlling the temperature of the heater 240 through component control system 440 or by controlling the cooling system 260.
The safety operational program code 494 operates in conjunction with the other program code instruction sets to adjust operation of the process chamber components or the gas treatment apparatus in relation to the levels of hazardous gas in the effluent stream to reduce or eliminate the hazardous gas emissions. For example, the safety operational program code 494 can be programmed to shut-down operation of the process chamber 25 upon detection of a predefined concentration of hazardous gas in the exhaust effluent, or of the presence of toxic hazardous gas even in minute trace levels in the effluent. Typically, when toxic gases are used in the processing of the substrate, several safety shut-off valves are on each gas supply line of the gas distributor 72, in conventional configurations. The safety operational program code 493 provides a trigger signal to the process gas control instructions set of the chamber manager program code 480 to close the safety shut-off valves when the concentration of hazardous gas in the effluent reaches a predefined level. Alternatively, the safety operational program code 494 can divert the flow of effluent to the exhaust or to another abatement system, as discussed above. Conversely, when the safety operational program code 494 receives a low or zero emissions level signal from the output of the gas analyzer 410, the program code provides a control signal that instructs the chamber manager program code
480 to continue to operate the process chamber 25 in the current operational mode, and that also instructs the effluent abatement program code 485 to continue to operate the catalytic abatement system 200 in its current operational mode.
In operation, the safety operational program code 494 repeatedly reads the latest effluent σas composition in the Effluent Gas Composition Table, compares the readings to a signal from the mass flow controllers controlling process gas flow into the chamber 25, and sends instructions to adjust the flow rates of the process gas as necessary to reduce or entirely eliminate the hazardous gas emissions in the effluent. Alternatively, the safety operational program code 494 performs these operations when it receives a safety level output signal. Typically, this program code is set to operate when the concentration of hazardous gas in the effluent exceeds a predetermined value, such as a concentration of from about 0.1 % to about 1 0% .
In another example, the safety operational program code 494 can also operate an alarm or an indicator, such as a LED light, to indicate a dangerous level of toxic or hazardous gas in the effluent gas stream; or provide a metering display, such as a graphic real-time image that shows in real time the level of emissions of hazardous gas for monitoring by an operator. This safety feature allows an operator to monitor and prevent accidental emissions of hazardous gas into the atmosphere. The same signal can be used to maintain the processing apparatus 25 in a non-operational mode, or to energize the safety shut-off valves when an unsafe process condition is detected. In this manner, the safety operational program code 494 operates the process chamber and the gas treatment apparatus to provide an environmentally safe apparatus.
Prescrubber
Another embodiment of the prescrubber 230 is shown in Figure 1 3. The prescrubber 230 includes a reactor 231 for receiving the effluent 100 and reacting the effluent 100 with a scrubbing fluid. A hydrolyzer column or channel 310 receives effluent 100 from the conduit 210. The effluent 100 may have been previously mixed with an additive, as discussed above. The hydrolyzer column 310 presents a hydrolytic or humid environment 312 to the effluent 100. This initial exposure to moisture, or other scrubbing fluid, begins the breakdown of the desired component in the effluent 100, for example SιF4. The hydrolyzer column 310 allows for the removal of large scrubbed particles before these large scrubbed particles can clog or deposit in subsequent columns in the prescrubber 230. The combination of the initial moisture exposure, the substantially vertical nature of the column and the downward flow of effluent 100 allows for the removal of these large particles. A hydrolyzer column or channel downstream of a scrubbing fluid dispenser or other direct source of scrubbing fluid would not be effective at removing a substantial amount of, for example, SiF4. A purge gas supply
315 may be provided at or near an inlet 317 to introduce a purge gas, for example air, 02, or N2, to purge the system and/or to prevent upstream hydrolyzation. The inlet may be of a ventuπ type.
The effluent 100 then passes through a first scrubber column or channel
320. A nozzle 322 dispenses scrubbing fluid, for example water, from a source 325 into the stream of effluent 100. In one version, as shown, the dispensing is a spray of water is in a direction which is countercurrent to the flow of gas. By "countercurrent" it is meant that at least a portion of the flow is in a direction opposite to the general direction of the flow of the gas. This arrangement allows for gravity and the flow of water to encourage transport of reactant products, for example silicon dioxide particles and HF, into a reservoir 350. Column 320 may optionally be provided with surface area increasing material 327, for example plastic or ceramic pellets or granules of differing sizes such as for example PVC balls, for increasing the surface area of water/gas contact in the column and thereby encouraging the SiF4 destruction reactions. A platform 328 that is readily permeable to the effluent 100 and the reaction products but impermeable to the surface area increasing material 327 may be provided to contain the surface area increasing material 327 within the first column 320.
The effluent 100 then flows though a conduit 329 to a venturi column or channel 330. A venturi tube 332 dispenses fluid, for example water, from a source 335 into the column 330. A venturi nozzle 333 is located at the end of the venturi tube 332 to inject the water into the venturi column. By "venturi" it is meant a tube with a constricted, throatlike passage that increases the velocity and lowers the pressure of a fluid conveyed through it. By "inject" it is meant that the scrubbing fluid is dispensed through a "venturi. " The venturi 332, 333 of the present invention provides a constriction with a small opening that breaks the water down into small droplets. In one version, the opening of the venturi nozzle is sized from about .1 inch to about 1 .4 inches, more preferably from about .25 inches to about 1 .25 inches, and most preferably from about .5 inches to about 1 inch for a tube 332 having a 1 .5 inch diameter. In the version shown, the flow of water is in the direction of the flow of effluent 100. The venturi column 330 serves to (i) further scrub the effluent by increasing the surface area of water/gas contact by the generation of the droplets, (ii) further transport reaction products to the reservoir 350 by the high speed bombardment of water from the venturi nozzle 333, (iii) provide the additive H20 for destroying PFCs, as discussed above, in the catalytic reactor 250, and (iv) generate negative pressure and thereby effectively supply positive pressure to the effluent 100 to compensate for pressure drops through the prescrubber 230. The resulting net pressure drop through the prescrubber 230 is approximately zero. Optionally, a pressure gain can even be generated. Figure 14 shows an exemplary embodiment of the venturi tube 332 and venturi nozzle which is not intended to limit the invention. For example, the relative sizes and angles of the tube and the opening of the constriction can be modified to adjust or optimize the flow for a desired purpose
A second scrubber column or channel 340 receives the effluent from the venturi column 330. The second scrubber column may include a second spray nozzle
342 for dispensing scrubbing fluid, for example by spraying water, from a source 345 countercurrently into the effluent 100. The second scrubber column 340 may further have surface area increasing material 347 contained on platform 348 which may be similar to surface area increasing material 327 and platform 328 of the first scrubbing column 320. The second scrubbing column 340 provides yet another level of scrubbing the effluent 100 and further serves to transport the reaction products to the reservoir 350. A mist eliminator 355 may be positioned near outlet 357 to remove water droplets from the effluent 100. While about a 3 percent moisture content in the effluent 100 is desired for catalytic treatment, droplets are not desired because they can carry scrubbing product particles that can contaminate the catalyst within the catalytic reactor 250. The mist eliminator 355 removes the droplets, but passes the desired moisture. Mist eliminator 355 may comprise, for example, packed material or a mesh such as a wire mesh.
Each column or channel 310, 320, 330, 340 is designed for about 80 percent to about 90 percent destruction of SιF4 Therefore, if two columns were provided and the effluent 100 passed through two columns, from about 96 percent to about 99 percent of the SιF4 would be removed. Passage through three columns provides from about 99.2 percent to about 99.9 percent removal. Passage through all four columns provides from about 99.8 percent to about 99.99 percent removal.
Qualitative measurements carried out by EPA Method 5 "Determination of Particulars Emissions from Stationary Sources" techniques indicates consistent SiF4 removal efficiency of 99.97 percent or better. Particle measurement determinations indicate that about 80 percent of the particles in the treated effluent 100 range in size from about 1 to about 2.5 μm. Therefore, another level of particle removal may be provided by filter
359, for example a hepa filter, which may be positioned near outlet 357. The hepa filter 359 removes a substantial amount of the reaction product particles that have not been transported into the reservoir 350 The hepa filter 359 is effective for filtering particles greater than about 0 3 m and is therefore ideal for removing these reaction product particles. It should be appreciated that the prescrubber 230 may be provided with any number of columns. Furthermore, it should be appreciated that the "columns" need not necessarily be vertically oriented, but may be channels oriented horizontally, vertically or at any orientation therebetween. Furthermore, the channels need not be parallel. Adjacent channels may be angularly oriented at any angle from zero degrees (i e., substantially parallel and with the effluent flow 100 in opposite directions, as shown in Figure 1 3) to 1 80 degrees (i.e , parallel and with the effluent flow in the same direction).
In one arrangement, the channels have a relative orientation of less than 90 degrees. An overflow drain 370 and a filling unit 376 maintain the reservoir 350 at a desired level.
Figure 1 5 shows a four chamber folded-m embodiment of the prescrubber 230. This folded-in design reduces the space occupied by the prescrubber 230. The prescrubber shown in Figure 1 5 has a cross-sectional area of about 1 ft2 or less. This design allows for easy transport and set up of the device and allows the device to be used in close proximity to the process chamber 25 without obstructing the operation of the process chamber 25.
Another embodiment of the invention is shown in Figure 1 6. In this embodiment a recirculation system 402 recirculates the scrubbing fluid, for example water with reaction products, through the prescrubber 230. The reservoir 350 is first filled to a desired level by filling unit 375 which is connected to a source of scrubbing fluid, for example a water source. The desired level corresponds with a height of overflow dram 370. Pump 406 is then turned on. Pump 406 withdraws fluid from reservoir 350. In the embodiment shown, the fluid in the reservoir is a mixture of water and scrubber reaction products such as silicon dioxide and HF. The fluid passes through outlet 41 1 under the influence of negative pressure generated by the pump 406 and through particulate filter 421 which removes reaction product particles. Particulate filter 421 may comprise filter which is capable of removing particles above from about 2 microns to about 1 mm in diameter. In one version, the particulate filter 421 removes particles sized greater than about 70 microns. The filtered fluid is then pumped via line 431 to fluid nozzles 322, 342 and to venturi tube 332 by lines 432, 434, 433, respectively. The pump 406 also withdraws fresh scrubbing fluid, water in the version shown, from a scrubbing fluid source 441 (i.e., a water source) at a predetermined rate.
The addition of fresh water results in an overflow of reservoir 350 into overflow drain 370 which transports the overflow to an acid drain. The addition of fresh water allows for the maintenance of a predetermined or adjustable pH of reservoir 350. In one version, fresh water is introduced at a rate of from about .25 gallons per minute to about 1 gallon per minute. In one version, fresh water is introduced at about 0.5 gallons per minute, or at a rate sufficient to maintain the pH of the at a level of about 2 to about 3. Alternatively, a pH meter can be provided and a controller can adjust the amount of fresh water introduced in accordance with the measured pH, as will be discussed below.
The recirculation system 402 may be expanded to provide scrubbing fluid to both or either of the cooling unit 260 and the postscrubber 270 as shown in Figure 1 7. The cooling system 260 may be in the form of a water quench with a spray nozzle 261 that sprays water into heated and abated effluent 101 to cool the abated effluent 101 . The postscrubber 270 may be a scrubber that provides scrubbing fluid, for example water, in reactor 272 to remove a constituent from the abated effluent 101 . In one version, the scrubbing fluid is water that is sprayed by nozzle 271 into the abated effluent 101 to remove and dissolve HF, for example.
Pump 406 withdraws scrubbing fluid, for example water with reaction products, in the manner described in connection with Figure 1 6. The water is pumped via line 431 to lines 432, 433, 434 for delivery of water to the nozzles 322, 332, 342, respectively. Additionally, line 431 supplies water to lines 435, 436 leading to postscrubber nozzle 271 and prescrubber nozzle 261 , respectively. The cooling system 260 has a drain 455 in communication with a collection reservoir 265. Similarly, postscrubber 270 has a drain 451 in communication with a collection reservoir 275. The drains 451 , 455 are joined at line 461 which is in communication via line 471 with the prescrubber reservoir 350. To adjust for the pressure differential between the prescrubber 230 and the postscrubber 270 and cooling system 260, a pressure compensation unit 479 is provided. The pressure compensation unit 479 may comprise a gravity drain and a p-trap 481 whereby the height of the water column inside the drain automatically adjusts to the pressure difference between the devices. Alternatively, a pump 482 could be provided to compensate for the pressure differential. Other pressure compensators may similarly be incorporated.
A constant and predetermined amount of fresh scrubbing fluid, for example water, can be added and an equivalent amount of scrubbing fluid can be drained by overflow drain 370. Alternatively, a control system 500 can be provided. The control system 500 includes a pH meter 520 of conventional type that detects the pH level of the scrubbing fluid and reaction products in the reservoir 350, for example. A controller 510, which may be in the form of a central processing unit (CPU), receives and analyzes the data from the pH meter 520 and provides an output signal to a flow controller 530 in accordance with the measured pH. In this way the amount of fresh scrubbing fluid can be adjusted to maintain the pH of the reservoir 350 within a predetermined range. For example, when the pH of the reservoir falls below about 2, the controller 510 may signal flow controller 530 to increase the introduction of water into the system. When the pH rises above about 3, for example, the controller 510 may cause the intake of water to stop. The control system 500 provides a well-controlled scrubbing process and minimizes water usage.
The controller 500 comprises a computer readable medium having computer readable program code embodied therein that monitors the output sιgnal(s) from the pH meter 510. The controller 500 may be incorporated into a system controller, such as the system controller described above. The controller 500 may comprise a computer program code product that controls a computer comprising one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel
Corporation, Santa Clara, California. The CPUs of the controller 500 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the system . An interface between an operator and the controller 500 may be a CRT monitor and a light with a light sensor in the tip of the pen. To select a particular screen or function, the operator touches a designated area of the CRT monitor and pushes a button on the pen. The area touched changes its color or a new menu or screen is displayed to confirm the communication between the light pen and the CRT monitor. Other devices, such as a keyboard, mouse or pointing communication device can also be used to communicate with the controller 500. An operator may be able thereby to input ranges of pH values or flow rates of the scrubbing fluid.
The pH meter may be located within one of the other reservoirs 265, 275 or within one of the lines, for example line 431 , or plural pH meters may be utilized to further monitor the pH of the fluid. Alternatively, or additionally, other scrubbing fluid conditions, such as pressure, temperature, flow rate, and the like can be monitored and used to control the operation of the system 402. The expanded recirculation system 402 presents scrubbing fluid to the prescrubber 230, the cooling system 260 and the postscrubber 270. It should be appreciated that the system 402 can be adapted to one or two of the devices. Additionally, the system 402 can be expanded to provide scrubbing fluid to other devices. A simplified version of the prescrubber 230 is shown in Figure 1 7, but the multicolumn scrubber discussed above can be used. Conversely, the prescrubber 230 of Figure 1 7 can be further simplified to use only a single spray nozzle, for example.
Heater
Another embodiment of a heater or furnace 540 that can be used with the catalytic abatement system 200, instead of or in addition to the heater 240, is shown in Figure 1 8. Furnace 540 (i) reduces the need for numerous passes of effluent 100 therethrough, (ii) is effective to heat the effluent to high temperatures quickly and efficiently, and (iii) heats the effluent 100 without direct contact between the effluent 100 and the heating element. In the version shown in Figure 1 8, the furnace 540 has an inlet 541 for receiving effluent, and an outlet 542 for passing heated effluent, and wall or walls defining a convoluted gas flow path 545 therebetween. External to the gas flow path 545 is an external heating element 550 which may comprise an infrared ceramic heating element, for example. The heating element 550 may be cylindrical or any other shape with a hollow interior 551 or other similar space or cavity that is at least partially covered by the heating element (e.g., the heating element may be opposed plates with a "cavity" therebetween, rather than a continuous ring). Within the hollow interior 551 resides an outer conduit 555, and within the outer conduit 555 reside two inner tubes 560, 565. Together the outer conduit 555 and inner tubes 560, 565 make up a wall that defines and is adjacent to the convoluted gas flow path 545 that increases the effluent 100 residence time within the furnace 540 and increases the heated surface area contacted by the effluent 100 while in the furnace 540. In the arrangement shown in Figure 1 8, effluent 100 enters at an inlet end 561 of inner tube 560, flows the length of the inner tube 560 and empties at an outlet end 562 into outer conduit 555. The effluent 100 is forced by a blockage, for example a closed end 556 on the outer conduit 555, to flow in an opposite direction through a cavity 567 between the inner tubes 560, 565 until the effluent 100 reaches the opposite closed end 557 of the outer conduit 555 where it flows into an inlet end 566 of the second inner tube 565 and out the outlet end 563 of the second inner tube 565 at the outlet 542 of the furnace 540. In the version shown, the outer conduit 555 is smaller than the interior 551 of the heating element 550 so as to provide a gap 570 between the outer conduit 555 and the heating element 550. It is believed that heat is transferred from the heating element 550 to the outer conduit 555 primarily by radiant energy or radiation and that heat is then transferred to the inner tubes 560, 565 primarily by convection and conduction. It is believed that the effluent
100 is heated primarily by convection at the surfaces of the tubes 555, 560, 565. Together the tubes 555, 560, 565 define one version of interconnected channels or interleaved partitions that define a wall which is adjacent to a gas flow path 545.
The following is provided merely for the purpose of providing an exemplary detailed description of the embodiment of Figure 18 and is not intended to limit the invention. The furnace 540 may have a length, L, of about 24 inches and a cross- sectional diameter of about 8.25 inches. The outer conduit 555 may be about 3 inches in diameter, and the inner tubes 560, 565 may be from about 1 inch to about 1 .25 inches in diameter. The gap 570 may be greater than about 2 mm, and perferably from from about 5 mm to about 30 mm. In another version, the gap is not present. The heating element 550 may be a conventional cylindrical heater as available, for example, from Thermal Dynamics Corporation in San Jose, California. The heater may alternatively have any suitable shape. The heating element 550 may operate, in one version, at a power level of 5 kilowatts. The tubes 555, 560, 565 may be made of or coated with Inconel 600™ or 31 6L stainless steel. At least the inner portion of the tubes may be composed of a material that is resistant to corrosion and degradation by the effluent 100, for example Inconel 600™. In one version, the furnace 540 occupies a volume of less than about 2000 in3, and more preferably of less than about 1 300 in3. The embodiment of Figure 1 8 can heat the effluent 100 at least about 400°C in one pass, and in one version from ambient (about 23°C) to about 570°C, and in another version from ambient to a temperature from about 400°C to about 700°C. The exact arrangement shown in Figure 18 is merely exemplary, and can be altered without departing from the invention. A single inner tube could be used, more than two inner tubes could be used, the outer conduit could be replaced by a third inner tube, or the inner tube lengths could be shortened, for example. In one version, the entire convoluted gas flow path 545 is within the heating element 550. In another version a portion of the convoluted gas flow path 545 is within the heating element 550. The heating element 550 may be external to the gas flow path 545 and may completely or partially cover the gas flow path 545. Thus, the heating element 550 may, in one version, be an external plate directly above, below, or to the side of at least a portion of the convoluted gas flow path 545.
The furnace of the present invention is effective to heat the effluent 100 to a high temperature by utilizing one or more of a convoluted gas flow path, an increased residence time of the effluent 100 in the furnace, an increased heated surface area in the furnace, a sufficiently powerful heating element, and a sufficiently long gas flow path. By "convoluted gas flow path" it is meant any flow path within a device, for example a furnace, where the distance traveled by the gas is greater than the length, L, of the device. For example, the serpentine path shown in Figure 1 8 is convoluted. In the version shown in Figure 1 8, the distance traveled by the gas is about 3L. Other versions may have a distance of greater than about 1 .5 L or greater than about 2L. By "increased residence time" it is meant that the gas resides within a device, for example a furnace, for a longer period than if it were to flow the length, L, of the device in an uninterrupted manner. In one version, the residence time of the effluent 100 is less than about 3 seconds, and in another embodiment is less than about 2 seconds. By "increased heated surface area" it is meant any increase in heat transfer surface area, for example convection surfaces, over a smooth single tube.
When used in combination with a heat exchanger, for example the heat exchanger 360 shown in Figure 9, the furnace 540 is particularly effective in heating the effluent in a reduced amount of time and with reduced power consumption. The heat exchanger 360 has demonstrated up to 85 % efficiency. In one version, for example, by operating the heating element 550 at a temperature from about 900°C to about 1 000°C, the effluent 100 can be heated quickly to about 700°C. The heated effluent 100 can then flow through the catalytic reactor 250 and hazardous gas concentration, for example perfluorocompound gas, can be reduced.
Figures 1 9a and 1 9b show additional embodiments of furnaces 540' , 540" having interconnected channels and interleaved partitions that can be used with the catalytic abatement system 200. In the embodiment of Figure 1 9a, furnace 540' provides a wall defining and adjacent to a convoluted gas flow path 545' by providing blockages, such as shelves or other obstructions 580, within the outer conduit 555' that force the effluent 100 to take a tortuous path from the inlet 541 ' to the outlet 542' and thereby increase the residence time and the heated surface area for contacting the gas. Any number of shelves or other obstructions 580 can be provided. In addition, the openings 581 that allow passage of the gas through or around the shelves or other obstructions 580 can be arranged so as to cause the effluent to swirl as it passes through the furnace 540' . The embodiment of the furnace 540" shown in Figure 1 9b is similar to furnace 540' but with angulated shelves or other obstructions 590 that generate an even more tortuous gas flow path 545" . Any number of shelves or other obstructions 590 and any suitable orientation of openings 591 can be provided.
Figures 1 9c and 1 9d show different versions of a furnace 592, 592' where the walls 594, 594' of the heating element 595, 595' form the conduit through which the effluent 100 passes. The gas flow path 593, 593' may be formed either by walls defining and adjacent to a convoluted gas flow path, for example the serpentine path as shown in Figure 1 9c, or by walls defining and adjacent to a non-convoluted gas flow path, as shown in Figure 1 9d. Alternatively, the serpentine path 593 of Figure 1 9c can have more turns than shown and may have less severe or more severe turns than those shown The surfaces of the wails 594, 594' may be composed of or coated with a material that is resistant to corrosion and degradation in the presence of the effluent 100, for example by forming the walls from a nickel alloy as discussed above or by coating the interior surfaces with a nickel based coating . Equivalent materials can be substituted depending on the operating conditions of the furnace. The heating element should be sufficiently long and/or powerful or the flow path should be sufficiently long or convoluted to heat the effluent 100 at least about 400°C from ambient in a single pass. The furnace may heat the effluent 100 at least about 500°C or at least about 600°C. The heating elements 595, 595' may comprise insulation at their peripheries to direct heat inwardly.
Replaceable Catalyst
To assure adequate abatement the catalyst 255 (including catalytic surfaces
257) should be replaced after its effective life span. As discussed above, the catalytic material 255 within the catalytic reactor 250 has an effective life span of over six months or 1 00,000 processes. In the embodiment of the catalytic abatement system 200 shown in Figure 8, the catalytic reactor is securely welded or otherwise permanently and tightly secured to the inline gas heater 240 and to the cross-flow heat exchanger
360 in order to prevent the escape of harmful components of the effluent, for example HF. HF vapor and liquid can be highly damaging to the lungs and other body parts coming into contact therewith. Because of this safety design feature, replacement of the catalytic material 255 requires complete replacement of the catalytic reactor 250, the heater 240 and the heat exchanger 360.
Figures 20-25 illustrate exemplary embodiments of catalytic reactors 250 which simplify catalyst replacement. When used to replace a catalyst in a catalytic abatement system, for example, these embodiments represent a significant savings in time and materials during catalyst replacement and reduced down time of the substrate processing apparatus, while maintaining a safe handling environment for operators of the system .
Figure 20 shows an embodiment of a catalytic reactor 250 containing catalytic material 255 (the catalytic material referring to the catalytic surfaces and/or the high surface area structure) therein. The wall 253 of the catalytic reactor 250 is shown in Figure 20 to be cylindrical, but may be any other suitable shape. The catalytic reactor 250 of Figure 20 comprises two conduits 610, 620 for replacing spent catalytic material 255. Each conduit includes a flange 614, 624 at its remote end . Two conduit caps 630, 635 are provided to seal the conduits 610, 620 during operation of the catalytic reactor 250, such as during an effluent abatement process The caps 630, 635 attach to the conduits 610, 620 by any suitable attachment mechanism For example, bolts may be passed through the flange 614, 624 and into the caps 630, 635. Alternatively, clamps or adhesives may be applied to secure the caps 630, 635 to the flanges 614, 624, or a threaded arrangement or a friction fit between the caps 630, 635 and the conduits 610, 620 can be provided. The conduit caps 630, 635 may include an attachment portion 631 which may be composed of a machinable ceramic, such as aluminum oxide, and an insulating portion 632 which may comprise a ceramic, such as fibrous aluminum oxide to reduce the loss of heat from the catalytic reactor 250 during use. Conduit 620 may be used to vacuum out the catalytic material 255 during a catalyst replacement operation as shown in Figure 21 . Cap 635 is removed and a vacuum hose 640 made of, for example polyethylene or polypropylene, is inserted into or adjacent the conduit 620 in any suitable hose attaching manner. A vacuum generator 650 generates a vacuum or pressure differential sufficient to remove catalytic material
255 from the catalytic reactor 250. The vacuum generator 650 causes catalytic material 255 to be transported through line 640 and/or line 655 from the catalytic reactor 250 to a catalyst collector 660 that is used to temporarily store or dispose of the spent catalytic material . The catalyst collector 660 includes an inlet 661 and outlet 662. Catalytic material 255 is deposited within the catalytic collector 660. After removal of the catalytic material 255 from the catalytic reactor 250, the inlet 661 and the outlet 662 are sealed and the catalyst collector 660 with the spent catalytic material 255 therein may be treated or safely disposed of. A filter 665 may be provided at the outlet 662 to assure that the presence of catalyst 255 or other material is minimized in the exhaust 668. Fresh catalytic material 255 from a catalyst source 670 can then be delivered to the catalytic reactor 250. Cap 635 is returned to conduit 620 and cap 630 is removed from conduit 610. A hose 675 from the catalyst source 670 is inserted into the conduit 610 and catalytic material 255 is delivered in a similar but opposite manner as the removal of spent catalytic material 255.
Alternatively, conduit 620 can be used as the inlet conduit and conduit 610 can be used as the outlet conduit. The removal and delivery processes can be performed separately, as shown, or can be performed in a single operation with both caps 630, 635 removed and both hoses 640, 675 attached to the conduits 610, 620. Also, a single conduit could be used as both the inlet and the outlet conduit, or multiple inlet conduits and/or multiple outlet conduits could be provided. In another version (not shown), one or more conduits 610, 620 may be positioned exterior to the catalytic reactor 250. For example, the conduit 610 may be positioned in the line between the heater 240 and the inlet 251 of the catalytic reactor 250, and conduit 620 may be positioned in the line between the outlet 252 of the catalytic reactor 250 and the heat exchanger 360. In this version, spent catalytic material 255 can be removed through the outlet 252 and then through the conduit 620 and fresh catalytic material can be delivered through conduit 610 and then through the inlet 251 . In like manner, conduit 610 could be used to remove catalytic material 255 and conduit 620 could be used to deliver catalytic material 255 or a single conduit could be provided exterior to the catalytic reactor to both remove and deliver catalytic material 255.
A wand equipped with video camera, for example, can be provided for insertion into the catalytic reactor 250 before, during or after the catalytic material 255 refilling operation for inspection to assure complete removal of the spent catalytic material 255 or compete filling of the fresh catalytic material 255 and/or for inspecting the reactor for corrosion and other defects. It may be desirable to remove all of the spent catalytic material to provide consistent effluent treatment rates. It may also be desirable to remove only a portion of the catalytic material, for example by routinely removing a predetermined percentage of the catalytic material.
Alternatively, the wand may comprise an ultrasonic horn or similar device for breaking down any build up of catalytic material 255 in the reactor 250 or in the conduits 610, 620. The ultrasonic horn emits sound having ultrasonic frequencies. Other devices for breaking up or decoagulating clumped up catalyst, such as a mechanical agitator, may also be used.
Figure 22 shows a sectional top view of another embodiment of a catalytic reactor 250 which is easily releasable and removable from a reactor container 750. The reactor container 750 may be welded or otherwise securely attached at its ends to the heater 240 and to the heat exchanger 360. The reactor container 750 comprises walls
760 which define a cavity 765. The cavity 765 is open or openable, for example at its top, so as to allow the catalytic reactor 250 to be inserted therein and removed therefrom . The reactor container 750 includes a flange 764 for attaching to the flange 254 of the catalytic reactor 250. The flanges may be securely fastened by a clamp, such as a conventional KF style clamp, or by any other suitable attachment. The reactor container walls 760 may comprise grooves 770, 780 for receiving stabilizers 710, 720 on the catalytic reactor 250. As shown in Figure 23, the stabilizers may take the form of parallel plates 710, 720 attached to the wall 253 of the catalytic reactor 250 for sliding engagement within respective grooves 770, 780. The catalytic reactor can be provided with one to several such stabilizers and corresponding grooves, or alternatively, there may be no stabilizer and groove.
When the catalytic material 255 within the catalytic reactor 250 needs replacement, the catalytic abatement system is cooled and purged with N2, for example. An operator may then unclamp and remove the catalytic reactor 250 from the reactor container 750, cap the ends 251 , 252 using caps 790, and safely dispose of the catalytic reactor 250. The caps 790 may, in one version, be similar in design to caps 630, 635. A catalytic reactor 250 housing fresh catalytic material 255 is then placed within the reactor container 750, and the catalytic abatement system 200 is ready to abate hazardous gases from the process chamber effluent 100.
Alternatively, the catalytic reactor 250 with spent catalytic material 255 can be removed from the reactor container 750, and the catalytic material 255 may be removed from the catalytic reactor 250, for example by vacuum . Fresh catalytic material 255 can be delivered to the catalytic reactor 250 which can then be replaced within the reactor container 750.
Figures 24 and 25 show a variation of the embodiment shown in Figures 22 and 23 in which the catalytic reactor 250 and a reactor container 850 are rectangular in The reactor container 850 includes walls 860 defining a cavity 865 for receiving the catalytic reactor 250 and flanges 864 for connecting to the flanges 254 of the catalytic reactor 250. The reactor container 850 includes a rim 870 along its top for clamping, bolting or similarly attaching to a rim 875 extending from a top 256 of the catalytic reactor 250. The catalytic reactor 250 may also comprise slots 880 at its ends 251 , 252 As may best be seen in Figure 25 (note that the flanges have been omitted for clarity) , the slots 880 extend through the top 256 of the catalytic reactor 250 in a manner such that a thin plate 895 may be inserted into a slot opening 885 and through the slot 880 to cover and close the ends 251 , 252 of the catalytic reactor 250. An 0- πng-iike seal 890 may surround the openings 885 to secure the plate 895 in the slot 880 and to prevent leakage.
To replace the catalytic reactor 250, the catalytic abatement system 200 is cooled and purged as discussed above. Plates 895 are slid into slots 880 and the catalytic reactor 250 is removed from the reactor container 850 and safely disposed of A new catalytic reactor 250 with fresh catalytic material 255 therein is then installed within the reactor container 250. The plates may be attachable to the top 256, for example, of the catalytic reactor 250 to cover the openings 885 and/or to prevent misplacement. As in the embodiment of Figures 22 and 23, the spent catalytic material 255 may alternatively be replaced by fresh catalytic material 255 in the catalytic reactor 250 instead of disposing of the catalytic reactor 250.
F2 Abatement
Another exemplary substrate processing apparatus 925 for processing a substrate 30, as illustrated in Figure 26, comprises a chamber 935 such as for example, an chemical vapor deposition (CVD) chamber, such as an SACVD chamber or an HDP CVD chamber, both of which are commercially available from Applied Materials Inc. ,
Santa Clara, California, and generally described in commonly assigned U .S Patent Nos 5,207,836 to Chang; 5,788,778 to Shang et al. ; 5,843,239 to Shrotπya; 6,009,827 to Robles et al. , and 6,01 3,584 to M'Saad, all of which are incorporated herein by reference in their entireties. Such chambers can be used in a multi-chamber integrated process system as for example, described in U.S. Patent No. 4,951 ,601 to Maydan, et al., which is also incorporated herein by reference in its entirety. The particular version of the chamber 935 shown herein, is suitable for processing of substrates 30, such as semiconductor wafers, for example silicon or gallium arsenide wafers or glass or ceramic substrates. The version is provided only to illustrate the invention, and should not be used to limit the scope of the invention. The chamber 935 may be designed, for example, to deposit a silicon- containing layer, such as polysi con, silicon nitride, silicon oxide, or metal si cide, or for depositing a metal layer or a metal-containing layer or any other type of layer on the substrate 30. As shown in Figure 26, the CVD system includes a deposition chamber 935. Inside the chamber 935 is a gas distributor 940 for introducing process gas into the system . In the version shown in Figure 26, the gas distributor 940 is a manifold or showerhead 942. Alternatively, gas inlets extending from the walls 944 or floor 946 of the chamber 935 may be provided . The gas distribution system 940 distributes process gas into a process zone 950 in proximity to the substrate 30. Process gas is delivered to the chamber 935 by supply line 952 from a process gas supply 954 when a gas flow valve 956 is opened under the control of a process controller 958, for example a computer control system.
A support 960 in the chamber 935 supports the substrate 30 thereon. A support electrode 962 may be provided within the support 960. The electrode 962 may be capacitively coupled with an upper electrode 964 above the substrate 30 to energize the process gas in the process zone 950 to form a plasma. In the version shown in Figure 26, the upper electrode 964 is the ceiling of the chamber 935. A power supply 970 supplies power to the upper electrode 964 through a match network 972 and the support electrode 962 is grounded, or vice versa. Typically, RF power is supplied to the electrodes 962, 964. The support 960 may include a heating mechanism 976 which may comprise a resistive heater or a heat transfer gas that circulates heat transfer gas in proximity to the substrate 30. The support 960 may optionally comprise a mechanical or electrostatic chuck (not shown) having a surface adapted to receive the substrate 30. The surface may have grooves in which a heat transfer gas, such as helium, is held to control the temperature of the substrate 30.
During processing, the chamber 935 is evacuated to a low pressure, and a substrate 30 is transferred to the process zone 950 of the chamber 935 from a load lock transfer chamber (not shown) maintained at vacuum. Process gas is introduced into the chamber 935 through the gas supply 954 and gas distributer 940. The gas in the chamber 935 is typically maintained at a low pressure. A plasma is formed in the process zone 950 from the gas. In the chamber 935, the plasma is capacitively generated by applying an RF voltage to the electrode 964. Alternatively, an RF current may be applied to an inductor coil (not shown) to inductively couple energy into the chamber 935 to generate the plasma in the plasma zone 935. The frequency of the RF current applied to the electrode 964 or to the inductor coil (not shown) is typically from about 50 KHz to about 60 MHz, and more typically about 1 3.56 MHz. In another version, the capacitively generated plasma can also be enhanced by electron cyclotron resonance in a magnetically enhanced reactor in which a magnetic field generator, such as a permanent magnet or electromagnetic coils, that provide a magnetic field that may increase the density and uniformity of the plasma in the process zone 950
Effluent 980 comprising process gas and process byproducts is exhausted from the chamber 935 through an exhaust system 982 capable of achieving a minimum pressure of about 1 0 3 mTorr in the chamber 935. The exhaust system 982 comprises an exhaust tube 985 that leads to one or a plurality of pumps, such as roughing and high vacuum pumps, that evacuate the gas in the chamber 25. A throttle valve 987 is provided in the exhaust tube 985 for controlling the pressure of the gas in the chamber 925. Also, an optical endpoint measurement technique is often used to determine completion of the etching process by measuring a change in light emission intensity of a gas species in the chamber 935 or measuring the intensity of light reflected from a layer being processed on the substrate 30.
During operation of the chamber 935 in a typical substrate process, a substrate 30 is placed on the support 960 in the process chamber 935, and a process gas comprising reactive gas is introduced into the process zone 950 through the process gas distributor 940. For example, an insulator or dielectric material such as, for example, Si02 or Sι3N4, may be formed in a chemical vapor deposition (CVD) process using a process gas comprising one or more of SιH4, SιCIH2, C02, H2, N20, Sι(OC2H5)4, NH3 and N2. Additionally or alternatively, a metal-containing material which may comprise an elemental metal, a metal compound or a metal alloy, may be formed in the deposition chamber. For example, a tungsten-containing material may be deposited using a process gas comprising one or more of WF6, H2, and SιH4; a molybdenum-containing material may be formed using, for example, a process gas comprising MoCI5 and H2 or equivalents; or an aluminum-containing material may be formed using, for example, AICI3 or AI(CH3)3 mixed with Ar or H2 or both. The process gas may be energized in the process chamber
935 as described above, or alternatively may be energized in a remote chamber to, for example, process the substrate 30 in an energized plasma gas or a microwave energized gas. The energized reactive gas decomposes and deposits material onto the surface of the substrate 30. During and after processing, an effluent gas stream 100 of spent process gas and gaseous byproducts is exhausted from the process chamber 925.
Although the deposition process is designed to preferentially deposit material onto the substrate 30, material is also deposited onto the surfaces in the chamber 935. To clean the chamber 935 an in situ dry clean process may be periodically performed to clean or etch away the deposition residue from these surfaces. In one version, this cleaning process is carried out by remotely activating or energizing a cleaning gas in a remote chamber 990. A microwave generator 992, as described in U.S. Patent 5,788,778, activates the cleaning gas which is delivered to the remote chamber 990 from a cleaning gas supply 994 when a valve 996 is opened under the control of controller 958. Alternatively, the cleaning gas could be energized inductively or capacitively or could be energized within the process chamber 935. The activated cleaning gas is delivered to the chamber 935 through the gas distributer 940 to clean or etch away the deposition residue in the chamber 935. A flow restπctor may be provided to allow a pressure differential to be provided between the process chamber 935 and the remote chamber 990.
In one version, the cleaning gas may comprise NF3 at a flow of from about 1 to about 2 liters/minute. It is believed that the NF3 breaks down in the remote chamber 990 (or in the process chamber if activated therein) to provide fluorine radicals that etch the silicon containing residues in the chamber 935. A significant percentage, approximately 90% or more of the fluorine, however, combines to form F2 gas that is exhausted in an F2 containing effluent 100. Effluent 100 is compositionally quite different that effluent 80 which is composed primarily of spent process gas and process byproducts F2 containing effluent 100, on the other hand, contains a significant percentage of F2. For example, depending on the process conditions, the F2 concentration in effluent 100 can be more than about 90% . Other processes and/or process conditions can produce effluents 100 having more than about 50% F2, and others can produce effluents 100 having more than about 1 0% F2. Alternatively, the F2 may be generated when using process gas comprising other fluorine-containing gases, such as CF4, C2F6, C3F8, CHF3, SF6, COF2, CH3F, C4F8, CH2F2, C4F6 and the like. Furthermore, the F2 effluent may be generated in a process chamber 935 capable of performing other substrate fabrication processes, such as etching or post etch treatment processes. The effluent may be formed either before, during, or after processing of a substrate. In one version, the effluent is formed after the processing of a substrate or a set of substrates during a chamber cleaning process.
It is desirable to reduce the amounts of F2 present in the effluent 100 before the effluent 100 is exhausted . It has been discovered that passing the effluent 100 over a catalyst reduces the F2-content of the effluent 100. The catalytic abatement may be conducted in the presence of an additive. In one version, the additive comprises hydrogen species and oxygen species, such as, for example, H20, H202, alcohols, hydroxyl containing gases, H2 and 02, and the like. In the presence of a catalyst, the water and F2 convert the easily scrubbable and/or exhaustible HF and oxygen It is believed that the one reaction is:
2F2 + 2H20 + catalyst → 4HF + 02
The 02 may be exhausted, and the HF may be easily disposed of by dissolving it in water. Thus, it has been discovered that F2 containing effluent 100 may be abated with an additive comprising hydrogen species and oxygen species. That the addition of an additive, such as water, to effluent containing F2 provides abatement of the effluent 100 is unexpected . Typically, water, or other oxygen species containing additive, contact with F2 gas is specifically avoided because water reacts with the F2 to form OF2 which is an undesirable gas that needs to be reduced to concentrations less than 50 ppb before it can be released into the environment. However, it has been unexpectedly discovered that in the presence of the catalyst, the OF2 formation is replaced by the HF and 02 formation. In one version, the catalyst may be housed in catalytic reactor 250 through which the F2 containing effluent 100 with additive gas is passed.
In one version, the catalytic reactor 250 is part of a catalytic abatement system 200 In this version, appropriate additive gases, such as H20 and 02, may be introduced into the effluent 100 by any one of the flow regulating system 21 5, the additive gas supply 220, and/or the prescrubber 230. For example, a suitable additive gas supply 220 includes a tube 223 for communicating additive gas or fluid from a gas source 222 to the conduit 210 under the control of a valve 224. Additives that react with the hazardous gases in the effluent 100 to abate the hazardous gases are added. For example, in one version, F2 is chemically broken down by adding H20 to the effluent 100 in a volumetric concentration of from about .2% to about 20% , preferably from about 3.5 % to about 1 0% , and more preferably from about 4% to about 5 % . Other additive gases, such as oxygen, may also be added to the effluent either as 02 or by adding air or other additives that release oxygen containing species or compounds in the hazardous gas. Alternatively or additionally, a non-reactive gas such as N2 can be added, for example as a purge gas to purge the system.
Another version of the prescrubber 230, particularly useful in a catalytic abatement system 200 abating F2, is shown in Figure 27. In this version a recirculation system 402 recirculates the scrubbing fluid, for example water with reaction products, through the prescrubber 230. The reservoir 350 is first filled to a desired level by filling unit 376 which is connected to a source of scrubbing fluid, for example a water source.
The desired level corresponds with a height of overflow drain 370. Pump 406 is then turned on. Pump 406 withdraws fluid from reservoir 350. In the version shown, the fluid in the reservoir is a mixture of water and scrubber reaction products such as silicon dioxide and HF The fluid passes through outlet 41 1 under the influence of negative pressure generated by the pump 406 and through particulate filter 421 which removes reaction product particles. Particulate filter 421 may comprise filter which is capable of removing particles above from about 2 microns to about 1 mm in diameter. In one version, the particulate filter 421 removes particles sized greater than about 70 microns. The filtered fluid is then pumped via line 431 to fluid nozzles 322, 342 and to venturi tube 332 by lines 432, 434, 433, respectively. The pump 406 also withdraws fresh scrubbing fluid, water in the version shown, from a scrubbing fluid source 441 (i.e. , a water source) at a predetermined rate. The addition of fresh water results in an overflow of reservoir 350 into overflow drain 370 which transports the overflow to an acid drain. The addition of fresh water allows for the maintenance of a predetermined or adjustable pH of reservoir 350. In one version, fresh water is introduced at a rate of from about .25 gallons per minute to about 1 gallon per minute. In one version, fresh water is introduced at about 0.5 gallons per minute, or at a rate sufficient to maintain the pH of the scrubbing fluid at a level of about 2 to about 3. Alternatively, a pH meter can be provided and a controller can adjust the amount of fresh water introduced in accordance with the measured pH .
A control system 500 including a programmable controller 510 may be provided to control the operation of the pump 406. The controller 510, which may be in the form of a central processing unit (CPU) , operates the pump 406 in accordance with a set of programmed instructions designed to introduce a predetermined amount of water into the effluent 100. For example, when F2 containing effluent 100 is to be abated, the pumping rate necessary to provide greater than about 2% or from about 2% to about 20% or from about 4% to about 5 % water concentration in the effluent 100 can be selected (as empirically determined) . In another version, a gas analyzer 521 may be provided at the outlet of the prescrubber 230. The controller 510 can be responsive to the output signal from the analyzer 521 and can adjust the operation of the pump 406 in accordance with the output signal to maintain the water concentration at a predetermined level . For example, when the percentage of moisture, or other additive gas, falls below a predetermined level, as determined by analyzer 521 , the controller 510 can automatically adjust the operating speed or the fresh water intake of the pump 406. Alternatively, a gas analyzer (not shown) can be located downstream of the catalytic reactor 250, and the controller 510 can be responsive to an F2 concentration signal from the gas analyzer. For example, the amount of water introduced into the effluent 100 can be increased when the F2 concentration exceeds a predetermined level. The controller 510 comprises a computer readable medium having computer readable program code embodied therein that monitors the output sιgnal(s) from the gas analyzer 521 . The controller 510 may be incorporated into a system controller, as discussed above.
The catalytic abatement system 200 may be a self-contained and integrated unit that is compatible with various process chambers The catalytic abatement system 200 can be used to reduce a large variety of hazardous gases, including substantially all types of PFCs and F2. The catalytic abatement system 200 has no impact on process chamber operation and may be used with any process chamber that exhausts hazardous gases. The catalytic abatement system is convenient to handle and occupies less than 40 cubic feet.
Although the present invention has been described in considerable detail with regard to certain preferred versions thereof, other versions are possible Therefore, the appended claims should not be limited to the description of the preferred versions contained herein.

Claims

What is claimed is:
1 . An apparatus capable of reducing a hazardous gas content of an effluent from a chamber, the apparatus comprising: (a) a chamber capable of processing a substrate in an energized gas, thereby generating an effluent; and
(b) a catalytic reactor to receive the effluent.
2. An apparatus according to claim 1 wherein the catalytic reactor is adapted to reduce a hazardous gas content of the effluent.
3. An apparatus according to claim 1 wherein the catalytic reactor is adapted to reduce a perfiuorocompound content of the effluent.
4. An apparatus according to claim 1 wherein the catalytic reactor is adapted to reduce an F2 content of the effluent.
5. An apparatus according to claim 1 further comprising a scrubber.
6. An apparatus according to claim 1 further comprising a scrubber containing a reactant capable of scrubbing the effluent after the effluent is received in the catalytic reactor.
7. An apparatus according to claim 1 further comprising a scrubber containing a reactant capable of scrubbing the effluent before the effluent is received in the catalytic reactor.
8. An apparatus according to claim 1 further comprising a first scrubber containing a reactant capable of scrubbing the effluent before the effluent has been received in the catalytic reactor and a second scrubber containing a reactant capable of scrubbing the effluent after the effluent has been received in the catalytic reactor.
9. An apparatus according to claim 1 further comprising a source of reactant gas.
1 0. An apparatus according to claim 1 further comprising a heater adapted to heat the effluent.
1 1 . An apparatus according to claim 1 0 wherein the heater is adapted to heat the effluent to a temperature of about 1 00°C to about 1 000°C.
1 2. An apparatus according to claim 1 0 wherein the heater is adapted to heat the effluent to a temperature of about 700°C .
1 3. An apparatus according to claim 1 further comprising a heat exchanger adapted to heat effluent before the effluent is received in the catalytic reactor.
1 4. An apparatus according to claim 1 3 wherein the heat exchanger is adapted to cool effluent after the effluent is received in the catalytic reactor.
1 5. A gas treatment apparatus capable of reducing a hazardous gas content of an effluent from a chamber, the gas treatment apparatus comprising:
(b) a chamber capable of processing a substrate in an energized gas, thereby generating an effluent; and
(b) a scrubber adapted to receive and scrub the effluent.
1 6. An apparatus according to claim 1 5 further comprising a catalytic reactor adapted to receive the effluent and reduce a hazardous gas content of the effluent.
1 7. An apparatus according to claim 1 6 wherein the scrubber is before the catalytic reactor.
1 8. An apparatus according to claim 1 6 wherein the scrubber is after the catalytic reactor.
1 9. An apparatus according to claim 1 5 wherein the scrubber comprises a nozzle capable of spraying reactant into the effluent.
20. An apparatus according to claim 1 5 wherein the scrubber comprises a filter capable of removing a scrubbed product.
21 . An apparatus according to claim 1 5 further comprising a second scrubber containing a reactant.
22. An apparatus according to claim 1 5 further comprising a heater adapted to heat the effluent.
23. A method of treating an effluent to reduce the hazardous gas content therein, the method comprising the steps of:
(a) adding a reactant to the effluent; and
(b) introducing the effluent and reactant into a catalytic reactor, whereby the hazardous gas content of the effluent gas may be reduced.
24. A method according to claim 23 comprising adding a reactant comprising a gas containing oxygen and hydrogen.
25. A method according to claim 23 comprising adding a reactant comprising H20.
26. A method according to claim 23 comprising adding a reactant comprising H20 and an oxygen containing gas.
27. A method according to claim 23 wherein step (b) comprises the step of reacting the effluent with a reactant to reduce a content of perfiuorocompound.
28. A method according to claim 23 further comprising the step of scrubbing the effluent.
29. A method according to claim 23 further comprising the step of prescrubbing the effluent before introducing the effluent into the catalytic reactor.
30. A method according to claim 23 further comprising the steps of prescrubbing the effluent before introducing the effluent into the catalytic reactor and scrubbing the effluent after introducing the effluent into the catalytic reactor.
31 . A method of treating an effluent gas to reduce a perfiuorocompound gas content therein, the method comprising the steps of:
(a) introducing a reactant into the effluent gas; and
(b) introducing the effluent gas and reactant into a catalytic reactor.
32. A method according to claim 31 comprising the step of catalyzing a reaction between the effluent gas and the reactant.
33. A method according to claim 31 comprising introducing a reactant comprising oxygen and hydrogen.
34. A method according to claim 31 further comprising the step of heating the effluent to a temperature of from about 400°C to about 800°C.
35. A method according to claim 31 further comprising the step of heating the effluent to a temperature of less than about 700°C.
36. A method of treating an effluent gas, the method comprising the steps of:
(a) catalyzing a reaction of the effluent gas; and
(b) before or after step (a) scrubbing the effluent gas.
37. A method according to claim 36 further comprising an additional step of scrubbing the effluent.
38. A method according to claim 36 wherein step (b) comprises passing the effluent gas through a water solution.
39. A method according to claim 36 further comprising the step of adding a reactant to the effluent gas.
40. A method according to claim 36 further comprising the step of adding H20 to the effluent gas.
41 . A method according to claim 36 further comprising heating the effluent gas.
42. A gas treatment apparatus capable of reducing a hazardous gas content of an effluent from a chamber, the gas treatment apparatus comprising:
(c) a chamber capable of processing a substrate in an energized gas, thereby generating an effluent; (b) an abatement system adapted to reduce the hazardous gas content of the effluent; and
(c) a controller adapted to control operation of the abatement system.
43. An apparatus according to claim 42 wherein the controller regulates a valve control system that adjusts the flow of effluent through the abatement system.
44. An apparatus according to claim 42 wherein the controller regulates a valve control system that adjusts the flow of a reactant into the abatement system.
45. An apparatus according to claim 42 wherein the controller regulates a valve control system capable of diverting effluent away from the abatement system without interrupting operation of the chamber.
46. A method for reducing a hazardous gas content of an effluent from a chamber, the method comprising: (d) processing a substrate in an energized gas, thereby generating an effluent;
(b) reducing the hazardous gas content of the effluent; and
(c) controlling step (b) by monitoring the effluent.
47. A method according to claim 46 wherein step (c) comprises opening or closing valves to adjust the flow of the effluent.
48. A method according to claim 46 wherein step (c) comprises opening or closing valves to adjust a flow of a reactant into the effluent.
49 An apparatus capable of treating an effluent from a chamber, the apparatus comprising: a chamber capable of processing a substrate in an energized gas, thereby generating an effluent; a first reactor capable of removing a silicon and fluorine component from the effluent; and a second reactor capable of removing a second component of from the effluent.
50. An apparatus according to claim 49 wherein the first reactor is capable of removing SiF4 from the effluent.
51 . An apparatus according to claim 49 wherein the first reactor is capable of removing at least 80% of SiF4 from the effluent.
52. An apparatus according to claim 49 wherein the second reactor is capable of removing a perfiuorocompound from the effluent.
53. An apparatus according to claim 49 wherein the second reactor comprises a catalytic reactor capable of treating the effluent.
54. An apparatus according to claim 49 wherein the first reactor comprises a nozzle capable of dispensing scrubbing fluid in a direction countercurrent to the flow of effluent.
55. An apparatus according to claim 49 wherein the first reactor comprises a venturi nozzle capable of dispensing scrubbing fluid into the effluent.
56. An apparatus according to claim 55 wherein the venturi nozzle is adapted to inject the scrubbing fluid substantially in the direction of the flow of effluent.
57. An apparatus according to claim 49 further comprising a third reactor capable of removing a third component from the effluent.
58. An apparatus according to claim 57 wherein the third reactor is a scrubber.
59. An apparatus capable of treating an effluent from a chamber, the apparatus comprising: a reactor capable of removing a component from the effluent, the reactor comprising an inlet and an outlet; and a heater adapted to be downstream from the outlet.
60. An apparatus according to claim 59 wherein the reactor is capable of removing a silicon-containing component from the effluent.
61 . An apparatus capable of scrubbing an effluent from a chamber, the apparatus comprising: a reactor capable of receiving a flow of effluent; a nozzle capable of dispensing scrubbing fluid into the reactor in a direction countercurrent to the flow of effluent; and a filter capable of removing reaction products from the effluent.
62. An apparatus according to claim 61 further comprising a second nozzle capable of dispensing scrubbing fluid into the reactor substantially in the direction of the flow of effluent.
63. An apparatus according to claim 62 wherein the first and second nozzles are capable of dispensing scrubbing fluid in substantially parallel directions.
64. An apparatus according to claim 63 wherein the second nozzle is a venturi nozzle.
65 An apparatus according to claim 61 further comprising surface area increasing material in the reactor.
66. An apparatus capable of scrubbing an effluent from a chamber, the apparatus comprising: a reactor capable of receiving the effluent; and a venturi nozzle capable of injecting scrubbing fluid into the reactor.
67. An apparatus according to claim 66 wherein the reactor is capable of receiving a flow of effluent and the venturi nozzle is adapted to inject scrubbing fluid in the direction of the flow of effluent.
68. An apparatus according to claim 66 wherein the reactor comprises a plurality of channels and the venturi nozzle is capable of injecting scrubbing fluid into one of the channels.
69. An apparatus capable of scrubbing an effluent from a chamber, the apparatus comprising: a reactor comprising four scrubbing channels.
70. An apparatus capable of scrubbing an effluent from a chamber, the apparatus comprising: a reactor capable of receiving the effluent and scrubbing fluid; a filter; and a pump capable of pumping scrubbing fluid from the reactor and through the filter.
71 . An apparatus according to claim 70 wherein the filter is adapted to remove particles above from about 2 microns to about 1 mm in diameter.
72. An apparatus according to claim 70 wherein the pump is capable of pumping scrubbing fluid from the reactor, through the filter and then back into the reactor.
73. An apparatus according to claim 72 further comprising a scrubbing fluid source and wherein the pump is adapted to pump scrubbing fluid from the scrubbing fluid source into the reactor.
74. An apparatus according to claim 73 further comprising a controller capable of adjusting the amount of scrubbing fluid from the scrubbing fluid source that is pumped into the reactor.
75. An apparatus according to claim 74 further comprising a detector for detecting a condition of the scrubbing fluid in the reactor and wherein the controller is responsive to the detector.
76. An apparatus according to claim 75 wherein the detector is a pH detector.
77. An apparatus capable of scrubbing an effluent from a chamber, the apparatus comprising: a first scrubber comprising a first reactor capable of receiving the effluent; a second scrubber comprising a second reactor capable of receiving the effluent; and a pump capable of pumping scrubbing fluid from the first reactor into the second reactor.
78. An apparatus according to claim 77 further comprising a pressure compensator capable of compensating for pressure differences between the first and second reactors.
79. An apparatus according to claim 77 wherein the pump is further capable of pumping scrubbing fluid from the first reactor back into the first reactor.
80. An apparatus according to claim 77 further comprising a scrubbing fluid source and wherein the pump is adapted to pump scrubbing fluid from the scrubbing fluid source into the second reactor.
81 . An apparatus according to claim 77 further comprising a controller capable of adjusting the amount of scrubbing fluid from the scrubbing fluid source that is pumped into the reactor.
82. An apparatus capable of scrubbing an effluent from a chamber, the apparatus comprising: a reactor capable of receiving the effluent and introducing scrubbing fluid into the effluent; and a detector capable of detecting a condition of the scrubbing fluid or the reactor.
83. An apparatus according to claim 82 further comprising a controller capable of adjusting a property of the scrubbing fluid in response to the detected condition.
84. An apparatus according to claim 83 wherein the detector comprises a pH detector.
85. An apparatus capable of treating an effluent from a chamber, the apparatus comprising: a first reactor capable of receiving the effluent; a second reactor capable of receiving the effluent; and a pressure compensator to compensate for pressure differences between the first and second reactors.
86. A method of treating an effluent from a chamber, the method comprising the steps of:
(a) processing a substrate in a chamber thereby generating an effluent;
(b) removing a silicon and fluorine containing component from the effluent in a first reactor; and
(c) removing a second component from the effluent in a second reactor.
87. A method according to claim 86 wherein step (c) comprises, before or after step (b) , catalyzing a reaction in the effluent to remove a component from the effluent.
88. A method according to claim 86 wherein step (b) comprises dispensing scrubbing fluid into the effluent in a direction countercurrent to the flow of the effluent.
89. A method according to claim 86 wherein step (b) comprises injecting scrubbing fluid into the effluent substantially in the direction of the flow of the effluent.
90. A method of treating an effluent from a chamber, the method comprising the steps of:
(a) removing a component from the effluent in a reactor; and (b) heating the effluent downstream of the reactor.
91 . A method according to claim 90 wherein step (a) comprises removing a silicon-containing component from the effluent.
92. A method of scrubbing an effluent from a chamber, the method comprising the steps of -
(a) introducing a flow of effluent into a reactor;
(b) dispensing scrubbing fluid into the reactor countercurrent to the flow of effluent; and (c) filtering the effluent.
93. A method of scrubbing an effluent from a chamber, the method comprising the steps of:
(a) introducing the effluent into a reactor; and (b) injecting scrubbing fluid into the reactor through a venturi.
94. A method of scrubbing an effluent from a chamber, the method comprising the steps of :
(a) introducing the effluent into a reactor;
(b) dispensing scrubbing fluid in the reactor; and (c) pumping scrubbing fluid from the reactor and through a filter.
95. A method according to claim 94 wherein step (c) comprises pumping the scrubbing fluid back into the reactor.
96. A method of scrubbing an effluent from a chamber, the method comprising the steps of-
(a) introducing the effluent into a first reactor;
(b) introducing the effluent into a second reactor; and
(c) flowing scrubbing fluid from the first reactor to the second reactor.
97. A method according to claim 96 further comprising flowing scrubbing fluid from the first reactor back to the first reactor.
98. A method of scrubbing an effluent from a chamber, the method comprising the steps of -
(a) introducing the effluent into a reactor;
(b) introducing scrubbing fluid into the reactor; and
(c) detecting a condition of the scrubbing fluid or the reactor.
99. A method according to claim 98 further comprising adjusting the scrubbing fluid in response to step (c) .
1 00. A method according to claim 98 wherein step (c) comprises detecting the pH of the scrubbing fluid.
1 01 . A method of treating an effluent from a chamber, the method comprising the steps of:
(a) treating the effluent in a first reactor;
(b) treating the effluent in a second reactor; and (c) compensating for pressure differences between the first and second reactors.
1 02. An effluent gas heating apparatus comprising: an effluent gas inlet; an effluent gas outlet; a wall adjacent a gas flow path; and a heater to heat the wall, whereby effluent gas introduced through the effluent gas inlet is heated while flowing along the gas flow path to the effluent gas outlet.
1 03. An apparatus according to claim 1 02 wherein the gas flow path is sufficiently long to raise the temperature of the effluent gas by at least about 400°C.
1 04. An apparatus according to claim 1 02 wherein the gas flow path is sufficiently long to raise the temperature of the effluent gas in a single pass through the gas flow path
1 05. An apparatus according to claim 1 04 wherein the gas flow path is sufficiently long to heat the effluent gas to a temperature of from about 400°C to about 700°C.
1 06. An apparatus according to claim 1 02 wherein the heater is sufficient to raise the temperature of the effluent gas by at least about 400°C and the effluent gas has a residence time of less than about 3 seconds.
1 07. An apparatus according to claim 1 06 wherein the heater occupies a volume of less than about 1 300 in3.
1 08. An apparatus according to claim 1 02 wherein the gas flow path is convoluted.
1 09. An apparatus according to claim 1 02 wherein the gas flow path is within a plurality of interconnected channels.
1 1 0. An apparatus according to claim 1 02 wherein the gas flow path is defined by interleaved partitions in a conduit.
1 1 1 . An apparatus according to claim 1 02 wherein the wall comprises an inner surface resistant to degradation by the effluent gas.
1 1 2. An apparatus according to claim 1 02 wherein the wall is spaced apart from the heater by at least about 2 mm.
1 1 3. A substrate processing apparatus comprising: a chamber capable of processing the substrate in an energized gas, thereby generating an effluent gas; a furnace comprising an effluent gas inlet, an effluent gas outlet, a wall adjacent a gas flow path, and a heater to heat the wall, whereby effluent gas supplied through the effluent gas inlet may be heated by the heater while flowing along the gas flow path to the effluent gas outlet.
1 14. An apparatus according to claim 1 1 3 wherein the furnace has a longitudinal length, L, and the gas flow path has a length from the inlet to the outlet of at least about 1 .5L.
1 1 5. An apparatus according to claim 1 1 3 wherein the gas flow path is convoluted.
1 1 6. An apparatus according to claim 1 1 3 further comprising a catalytic reactor adapted to receive the effluent gas after the effluent gas has been heated by the furnace.
1 1 7. An apparatus according to claim 1 1 3 further comprising a heat exchanger adapted to receive the effluent gas.
1 1 8 A method of processing a substrate and treating an effluent gas, the method comprising the steps of:
(a) processing the substrate in a process zone, thereby generating the effluent gas; (b) passing the effluent gas through a gas flow path; and
(c) heating the effluent gas to a predetermined temperature in a single pass of the effluent gas through the gas flow path.
1 1 9. A method according to claim 1 1 8 comprising passing the effluent gas through the gas flow path so that the effluent gas has a residence time in the gas flow path of less than about 3 seconds.
1 20. A method according to claim 1 1 8 comprising passing the effluent gas through a convoluted gas flow path.
1 21 . An apparatus capable of treating an effluent gas from a chamber, the apparatus comprising: a catalytic reactor having an effluent gas inlet, an effluent gas outlet, and an interior adapted to contain catalytic material; and an opening into the catalytic reactor, the opening adapted to remove or deliver catalytic material.
1 22. An apparatus according to claim 1 21 further comprising a cover adapted to cover the opening.
1 23. An apparatus according to claim 1 21 further comprising a second opening into the catalytic reactor, the second opening also adapted to remove or deliver catalytic material.
1 24. An apparatus according to claim 1 21 further comprising a vacuum system connectable to the opening.
1 25. An apparatus according to claim 1 21 further comprising a source of catalytic material that is connectable with the opening .
1 26. An apparatus according to claim 1 21 wherein the opening is located between the effluent gas inlet and the effluent gas outlet.
1 27. A method of removing catalytic material from a reactor capable of receiving effluent gas from a chamber, the method comprising the steps of:
(a) providing an opening into the reactor; and (b) removing at least a portion of the catalytic material through the opening.
1 28. A method according to claim 1 27 further comprising the step of filling at least a portion of the reactor with catalytic material after or during step (b) .
1 29. A method according to claim 1 27 further comprising the step of filling at least a portion of the reactor with catalytic material through a second opening after or during step (b) .
1 30. A method according to claim 1 27 wherein step (b) comprises removing at least a portion of the catalytic material by vacuum.
1 31 . An apparatus capable of treating an effluent gas from a chamber, the apparatus comprising:
(a) a catalytic reactor having an effluent gas inlet and an effluent gas outlet; and (b) a reactor container adapted to releasably contain the catalytic reactor.
1 32. An apparatus according to claim 1 31 wherein the reactor container comprises an effluent gas inlet and an effluent gas outlet.
1 33. An apparatus according to claim 1 31 wherein the catalytic reactor comprises a fin or flange receivable within a groove in the reactor container.
1 34. An apparatus according to claim 1 31 further comprising a cover adapted to cover the effluent gas inlet or the effluent gas outlet.
1 35. An apparatus according to claim 1 31 wherein the catalytic reactor comprises a slot near the effluent gas inlet or the effluent gas outlet and a cover receivable in the slot to cover the effluent gas inlet or the effluent gas outlet.
1 36. A method of removing a catalyst from a system for treating effluent gas from a chamber, the method comprising the steps of 1
(a) containing the catalyst in a container; and
(b) removing the catalyst from the container.
1 37. An apparatus according to claim 1 36 wherein step (a) comprises containing a catalytic reactor in the container, the catalytic reactor having the catalyst therein.
1 38. An apparatus according to claim 1 36 wherein step (a) comprises containing the catalyst in a catalytic reactor and step (b) comprises removing the catalyst through an opening in the catalytic reactor.
1 39 An apparatus capable of treating an effluent from a chamber, the apparatus comprising:
(a) a chamber capable of processing a substrate in an energized gas, thereby generating an effluent; and
(b) a catalytic reactor comprising an effluent gas inlet and an effluent gas outlet and catalytic material therebetween, wherein the catalytic material is removable from the apparatus.
1 40 An apparatus according to claim 1 39 further comprising a heater capable of heating the effluent.
1 41 An apparatus according to claim 1 40 wherein the catalytic material is separable from the heater.
142. An apparatus according to claim 1 40 wherein the catalytic reactor is separable from the heater.
1 43. An apparatus capable of treating an effluent from a chamber, the apparatus comprising:
(a) a chamber capable of processing a substrate in an energized gas, thereby generating an effluent;
(b) a catalytic reactor comprising an effluent gas inlet and an effluent gas outlet and an interior adapted to hold catalytic material therein; and
(c) means for removing or delivering catalytic material to or from the catalytic reactor
144. An apparatus according to claim 1 43 wherein the means for removing or delivering catalytic material comprises an opening in the catalytic reactor.
145. An apparatus according to claim 1 43 wherein the means for removing or delivering catalytic material comprises a separable connector between the chamber and the catalytic reactor.
146. A method of treating an effluent gas from a chamber, the method comprising the steps of: (a) processing a substrate in an energized gas, thereby generating an effluent gas;
(b) catalyzing a reaction in the effluent to treat the effluent gas in a catalytic zone; and
(c) removing catalytic material from the catalytic zone.
147. A method according to claim 1 46 further comprising the step of heating the effluent gas.
1 48. A method according to claim 1 46 further comprising delivering catalytic material into the catalytic zone.
1 49. A method of forming and treating an effluent gas from a process chamber, the method comprising : before, during, or after processing a substrate in the process chamber, introducing a process gas into the process chamber and forming an effluent gas comprising F2 gas; and passing the effluent gas over a catalyst and thereby reducing the content of the F2 gas in the effluent gas.
1 50. A method according to claim 1 49 comprising setting process conditions in the process chamber to generate an effluent gas having an F2 concentration of at least about 1 0% .
1 51 . A method according to claim 149 further comprising introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species.
1 52. A method of forming and treating an effluent gas from a process chamber, the method comprising: before, during, or after processing a substrate in the process chamber, introducing a process gas into the process chamber and forming an effluent gas comprising F2 gas; and introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species and thereby reducing the content of the F2 gas in the effluent
1 53. A method according to claim 1 52 comprising setting process conditions in the process chamber to generate an effluent gas having an F2 concentration of at least about 1 0% .
1 54. A method according to claim 1 52 wherein the volume percent of additive in the effluent gas is greater than about 2% .
1 55. A method of forming and treating an effluent gas from a process chamber, the method comprising: before, during, or after processing a substrate in the process chamber, introducing a process gas into the process chamber and forming an effluent gas comprising F2 gas; heating the effluent gas; and passing the effluent gas over a catalyst, thereby reducing the content of the F2 gas in the effluent.
1 56. A method according to claim 1 55 comprising setting process conditions in the process chamber to generate an effluent gas having an F2 concentration of at least about 1 0% .
1 57. A method according to claim 1 55 further comprising introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species.
1 58. A method of cleaning a process chamber, the method comprising: introducing a cleaning gas into the process chamber and forming an effluent gas comprising F2; and passing the effluent gas over a catalyst, thereby reducing the content of the F2 gas in the effluent.
1 59. A method according to claim 1 58 wherein the cleaning gas comprises NF3.
1 60. A method according to claim 1 58 further comprising energizing the cleaning gas in a remote chamber.
1 61 . A method according to claim 1 58 further comprising introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species.
1 62. A method of forming and treating an effluent gas from a process chamber, the method comprising: introducing a gas into the process chamber to process a substrate or clean the process chamber; forming an effluent gas comprising F2, introducing an additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species; passing the effluent gas over a catalyst, thereby forming HF; and introducing H20 into the effluent gas to dissolve the HF.
1 63. A method according to claim 1 62 wherein the process gas comprises a cleaning gas comprising NF3.
1 64 A method according to claim 1 62 further comprising energizing the cleaning gas in a remote chamber.
1 65. A substrate processing apparatus comprising: a source of process gas; a process chamber capable of performing a process with the process gas and forming an effluent gas comprising F2 gas; and a catalytic reactor adapted to treat the effluent gas to reduce the F2 content thereof.
1 66. An apparatus according to claim 1 65 further comprising a source of additive capable of introducing additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species.
1 67 A substrate processing apparatus comprising: a process chamber capable of performing a process with process gas and forming an effluent gas comprising F2 gas; and an additive source capable of introducing an additive into the effluent gas to reduce the F2 content of the effluent gas, the additive comprising a hydrogen species and an oxygen species.
1 68. An apparatus according to claim 1 67 further comprising a controller adapted to control the addition of the hydrogen species and the oxygen species into the effluent gas.
1 69. An apparatus according to claim 1 68 wherein the controller is adapted to maintain the volume percent of additive in the effluent gas of at least about 2%.
PCT/US2000/020323 1999-07-28 2000-07-26 Method and apparatus for catalytic conversion of fluorinated compounds in gases WO2001008786A1 (en)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US36330299A 1999-07-28 1999-07-28
US09/363,302 1999-07-28
US43511999A 1999-11-05 1999-11-05
US09/435,119 1999-11-05
US49332800A 2000-01-28 2000-01-28
US49330700A 2000-01-28 2000-01-28
US09/493,307 2000-01-28
US09/493,328 2000-01-28
US09/607,918 2000-06-29
US09/607,918 US6468490B1 (en) 2000-06-29 2000-06-29 Abatement of fluorine gas from effluent

Publications (1)

Publication Number Publication Date
WO2001008786A1 true WO2001008786A1 (en) 2001-02-08

Family

ID=27541284

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/020323 WO2001008786A1 (en) 1999-07-28 2000-07-26 Method and apparatus for catalytic conversion of fluorinated compounds in gases

Country Status (1)

Country Link
WO (1) WO2001008786A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1609520A1 (en) * 2001-10-10 2005-12-28 Ebara Corporation Method and apparatus for treating exhaust gases containing fluorine-containing compounds
WO2008072006A1 (en) 2006-12-14 2008-06-19 Edwards Limited Method of treating a gas stream
WO2023156782A1 (en) * 2022-02-17 2023-08-24 Edwards Limited Abatement apparatus and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19526737A1 (en) * 1995-07-21 1997-01-23 Werkstoffpruefung Mbh Ges Fluorine cpd. removal from gaseous mixts.
US5649985A (en) * 1995-11-29 1997-07-22 Kanken Techno Co., Ltd. Apparatus for removing harmful substances of exhaust gas discharged from semiconductor manufacturing process
EP0885648A1 (en) * 1997-06-20 1998-12-23 Hitachi, Ltd. A treatment method for decomposing fluorine compounds, and catalyst and apparatus therefor
EP0916388A2 (en) * 1997-11-14 1999-05-19 Hitachi, Ltd. A method for processing perfluorocarbon and an apparatus therefor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19526737A1 (en) * 1995-07-21 1997-01-23 Werkstoffpruefung Mbh Ges Fluorine cpd. removal from gaseous mixts.
US5649985A (en) * 1995-11-29 1997-07-22 Kanken Techno Co., Ltd. Apparatus for removing harmful substances of exhaust gas discharged from semiconductor manufacturing process
EP0885648A1 (en) * 1997-06-20 1998-12-23 Hitachi, Ltd. A treatment method for decomposing fluorine compounds, and catalyst and apparatus therefor
EP0916388A2 (en) * 1997-11-14 1999-05-19 Hitachi, Ltd. A method for processing perfluorocarbon and an apparatus therefor

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1609520A1 (en) * 2001-10-10 2005-12-28 Ebara Corporation Method and apparatus for treating exhaust gases containing fluorine-containing compounds
US7556787B2 (en) 2001-10-10 2009-07-07 Ebapa Corporation Method and apparatus for treating exhaust gases containing fluorine-containing compounds
WO2008072006A1 (en) 2006-12-14 2008-06-19 Edwards Limited Method of treating a gas stream
RU2444398C2 (en) * 2006-12-14 2012-03-10 Эдвардс Лимитед Method of processing gas flow
AU2007331291B2 (en) * 2006-12-14 2012-10-04 Edwards Limited Method of treating a gas stream
US8475563B2 (en) 2006-12-14 2013-07-02 Edwards Limited Method of treating a gas system
KR101454940B1 (en) 2006-12-14 2014-10-27 에드워즈 리미티드 Method of treating a gas stream
WO2023156782A1 (en) * 2022-02-17 2023-08-24 Edwards Limited Abatement apparatus and method

Similar Documents

Publication Publication Date Title
US6468490B1 (en) Abatement of fluorine gas from effluent
US7141221B2 (en) Apparatus for processing perfluorocarbon
US6689252B1 (en) Abatement of hazardous gases in effluent
US6824748B2 (en) Heated catalytic treatment of an effluent gas from a substrate fabrication process
US7790120B2 (en) Apparatus and method for controlled decomposition oxidation of gaseous pollutants
KR100847916B1 (en) Effluent gas stream treatment device and mehod having utility for oxidation treatment of semiconductor manufacturing effluent gases
KR100481256B1 (en) Apparatus and method for point-of-use treatment of effluent gas streams
JP2001502604A (en) Exhaust flow treatment system for oxidation of semiconductor manufacturing exhaust
US20010048902A1 (en) Treatment system for removing hazardous substances from a semiconductor process waste gas stream
JP3217034B2 (en) Perfluorinated compound processing method and apparatus
US8231851B2 (en) Method for processing perfluorocarbon, and apparatus therefor
JP4174396B2 (en) Exhaust gas introduction structure and exhaust gas treatment apparatus using the structure
WO2001008786A1 (en) Method and apparatus for catalytic conversion of fluorinated compounds in gases
US20110206582A1 (en) Gas scrubbing apparatus and gas scrubbing method
KR20030080447A (en) Gas scrubber
JP3817428B2 (en) Perfluoride decomposition equipment
TW553761B (en) Treatment of process gas effluent

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP