WO2001033300A3 - High precision orientation alignment and gap control stages for imprint lithography processes - Google Patents

High precision orientation alignment and gap control stages for imprint lithography processes Download PDF

Info

Publication number
WO2001033300A3
WO2001033300A3 PCT/US2000/030041 US0030041W WO0133300A3 WO 2001033300 A3 WO2001033300 A3 WO 2001033300A3 US 0030041 W US0030041 W US 0030041W WO 0133300 A3 WO0133300 A3 WO 0133300A3
Authority
WO
WIPO (PCT)
Prior art keywords
high precision
imprint lithography
template
gap control
substrate
Prior art date
Application number
PCT/US2000/030041
Other languages
French (fr)
Other versions
WO2001033300A2 (en
Inventor
Byung Jin Choi
Sidlgata V Sreenivasan
Stephen C Johnson
Original Assignee
Regents Board Of
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Regents Board Of filed Critical Regents Board Of
Priority to JP2001535125A priority Critical patent/JP2003517727A/en
Priority to AU14488/01A priority patent/AU1448801A/en
Priority to EP00976753.4A priority patent/EP1240550B1/en
Publication of WO2001033300A2 publication Critical patent/WO2001033300A2/en
Publication of WO2001033300A3 publication Critical patent/WO2001033300A3/en
Priority to HK03101591.8A priority patent/HK1049521A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Abstract

Processes and associated devices for high precision positioning of a template and substrate during imprint lithography includes a calibration system with a course calibration stage and a fine orientation stage capable of maintaining a uniform gap between the template and substrate. The fine orientation stage includes a pair of flexure members having flexure joints for motion about a pivot point intersected by first and second orientation axes. Actuators lengthen or shorten to expand or contract the flexure members. Separation of the template is achieved using a peel-and-pull method that avoids destruction of imprinted features from the substrate.
PCT/US2000/030041 1999-10-29 2000-10-30 High precision orientation alignment and gap control stages for imprint lithography processes WO2001033300A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001535125A JP2003517727A (en) 1999-10-29 2000-10-30 High precision orientation alignment and gap control devices for imprint lithography
AU14488/01A AU1448801A (en) 1999-10-29 2000-10-30 High precision orientation alignment and gap control stages for imprint lithography processes
EP00976753.4A EP1240550B1 (en) 1999-10-29 2000-10-30 A process for separating a template from a substrate during imprint lithography, and associated imprint lithography process
HK03101591.8A HK1049521A1 (en) 1999-10-29 2003-03-04 High precision orientation alignment and gap control stages for imprint lithography processes

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16239299P 1999-10-29 1999-10-29
US60/162,392 1999-10-29
US09/698,317 2000-10-27
US09/698,317 US6873087B1 (en) 1999-10-29 2000-10-27 High precision orientation alignment and gap control stages for imprint lithography processes

Publications (2)

Publication Number Publication Date
WO2001033300A2 WO2001033300A2 (en) 2001-05-10
WO2001033300A3 true WO2001033300A3 (en) 2002-01-24

Family

ID=26858716

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/030041 WO2001033300A2 (en) 1999-10-29 2000-10-30 High precision orientation alignment and gap control stages for imprint lithography processes

Country Status (6)

Country Link
US (8) US6873087B1 (en)
EP (3) EP2315076A1 (en)
JP (3) JP2003517727A (en)
AU (1) AU1448801A (en)
HK (1) HK1049521A1 (en)
WO (1) WO2001033300A2 (en)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7432634B2 (en) * 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
WO2002008835A2 (en) * 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US7387508B2 (en) * 2004-06-01 2008-06-17 Molecular Imprints Inc. Compliant device for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7018575B2 (en) 2001-09-28 2006-03-28 Hrl Laboratories, Llc Method for assembly of complementary-shaped receptacle site and device microstructures
WO2003030252A2 (en) * 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
US7253091B2 (en) 2001-09-28 2007-08-07 Hrl Laboratories, Llc Process for assembling three-dimensional systems on a chip and structure thus obtained
US6974604B2 (en) 2001-09-28 2005-12-13 Hrl Laboratories, Llc Method of self-latching for adhesion during self-assembly of electronic or optical components
AU2003217184A1 (en) * 2002-01-11 2003-09-02 Massachusetts Institute Of Technology Microcontact printing
EP1512049A1 (en) 2002-06-07 2005-03-09 Obducat AB Method for transferring a pattern
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
MY164487A (en) * 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP2006516065A (en) * 2002-08-01 2006-06-15 モレキュラー・インプリンツ・インコーポレーテッド Scatter measurement alignment for imprint lithography
US6887792B2 (en) 2002-09-17 2005-05-03 Hewlett-Packard Development Company, L.P. Embossed mask lithography
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7223635B1 (en) 2003-07-25 2007-05-29 Hrl Laboratories, Llc Oriented self-location of microstructures with alignment structures
JP3889386B2 (en) * 2003-09-30 2007-03-07 株式会社東芝 Imprint apparatus and imprint method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
JP2005153113A (en) * 2003-11-28 2005-06-16 Ricoh Opt Ind Co Ltd Method for manufacturing fine three-dimensional structure by means of nano-printing and fine three-dimensional structure
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
KR100585951B1 (en) * 2004-02-18 2006-06-01 한국기계연구원 A construction/separation type individually actuating imprinting apparatus
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
WO2005093737A1 (en) * 2004-03-29 2005-10-06 Pioneer Corporation Pattern transfer apparatus and pattern transfer method
DE102004028851B4 (en) * 2004-03-31 2006-04-13 Infineon Technologies Ag Method and device for measuring a surface profile of a sample
EP1594001B1 (en) * 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
US7622847B2 (en) * 2004-05-20 2009-11-24 Japan Science And Technology Agency Method and device for precisely resisting and moving high load
US7504268B2 (en) 2004-05-28 2009-03-17 Board Of Regents, The University Of Texas System Adaptive shape substrate support method
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
EP1774407B1 (en) * 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
JP4574240B2 (en) 2004-06-11 2010-11-04 キヤノン株式会社 Processing apparatus, processing method, device manufacturing method
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
JP4458958B2 (en) * 2004-07-01 2010-04-28 独立行政法人理化学研究所 Fine pattern forming method and fine pattern forming apparatus
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7492440B2 (en) * 2004-09-09 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4904742B2 (en) * 2004-09-16 2012-03-28 旭硝子株式会社 Pattern forming method and article having pattern
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
JP4979918B2 (en) * 2004-09-24 2012-07-18 ボンドテック株式会社 Pressurizing method and pressurizing apparatus
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
CN100395121C (en) * 2004-11-19 2008-06-18 鸿富锦精密工业(深圳)有限公司 Hot-press printing method
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
JP5198071B2 (en) * 2004-12-01 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド Exposure method for thermal management in imprint lithography process
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
JP2006165371A (en) * 2004-12-09 2006-06-22 Canon Inc Transfer apparatus and device manufacturing method
US7363854B2 (en) * 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7399422B2 (en) * 2005-11-29 2008-07-15 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US7410591B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method and system for making a nano-plate for imprint lithography
US7409759B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method for making a computer hard drive platen using a nano-plate
US7331283B2 (en) * 2004-12-16 2008-02-19 Asml Holding N.V. Method and apparatus for imprint pattern replication
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060177532A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
JP4500183B2 (en) * 2005-02-25 2010-07-14 東芝機械株式会社 Transfer device
US20060195765A1 (en) * 2005-02-28 2006-08-31 Texas Instruments Incorporated Accelerating convergence in an iterative decoder
KR100688866B1 (en) 2005-04-07 2007-03-02 삼성전기주식회사 Apparatus, system and method of imprint
US9180102B2 (en) * 2005-05-06 2015-11-10 Board Of Regents, The University Of Texas System Methods for fabricating nano and microparticles for drug delivery
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
JP4595120B2 (en) * 2005-05-27 2010-12-08 独立行政法人産業技術総合研究所 Imprint method and apparatus by back surface pressurization
GB2426486A (en) * 2005-05-27 2006-11-29 Microsaic Systems Ltd Self-aligning micro-contact print engine
JP3958344B2 (en) 2005-06-07 2007-08-15 キヤノン株式会社 Imprint apparatus, imprint method, and chip manufacturing method
JP4290177B2 (en) 2005-06-08 2009-07-01 キヤノン株式会社 Mold, alignment method, pattern forming apparatus, pattern transfer apparatus, and chip manufacturing method
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
KR100674440B1 (en) * 2005-08-12 2007-01-25 주식회사 파이컴 Probe card manufacture method and device
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
EP1949147B1 (en) * 2005-11-18 2012-03-21 Nanocomp Oy Ltd. Method of producing a diffraction grating element
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
CN104317161A (en) * 2005-12-08 2015-01-28 分子制模股份有限公司 Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1958025B1 (en) * 2005-12-08 2011-05-18 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
KR100898793B1 (en) * 2005-12-29 2009-05-20 엘지디스플레이 주식회사 Substrates bonding device for manufacturing of liquid crystal display
US8015510B2 (en) 2006-02-17 2011-09-06 Mentor Graphics Corporation Interconnection modeling for semiconductor fabrication process effects
JP4845564B2 (en) * 2006-03-31 2011-12-28 株式会社東芝 Pattern transfer method
US7462028B2 (en) * 2006-04-03 2008-12-09 Molecular Imprints, Inc. Partial vacuum environment imprinting
JP5306989B2 (en) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド Method for simultaneously patterning a substrate having a plurality of fields and alignment marks
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
JP2007329276A (en) 2006-06-07 2007-12-20 Tokyo Ohka Kogyo Co Ltd Method for forming resist pattern by nanoimprint lithography
JP4810319B2 (en) * 2006-06-09 2011-11-09 キヤノン株式会社 Processing apparatus and device manufacturing method
JP4939134B2 (en) * 2006-07-07 2012-05-23 株式会社日立ハイテクノロジーズ Imprint apparatus and imprint method
JP5027468B2 (en) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 Probe cleaning or probe processing sheet and probe processing method
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
GB2444322B (en) 2006-11-30 2009-03-04 Cinetic Landis Grinding Ltd Apparatus for applying a controlled force to an article
JP4478164B2 (en) * 2007-03-12 2010-06-09 株式会社日立ハイテクノロジーズ MICROSTRUCTURE TRANSFER APPARATUS, STAMPER, AND MICROSTRUCTURE MANUFACTURING METHOD
KR20080096901A (en) * 2007-04-30 2008-11-04 삼성전자주식회사 Imprint method and method of manufacturing a display substrate by using the imprint method
JP2010537395A (en) * 2007-05-30 2010-12-02 モレキュラー・インプリンツ・インコーポレーテッド Template with silicon nitride, silicon carbide, or silicon oxynitride film
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US7490797B1 (en) * 2007-07-26 2009-02-17 Hung Hi Law Portable supporting apparatus
JP5173311B2 (en) * 2007-08-09 2013-04-03 キヤノン株式会社 Imprint method, imprint apparatus, and semiconductor manufacturing method
US20090053535A1 (en) * 2007-08-24 2009-02-26 Molecular Imprints, Inc. Reduced Residual Formation in Etched Multi-Layer Stacks
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
JP5727788B2 (en) * 2007-11-21 2015-06-03 モレキュラー・インプリンツ・インコーポレーテッド Porous templates and imprint stacks for nanoimprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US20090133751A1 (en) * 2007-11-28 2009-05-28 Molecular Imprints, Inc. Nanostructured Organic Solar Cells
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
US20100264560A1 (en) * 2007-12-19 2010-10-21 Zhuqing Zhang Imprint lithography apparatus and method
US7815824B2 (en) * 2008-02-26 2010-10-19 Molecular Imprints, Inc. Real time imprint process diagnostics for defects
WO2009129443A2 (en) * 2008-04-17 2009-10-22 Massachusetts Institute Of Technology Diaphragm flexure with large range and high load capacity
WO2009129441A2 (en) * 2008-04-17 2009-10-22 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
WO2009137384A2 (en) * 2008-05-05 2009-11-12 Boston Scientific Scimed, Inc. Medical devices having a bioresorbable coating layer with a pre-determined pattern for fragmentation
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
JP4944158B2 (en) * 2009-06-01 2012-05-30 株式会社日立製作所 Nanoprinting stamper and fine structure transfer method
JP5295870B2 (en) * 2009-06-02 2013-09-18 株式会社東芝 Imprint pattern forming method
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
JP5284212B2 (en) * 2009-07-29 2013-09-11 株式会社東芝 Manufacturing method of semiconductor device
US20110030770A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
US20110031650A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
US8802747B2 (en) * 2009-08-26 2014-08-12 Molecular Imprints, Inc. Nanoimprint lithography processes for forming nanoparticles
EP2470956B1 (en) 2009-08-26 2018-02-14 Molecular Imprints, Inc. Functional nanoparticles
JP5296641B2 (en) * 2009-09-02 2013-09-25 東京エレクトロン株式会社 IMPRINT METHOD, PROGRAM, COMPUTER STORAGE MEDIUM, AND IMPRINT DEVICE
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US8146262B1 (en) 2009-10-21 2012-04-03 The Boeing Company Method and device for locating hole center
JP5669377B2 (en) * 2009-11-09 2015-02-12 キヤノン株式会社 Imprint apparatus and article manufacturing method
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
US20110180127A1 (en) * 2010-01-28 2011-07-28 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
JP5769734B2 (en) 2010-02-05 2015-08-26 モレキュラー・インプリンツ・インコーポレーテッド Template with high contrast alignment mark
WO2011100050A2 (en) 2010-02-09 2011-08-18 Molecular Imprints, Inc. Process gas confinement for nano-imprinting
DE102010007970A1 (en) * 2010-02-15 2011-08-18 Suss MicroTec Lithography GmbH, 85748 Method and device for active wedge error compensation between two objects which can be positioned substantially parallel to one another
TWI576229B (en) 2010-04-27 2017-04-01 分子壓模公司 Safe separation for nano imprinting
US9070803B2 (en) 2010-05-11 2015-06-30 Molecular Imprints, Inc. Nanostructured solar cell
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
WO2012007522A2 (en) * 2010-07-15 2012-01-19 Replisaurus Group Sas Separation of master electrode and substrate in ecpr
CN107255485B (en) * 2010-09-03 2020-12-22 Ev 集团 E·索尔纳有限责任公司 Apparatus and method for reducing wedge error
TWI538011B (en) 2010-09-24 2016-06-11 分子壓模公司 High contrast alignment marks through multiple stage imprinting
US8828297B2 (en) 2010-11-05 2014-09-09 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
EP2635522A4 (en) 2010-11-05 2014-06-11 Molecular Imprints Inc Nanoimprint lithography formation of functional nanoparticles using dual release layers
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
KR101970147B1 (en) 2011-04-25 2019-04-22 캐논 나노테크놀로지즈 인코퍼레이티드 Optically absorptive material for alignment marks
HK1148904A2 (en) * 2011-05-17 2011-09-16 Liu Vai Nam An electromagnetic device
WO2013048577A1 (en) * 2011-09-26 2013-04-04 Solarity, Inc. Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices
TWI570771B (en) 2011-12-19 2017-02-11 分子壓模公司 Fabrication of seamless large area master templates for imprint lithography using step and repeat tools
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP5661666B2 (en) 2012-02-29 2015-01-28 株式会社東芝 Pattern forming apparatus and semiconductor device manufacturing method
US9370865B1 (en) * 2012-05-23 2016-06-21 Western Digital Technologies, Inc. Flexure based compliance device for use with an assembly device
EP2679551A1 (en) 2012-06-28 2014-01-01 Corning Incorporated Process and system for fine tuning precision glass sheet bending
JP6019953B2 (en) * 2012-09-04 2016-11-02 大日本印刷株式会社 Convex structure manufacturing method and manufacturing system
US8813382B1 (en) * 2012-10-22 2014-08-26 The Boeing Company Shim measurement system and method of operating the same
WO2014145360A1 (en) * 2013-03-15 2014-09-18 Nanonex Corporation Imprint lithography system and method for manufacturing
KR102170524B1 (en) 2013-03-15 2020-10-27 캐논 나노테크놀로지즈 인코퍼레이티드 Nano imprinting with reusable polymer template with metallic or oxide coating
WO2014145826A2 (en) * 2013-03-15 2014-09-18 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
TWI637234B (en) 2013-07-12 2018-10-01 美商佳能奈米科技股份有限公司 Drop pattern generation for imprint lithography with directionally-patterned templates
RU2546989C2 (en) * 2013-08-01 2015-04-10 Федеральное государственное бюджетное учреждение науки Институт ядерной физики им. Г.И. Будкера Сибирского отделения РАН (ИЯФ СО РАН) X-ray mask and method of its fabrication
WO2015070054A1 (en) 2013-11-08 2015-05-14 Canon Nanotechnologies, Inc. Low contact imprint lithography template chuck system for improved overlay correction
CN105793777B (en) 2013-12-10 2020-02-18 佳能纳米技术公司 Imprint lithography template and method for zero gap imprinting
WO2015103232A1 (en) 2013-12-30 2015-07-09 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
WO2015103370A1 (en) 2013-12-31 2015-07-09 Canon Nanotechnologies, Inc. Asymmetric template shape modulation for partial field imprinting
WO2016054092A1 (en) 2014-09-29 2016-04-07 Magic Leap, Inc. Architectures and methods for outputting different wavelength light out of waveguides
US9341290B2 (en) * 2014-09-29 2016-05-17 Dieterich Standard, Inc. Lugged wafer alignment ring
JP6525567B2 (en) * 2014-12-02 2019-06-05 キヤノン株式会社 Imprint apparatus and method of manufacturing article
JP6478635B2 (en) 2015-01-05 2019-03-06 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method
NZ773820A (en) 2015-03-16 2022-07-29 Magic Leap Inc Methods and systems for diagnosing and treating health ailments
KR102449800B1 (en) 2015-06-15 2022-09-29 매직 립, 인코포레이티드 Virtual and augmented reality systems and methods
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP7077223B2 (en) 2015-10-15 2022-05-30 ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム A versatile method for precision nanoscale manufacturing
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US11104057B2 (en) 2015-12-11 2021-08-31 Canon Kabushiki Kaisha Imprint apparatus and method of imprinting a partial field
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
WO2017176898A1 (en) 2016-04-08 2017-10-12 Magic Leap, Inc. Augmented reality systems and methods with variable focus lens elements
AU2017264780B2 (en) 2016-05-12 2022-05-12 Magic Leap, Inc. Distributed light manipulation over imaging waveguide
US10189188B2 (en) 2016-05-20 2019-01-29 Canon Kabushiki Kaisha Nanoimprint lithography adhesion layer
US9993962B2 (en) 2016-05-23 2018-06-12 Canon Kabushiki Kaisha Method of imprinting to correct for a distortion within an imprint system
US11131922B2 (en) 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
AU2017361424B2 (en) 2016-11-18 2022-10-27 Magic Leap, Inc. Spatially variable liquid crystal diffraction gratings
US11067860B2 (en) 2016-11-18 2021-07-20 Magic Leap, Inc. Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same
CN115685626A (en) 2016-11-18 2023-02-03 奇跃公司 Multilayer liquid crystal diffraction grating for redirecting light with a wide range of incident angles
JP7237830B2 (en) 2016-11-18 2023-03-13 マジック リープ, インコーポレイテッド Waveguide optical multiplexer using cross gratings
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
JP7069160B2 (en) 2016-12-08 2022-05-17 マジック リープ, インコーポレイテッド Diffraction device based on cholesteric liquid crystal
CA3046328A1 (en) 2016-12-14 2018-06-21 Magic Leap, Inc. Patterning of liquid crystals using soft-imprint replication of surface alignment patterns
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10712660B2 (en) 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
US10991582B2 (en) 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10371896B2 (en) 2016-12-22 2019-08-06 Magic Leap, Inc. Color separation in planar waveguides using dichroic filters
KR20230053724A (en) 2017-01-23 2023-04-21 매직 립, 인코포레이티드 Eyepiece for virtual, augmented, or mixed reality systems
JP7158396B2 (en) 2017-02-23 2022-10-21 マジック リープ, インコーポレイテッド Display system with variable power reflector
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
CN110392919B (en) 2017-03-08 2024-01-16 佳能株式会社 Pattern forming method and processing substrate, optical member and method for manufacturing quartz mold replica, coating material for imprint pretreatment and combination thereof with imprint resist
KR102256349B1 (en) 2017-03-08 2021-05-27 캐논 가부시끼가이샤 Method for producing a cured product pattern, an optical component, a method for producing a circuit board and a quartz mold replica, and a material for pre-imprint coating and a cured product thereof
AU2018239264B2 (en) 2017-03-21 2023-05-18 Magic Leap, Inc. Eye-imaging apparatus using diffractive optical elements
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US11841481B2 (en) 2017-09-21 2023-12-12 Magic Leap, Inc. Augmented reality display with waveguide configured to capture images of eye and/or environment
KR102147920B1 (en) 2017-09-29 2020-08-26 나이키 이노베이트 씨.브이. Structural Color Articles and Methods of Making and Using Structure Color Articles
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US10852547B2 (en) 2017-12-15 2020-12-01 Magic Leap, Inc. Eyepieces for augmented reality display system
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
CN108198752A (en) * 2017-12-29 2018-06-22 长沙新材料产业研究院有限公司 A kind of method for preparing pattern on substrate
JP7100485B2 (en) * 2018-04-26 2022-07-13 キヤノン株式会社 Imprint device and device manufacturing method
US11249405B2 (en) * 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
US10513135B1 (en) 2018-06-15 2019-12-24 Elizabeth A. Gessner Stamping tool accessory and stamping tool assembly including the same
JP2020035924A (en) * 2018-08-30 2020-03-05 キオクシア株式会社 Original plate
JP2022509083A (en) 2018-11-20 2022-01-20 マジック リープ, インコーポレイテッド Eyepieces for augmented reality display systems
NL2023051B1 (en) * 2019-05-02 2020-11-23 Suss Microtec Lithography Gmbh Framework for a replication device, replication device as well as method for producing nanostructured and/or microstructured components by means of a 5 replication device
WO2020257469A1 (en) 2019-06-20 2020-12-24 Magic Leap, Inc. Eyepieces for augmented reality display system
US11597996B2 (en) 2019-06-26 2023-03-07 Nike, Inc. Structurally-colored articles and methods for making and using structurally-colored articles
EP3963376A1 (en) 2019-07-26 2022-03-09 Nike Innovate C.V. Structurally-colored articles and methods for making and using structurally-colored articles
US11776840B2 (en) * 2019-10-29 2023-10-03 Canon Kabushiki Kaisha Superstrate chuck, method of use, and method of manufacturing an article
US11889894B2 (en) 2020-08-07 2024-02-06 Nike, Inc. Footwear article having concealing layer
US11129444B1 (en) * 2020-08-07 2021-09-28 Nike, Inc. Footwear article having repurposed material with concealing layer
TW202211363A (en) * 2020-09-01 2022-03-16 美商伊路米納有限公司 Fixtures and related systems and methods
KR102442459B1 (en) * 2020-10-07 2022-09-14 주식회사 오럼머티리얼 Producing method of template for supporting mask and template for supporting mask and producing method of mask integrated frame
US11562984B1 (en) 2020-10-14 2023-01-24 Hrl Laboratories, Llc Integrated mechanical aids for high accuracy alignable-electrical contacts
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage
US11635684B1 (en) 2022-03-30 2023-04-25 Canon Kabushiki Kaisha Apparatus for imprint lithography configured to generate a fluid droplet pattern and a method of using such apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0733455A2 (en) * 1995-03-22 1996-09-25 IMM INSTITUT FÜR MIKROTECHNIK GmbH Mould with ejector means for demoulding objects with a microstructure
DE19648844C1 (en) * 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Forming microstructured components for embossing tool and formable material between chamber walls
WO2000054107A1 (en) * 1999-03-11 2000-09-14 Board Of Regents, The University Of Texas System Step and flash imprint lithography

Family Cites Families (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US168588A (en) * 1875-10-11 Improvement in machines for rounding and sealing the ends of wrought-iron tubes
US251775A (en) * 1882-01-03 Faucet
US169441A (en) * 1875-11-02 Improvement in devices for facilitating the filing of postal-cards
US3527062A (en) * 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
JPS58129074A (en) * 1982-01-27 1983-08-01 Dainippon Printing Co Ltd Ink composition for forming heat-sensitive transfer layer
DE3208081A1 (en) * 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4551192A (en) * 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) * 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
JPS6319956A (en) * 1986-07-14 1988-01-27 Hitachi Ltd Method for controlling terminal equipment for picture communication
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (en) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) * 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JPS63131352A (en) * 1986-11-21 1988-06-03 Canon Inc Manufacture of substrate for optical recording medium
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (en) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) * 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (en) * 1988-02-24 1989-09-07 Teldix Gmbh TURNING VIBRATION DRIVE
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
EP0348063B1 (en) * 1988-06-10 1993-05-26 Asahi Kasei Kogyo Kabushiki Kaisha Thermoplastic elastomer and photosensitive resin composition based thereon, and printing plate precursor comprising the composition
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) * 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) * 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
ES2103261T3 (en) * 1989-04-24 1997-09-16 Siemens Ag PROCEDURE FOR THE GENERATION OF CORROSION RESISTANT STRUCTURES.
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) * 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (en) 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP3039788B2 (en) * 1990-05-18 2000-05-08 ティーディーケイ株式会社 Method of manufacturing optical disk substrate and optical disk
JPH0423243A (en) * 1990-05-18 1992-01-27 Ricoh Co Ltd Production of optical information recording medium
JP2586692B2 (en) * 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
JPH0470379A (en) * 1990-07-03 1992-03-05 Oki Electric Ind Co Ltd Manufacture of master printing plate
US5074607A (en) 1990-09-05 1991-12-24 Lin Jui C Lock set with spindle lock
JP2524436B2 (en) * 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5240878A (en) * 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (en) * 1991-07-16 1993-01-29 Nikon Corp Retaining equipment
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (en) 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
JPH0555654A (en) * 1991-08-26 1993-03-05 Nec Corp Piezoelectric element displacement magnification mechanism
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) * 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) * 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (en) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp Semiconductor manufacturing apparatus, wafer vacuum chuck device thereof, and gas cleaning and nitride film formation therefor
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
NL9401260A (en) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
KR970009858B1 (en) 1994-01-12 1997-06-18 엘지반도체 주식회사 Multi-layer photoresist patterning method
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
JPH0864503A (en) * 1994-08-26 1996-03-08 Ushio Inc Method and device for refining film quality
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
JPH08180457A (en) * 1994-12-27 1996-07-12 Nec Corp Optical disk and its manufacture
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JP3624476B2 (en) 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
WO1997006012A1 (en) * 1995-08-04 1997-02-20 International Business Machines Corporation Stamp for a lithographic process
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
SE508373C2 (en) 1995-10-30 1998-09-28 Obducat Ab Krypto system for optical storage media
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
JP3832891B2 (en) 1996-03-28 2006-10-11 日本トムソン株式会社 XY table using linear electromagnetic actuator
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JPH10106048A (en) * 1996-09-20 1998-04-24 Sony Disc Technol:Kk Method of making and processing stamper for information recording disk and device therefor, and stamper and information recording disk
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
DE19710420C2 (en) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
JPH1143633A (en) * 1997-07-28 1999-02-16 Brother Ind Ltd Stamp ink
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5840955A (en) * 1997-11-25 1998-11-24 Sockell; Edward J. Waste minimization and product recovery process
JPH11163103A (en) * 1997-11-25 1999-06-18 Hitachi Ltd Method and device for manufacturing semiconductor device
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
DE19819761C2 (en) * 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Device for separating a shaped substrate from an embossing tool
US5869730A (en) * 1998-05-13 1999-02-09 The Standard Oil Company Oxidant reduction by manipulation and/or treatment of aqueous acrylonitrile process streams
JP3780700B2 (en) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6150231A (en) * 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6860971B2 (en) * 1998-06-15 2005-03-01 Gregory J. Ward Process for recovery of olefinically unsaturated nitriles
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
JP3478141B2 (en) * 1998-09-14 2003-12-15 信越半導体株式会社 Heat treatment method for silicon wafer and silicon wafer
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6296739B1 (en) * 1999-01-08 2001-10-02 The Standard Oil Company Operation of heads column
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) * 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
JP3618057B2 (en) * 1999-03-03 2005-02-09 シャープ株式会社 Optical element manufacturing equipment
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3939048B2 (en) * 1999-05-17 2007-06-27 セイコーインスツル株式会社 Piezoelectric actuator
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) * 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
KR100702741B1 (en) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 Integrated critical dimension control for semiconductor device manufacturing
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
JP3847512B2 (en) * 2000-02-07 2006-11-22 株式会社日立メディコ Magnetic resonance imaging system
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
EP1172842B1 (en) * 2000-06-22 2004-10-20 Unaxis Balzers Aktiengesellschaft Coating station for disk-type workpieces
WO2002008835A2 (en) * 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
EP1309897A2 (en) * 2000-08-01 2003-05-14 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6632742B2 (en) * 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6489068B1 (en) * 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6791669B2 (en) * 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
WO2002086602A1 (en) * 2001-04-17 2002-10-31 M2N, Inc. Micro-actuator and micro-device using the same
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) * 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7218035B2 (en) * 2002-09-27 2007-05-15 University Of Waterloo Micro-positioning device
KR20050090070A (en) * 2003-01-15 2005-09-12 에스씨아이브이에이엑스 가부시키가이샤 Device, method, and system for pattern forming
KR100497729B1 (en) * 2003-02-21 2005-06-28 한국과학기술원 3 axes linear motion stage using flexure mechanism
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7071348B2 (en) * 2004-01-09 2006-07-04 The Standard Oil Company Process for the purification of olefinically unsaturated nitriles
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
KR100586885B1 (en) * 2004-08-06 2006-06-08 삼성전자주식회사 Micro position-control system
CN101518156B (en) * 2006-09-27 2016-04-20 皇家飞利浦电子股份有限公司 Color selection input device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0733455A2 (en) * 1995-03-22 1996-09-25 IMM INSTITUT FÜR MIKROTECHNIK GmbH Mould with ejector means for demoulding objects with a microstructure
DE19648844C1 (en) * 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Forming microstructured components for embossing tool and formable material between chamber walls
WO2000054107A1 (en) * 1999-03-11 2000-09-14 Board Of Regents, The University Of Texas System Step and flash imprint lithography

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
COLBURN M ET AL: "Step and flash imprint lithography: a new approach to high-resolution patterning", PROCEEDINGS OF SPIE,, vol. 3676, March 1999 (1999-03-01), pages 379 - 389, XP002126733 *
HAISMA J ET AL: "MOLD-ASSISTED NANOLITHOGRAPHY: A PROCESS FOR RELIABLE PATTERN REPLICATION", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B,US,AMERICAN INSTITUTE OF PHYSICS. NEW YORK, vol. 14, no. 6, 1 November 1996 (1996-11-01), pages 4124 - 4128, XP000721137, ISSN: 0734-211X *
KRUG H ET AL: "FINE PATTERNING OF THIN SOL-GEL FILMS", JOURNAL OF NON-CRYSTALLINE SOLIDS,NL,NORTH-HOLLAND PHYSICS PUBLISHING. AMSTERDAM, vol. 147/148, 1 October 1992 (1992-10-01), pages 447 - 450, XP000398432, ISSN: 0022-3093 *

Also Published As

Publication number Publication date
US20040168588A1 (en) 2004-09-02
US20040104641A1 (en) 2004-06-03
EP2315077A1 (en) 2011-04-27
US20050089774A1 (en) 2005-04-28
AU1448801A (en) 2001-05-14
US6870301B2 (en) 2005-03-22
US7374415B2 (en) 2008-05-20
HK1049521A1 (en) 2003-05-16
JP2003517727A (en) 2003-05-27
US6955868B2 (en) 2005-10-18
EP1240550A2 (en) 2002-09-18
US20040169441A1 (en) 2004-09-02
WO2001033300A2 (en) 2001-05-10
US6922906B2 (en) 2005-08-02
US20040251775A1 (en) 2004-12-16
JP2011029641A (en) 2011-02-10
JP5603689B2 (en) 2014-10-08
US7060402B2 (en) 2006-06-13
US7098572B2 (en) 2006-08-29
US20040149687A1 (en) 2004-08-05
US20050264132A1 (en) 2005-12-01
JP2011003910A (en) 2011-01-06
EP2315076A1 (en) 2011-04-27
US6873087B1 (en) 2005-03-29
EP1240550B1 (en) 2013-05-08

Similar Documents

Publication Publication Date Title
WO2001033300A3 (en) High precision orientation alignment and gap control stages for imprint lithography processes
CN1981236B (en) Apparatus, system and method to vary dimensions of a substrate during nano-scale manufacturing
WO2005064400A3 (en) Chuck system, lithographic apparatus using the same and device manufacturing method
WO2002077716A3 (en) Scanning probe based lithographic alignment
WO1996029629A3 (en) Microcontact printing on surfaces and derivative articles
JP4527735B2 (en) Imprinting device having separable independently driven module
ATE269588T1 (en) MICROSTRUCTURES AND SINGLE MASK, SINGLE CRYSTAL PRODUCTION PROCESS
WO2002101803A1 (en) Mask and production method therefor and production method for semiconductor device
EP1357590A3 (en) Method and apparatus for manufacturing electronic devices
WO2005043266A3 (en) Variable reluctance fast positioning system and methods
WO2004027460A3 (en) Replication and transfer of microstructures and nanostructures
BR9610148A (en) Dynamically rigid high-bandwidth metrology system for the measurement and control of intelligent manufacturing processes.
EP1237044A3 (en) Lithographic tool with dual isolation system and method for configuring the same
WO2002079876A3 (en) Lithographic template
WO2002008835A3 (en) High-resolution overlay alignment methods and systems for imprint lithography
EP1241525A3 (en) An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
WO2004068538A3 (en) Object-moving method, object-moving apparatus and production process using the method
SG160189A1 (en) Crystallization apparatus, crystallization method, and phase shift mask
FR2679701B1 (en) STRUCTURE AND METHOD FOR DIRECT CALIBRATION OF ALIGNMENT MEASUREMENT SYSTEMS BASED ON THE ACTUAL TOPOGRAPHY OF THE MANUFACTURING PROCESS OF A SEMICONDUCTOR WAFER.
EP0877297A3 (en) Exposure method and device manufacturing method
WO2005064411A3 (en) Lithographic apparatus and with a debris-mitigation system
AU2002368430A1 (en) Method and system for fabricating nanoscale patterns in light curable compositions using an electric field
US20170082918A1 (en) Template, imprint device, and control method
WO2004103666A3 (en) Method, system, holder and assembly for transferring templates during imprint lithography processes
EP1174769A3 (en) Lithographic projection apparatus and lithographic device manufacturing method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 535125

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2000976753

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2000976753

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642