WO2001033615A3 - Method and apparatus for supercritical processing of multiple workpieces - Google Patents

Method and apparatus for supercritical processing of multiple workpieces Download PDF

Info

Publication number
WO2001033615A3
WO2001033615A3 PCT/US2000/041787 US0041787W WO0133615A3 WO 2001033615 A3 WO2001033615 A3 WO 2001033615A3 US 0041787 W US0041787 W US 0041787W WO 0133615 A3 WO0133615 A3 WO 0133615A3
Authority
WO
WIPO (PCT)
Prior art keywords
supercritical processing
transfer module
robot
entrance
workpieces
Prior art date
Application number
PCT/US2000/041787
Other languages
French (fr)
Other versions
WO2001033615A2 (en
Inventor
Maximilian A Biberger
Frederick Paul Layman
Thomas Robert Sutton
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to AU32672/01A priority Critical patent/AU3267201A/en
Priority to JP2001535218A priority patent/JP5073902B2/en
Priority to CA002387341A priority patent/CA2387341A1/en
Priority to EP00991448A priority patent/EP1234322A2/en
Publication of WO2001033615A2 publication Critical patent/WO2001033615A2/en
Publication of WO2001033615A3 publication Critical patent/WO2001033615A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Abstract

An apparatus for supercritical processing of multiple workpieces comprises a transfer module, first and second supercritical processing modules, and a robot. The transfer module includes an entrance. The first and second supercritical processing modules are coupled to the transfer module. The robot is preferably located with the transfer module. In operation, the robot transfers a first workpiece from the entrance of the transfer module to the first supercritical processing module. The robot then transfers a second workpiece from the entrance to the second supercritical processing module. After the workpieces have been processed, the robot returns the first and second workpieces to the entrance of the transfer module. Alternatively, the apparatus includes additional supercritical processing modules coupled to the transfer module.
PCT/US2000/041787 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of multiple workpieces WO2001033615A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU32672/01A AU3267201A (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of multiple workpieces
JP2001535218A JP5073902B2 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of multiple workpieces
CA002387341A CA2387341A1 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of multiple workpieces
EP00991448A EP1234322A2 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of multiple workpieces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16312199P 1999-11-02 1999-11-02
US60/163,121 1999-11-02

Publications (2)

Publication Number Publication Date
WO2001033615A2 WO2001033615A2 (en) 2001-05-10
WO2001033615A3 true WO2001033615A3 (en) 2001-12-06

Family

ID=22588579

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2000/041853 WO2001046999A2 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of a workpiece
PCT/US2000/041787 WO2001033615A2 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of multiple workpieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US2000/041853 WO2001046999A2 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of a workpiece

Country Status (9)

Country Link
US (2) US7060422B2 (en)
EP (2) EP1243021A2 (en)
JP (2) JP5073902B2 (en)
KR (2) KR100744888B1 (en)
CN (2) CN1175470C (en)
AU (2) AU4902201A (en)
CA (2) CA2387341A1 (en)
TW (1) TW484169B (en)
WO (2) WO2001046999A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6736149B2 (en) 1999-11-02 2004-05-18 Supercritical Systems, Inc. Method and apparatus for supercritical processing of multiple workpieces
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
AU2001255656A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
FR2838422A1 (en) * 2002-04-11 2003-10-17 Memscap Method for manufacturing micro-electro-mechanical components
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP3861798B2 (en) * 2002-11-19 2006-12-20 株式会社日立ハイテクサイエンスシステムズ Resist development processing apparatus and method
JP2004228526A (en) * 2003-01-27 2004-08-12 Tokyo Electron Ltd Method of processing substrate and method of manufacturing semiconductor device
US20040194886A1 (en) * 2003-04-01 2004-10-07 Deyoung James Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
KR100505693B1 (en) * 2003-06-26 2005-08-03 삼성전자주식회사 Cleaning method of photoresist or organic material from microelectronic device substrate
DE102004029077B4 (en) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Apparatus and method for removing a photoresist from a substrate
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050181310A1 (en) * 2004-02-17 2005-08-18 Shun-Fa Yang Method for etching metal surface of golf club head
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (en) * 2004-06-02 2016-01-13 应用材料公司 Electron device manufacturing chamber and forming method thereof
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060070640A1 (en) * 2004-10-01 2006-04-06 Darko Babic Method and system for injecting chemistry into a supercritical fluid
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
KR100829923B1 (en) * 2006-08-30 2008-05-16 세메스 주식회사 Spin head and method using the same for treating substrate
US20100108636A1 (en) * 2008-10-30 2010-05-06 Seagate Technology Llc Integrated Tool for Fabricating an Electronic Component
US8596648B2 (en) * 2010-10-22 2013-12-03 Oshkosh Corporation Pump for vehicle suspension system
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CA3017940A1 (en) 2016-04-08 2017-10-12 Oshkosh Corporation Leveling system for lift device
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102037918B1 (en) * 2017-11-28 2019-10-29 세메스 주식회사 substrate processing method and substrate processing apparatus
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP6992625B2 (en) 2018-03-16 2022-01-13 三菱ケミカル株式会社 Manufacturing method of binder for coke manufacturing
KR102225957B1 (en) 2018-09-12 2021-03-11 세메스 주식회사 An apparatus for treating a substrate
KR102636979B1 (en) * 2019-04-26 2024-02-14 삼성전자주식회사 Multi-chamber equipment
KR20230092188A (en) 2021-12-17 2023-06-26 삼성전자주식회사 Substrate processing apparatus and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991012629A1 (en) * 1990-02-16 1991-08-22 Edward Bok Improved installation for wafer transfer and processing
JPH10144757A (en) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
WO1999018603A1 (en) * 1997-10-08 1999-04-15 Applied Komatsu Technology, Inc. Modular substrate processing system
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system

Family Cites Families (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3623627A (en) 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
GB1392822A (en) 1971-03-02 1975-04-30 Comitato Nazionale Per Lenergi Extraction of metals from solutions
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4367140A (en) 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (en) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf PNEUMATIC DIAPHRAGM PUMP
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (en) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Process for removing peelable layers of material from coated objects,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (en) 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad COOKING VESSEL FROM COOKER AND LID, ESPECIALLY STEAM PRESSURE COOKER
FR2536433A1 (en) 1982-11-19 1984-05-25 Privat Michel METHOD AND APPARATUS FOR CLEANING AND DECONTAMINATING PARTICULARLY CLOTHING, ESPECIALLY CLOTHES CONTAMINATED WITH RADIOACTIVE PARTICLES
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (en) 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
EP0290098B1 (en) 1987-05-07 1990-11-14 Micafil Ag Process and apparatus for extracting oil or polychlorinated biphenyls from impregnated electrical components by means of a solvating agent, and distillation of the solvent
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (en) 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
DE3887681T2 (en) 1987-11-27 1994-05-11 Battelle Memorial Institute Supercritical micelle separation in the reverse liquid phase.
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (en) 1988-02-29 1997-10-15 勝 西川 Method of forming resist pattern
US4823976A (en) 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (en) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device and apparatus for removing foreign substance
CA2027550C (en) 1989-02-16 1995-12-26 Janusz B. Pawliszyn Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5213485A (en) 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) * 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
EP0456426B1 (en) 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (en) 1990-06-08 1991-12-12 Ott Kg Lewa DIAPHRAGM FOR A HYDRAULICALLY DRIVED DIAPHRAGM PUMP
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (en) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde DOUBLE DIAPHRAGM PUMP
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (en) 1991-03-04 1994-09-15 Xorella Ag Wettingen Device for sliding and pivoting of a container-closure.
DE59204395D1 (en) 1991-05-17 1996-01-04 Ciba Geigy Ag Process for dyeing hydrophobic textile material with disperse dyes from supercritical CO2.
US5195878A (en) 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (en) 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
DE9112761U1 (en) 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (en) 1991-11-20 1993-05-26 Ciba-Geigy Ag Process for optical bleaching of hydrophobic textile material with disperse optical brightness in supercritical CO2
US5190373A (en) 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (en) * 1992-06-26 1994-01-21 Tokyo Electron Ltd Processing apparatus
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) * 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
KR100304127B1 (en) * 1992-07-29 2001-11-30 이노마다 시게오 Electronic-substrate treatment system using portable sealed container and apparatus thereof
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5589224A (en) 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JP2548062B2 (en) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 Load lock chamber for vertical heat treatment equipment
US5447294A (en) * 1993-01-21 1995-09-05 Tokyo Electron Limited Vertical type heat treatment system
KR100261532B1 (en) 1993-03-14 2000-07-15 야마시타 히데나리 Multi-chamber system provided with carrier units
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
JPH0846013A (en) * 1994-05-23 1996-02-16 Tokyo Electron Ltd Multichamber treatment system conveyer
JP3486462B2 (en) * 1994-06-07 2004-01-13 東京エレクトロン株式会社 Decompression / normal pressure processing equipment
EP0791093B1 (en) * 1994-11-09 2001-04-11 R.R. STREET & CO., INC. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (en) * 1994-12-08 1996-06-20 Abel Gmbh & Co Double diaphragm pump
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3457758B2 (en) * 1995-02-07 2003-10-20 シャープ株式会社 Cleaning device using supercritical fluid
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08306632A (en) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd Vapor epitaxial growth equipment
US6097015A (en) * 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
JP3983831B2 (en) * 1995-05-30 2007-09-26 シグマメルテック株式会社 Substrate baking apparatus and substrate baking method
JPH08330266A (en) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> Method of cleansing and processing surface of semiconductor device or the like
US6454945B1 (en) * 1995-06-16 2002-09-24 University Of Washington Microfabricated devices and methods
JP2676334B2 (en) * 1995-07-31 1997-11-12 住友重機械工業株式会社 Robot arm
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
EP0884769A1 (en) * 1996-02-29 1998-12-16 Tokyo Electron Limited Heat-treating boat for semiconductor wafer
JP3955340B2 (en) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 High-temperature and high-pressure gas processing equipment
DK9600149U3 (en) * 1996-05-01 1997-09-12 Moerch & Soenner A S cover assembly
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
JP3176294B2 (en) * 1996-08-26 2001-06-11 日本電気株式会社 Carrier for semiconductor wafer
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (en) * 1997-02-26 2003-08-18 富士通株式会社 manufacturing device
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (en) * 1997-03-18 1998-09-29 Furontetsuku:Kk Production system for semiconductor and the like
JP4246804B2 (en) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 Heating / pressurizing equipment
JPH10288158A (en) * 1997-04-10 1998-10-27 Kobe Steel Ltd Piston gas compressor and gas compression equipment
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5975492A (en) * 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JP3194036B2 (en) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 Drying treatment apparatus and drying treatment method
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
JPH11200035A (en) * 1998-01-19 1999-07-27 Anelva Corp Sputtering-chemical vapor deposition composite device
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP2000106358A (en) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp Semiconductor manufacturing apparatus and method for processing semiconductor substrate
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6128830A (en) * 1999-05-15 2000-10-10 Dean Bettcher Apparatus and method for drying solid articles
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
AU2001255656A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6546946B2 (en) * 2000-09-07 2003-04-15 United Dominion Industries, Inc. Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991012629A1 (en) * 1990-02-16 1991-08-22 Edward Bok Improved installation for wafer transfer and processing
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JPH10144757A (en) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
US6077321A (en) * 1996-11-08 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Wet/dry substrate processing apparatus
WO1999018603A1 (en) * 1997-10-08 1999-04-15 Applied Komatsu Technology, Inc. Modular substrate processing system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 10 31 August 1998 (1998-08-31) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6736149B2 (en) 1999-11-02 2004-05-18 Supercritical Systems, Inc. Method and apparatus for supercritical processing of multiple workpieces
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide

Also Published As

Publication number Publication date
US7060422B2 (en) 2006-06-13
WO2001046999A3 (en) 2002-07-11
JP4621400B2 (en) 2011-01-26
JP5073902B2 (en) 2012-11-14
CN1399790A (en) 2003-02-26
EP1243021A2 (en) 2002-09-25
TW484169B (en) 2002-04-21
EP1234322A2 (en) 2002-08-28
CA2387373A1 (en) 2001-06-28
WO2001033615A2 (en) 2001-05-10
CA2387341A1 (en) 2001-05-10
KR20020047314A (en) 2002-06-21
JP2003513466A (en) 2003-04-08
AU3267201A (en) 2001-05-14
CN1192417C (en) 2005-03-09
US20030136514A1 (en) 2003-07-24
KR100744888B1 (en) 2007-08-01
KR100742473B1 (en) 2007-07-25
CN1175470C (en) 2004-11-10
KR20020047315A (en) 2002-06-21
WO2001046999A2 (en) 2001-06-28
AU4902201A (en) 2001-07-03
CN1387673A (en) 2002-12-25
US6926798B2 (en) 2005-08-09
US20030150559A1 (en) 2003-08-14
JP2003518736A (en) 2003-06-10

Similar Documents

Publication Publication Date Title
WO2001033615A3 (en) Method and apparatus for supercritical processing of multiple workpieces
HK1033502A1 (en) Semiconductor workpiece processing apparatus and method.
AU3906900A (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
AU7262596A (en) Method and apparatus for chemical processing semiconductor wafers
AU5817999A (en) Dechucking method and apparatus for workpieces in vacuum processors
AU3521700A (en) Method and apparatus for processing a wafer
AU5537098A (en) Wafer processing apparatus, wafer processing method, and semiconductor substrate fabrication method
AU4661000A (en) Universal tool interface and/or workpiece transfer apparatus for smif and open pod applications
AU5005897A (en) Method and apparatus for improving wireability in chip modules
AU1937400A (en) Process and apparatus for processing and transporting flat glass workpieces
AU1270092A (en) Wafer processing cluster tool batch preheating and degassing method and apparatus
WO2002035300A3 (en) Method and apparatus for embedded process control framework in tool systems
AU5913699A (en) Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
EP0567426A3 (en) Method and apparatus for processing workpieces by ultrasonic energy
AU6454498A (en) Method of reducing metal contamination during semiconductor processing in a reactor having metal components
AU2003251773A1 (en) Apparatus, system, and method of mechanically coupling photovoltaic modules
AU2769699A (en) Method and apparatus for removing die from a wafer and conveying die to a pickuplocation
CA2283185A1 (en) Assay device processing instrument
HK1034397A1 (en) An apparatus in a system for processing a receiveddatastream and a method for processing the same.
IL147278A0 (en) Atmospheric wafer transfer module with nest for wafer transport robot and method of implementing same
AU6613000A (en) Apparatus and method for texture analysis on semiconductor wafers
AU5290098A (en) Wafer processing apparatus and method, wafer convey robot, semiconductor substrate fabrication method, and semiconductor fabrication apparatus
AU1933900A (en) Apparatus for processing wafers
AU4561200A (en) Method for handling thinned chips for introducing them into chip cards
AU4307501A (en) Method and apparatus for processing quotes in a commodity exchange system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 32672/01

Country of ref document: AU

WWE Wipo information: entry into national phase

Ref document number: 2387341

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: PA/A/2002/003995

Country of ref document: MX

WWE Wipo information: entry into national phase

Ref document number: 2000991448

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 008152993

Country of ref document: CN

Ref document number: 1020027005569

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2001 535218

Country of ref document: JP

Kind code of ref document: A

WWP Wipo information: published in national office

Ref document number: 1020027005569

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000991448

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642