WO2001042767A2 - Detecting a process endpoint from a change in reflectivity - Google Patents

Detecting a process endpoint from a change in reflectivity Download PDF

Info

Publication number
WO2001042767A2
WO2001042767A2 PCT/US2000/033884 US0033884W WO0142767A2 WO 2001042767 A2 WO2001042767 A2 WO 2001042767A2 US 0033884 W US0033884 W US 0033884W WO 0142767 A2 WO0142767 A2 WO 0142767A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
radiation
change
processing
reflected
Prior art date
Application number
PCT/US2000/033884
Other languages
French (fr)
Other versions
WO2001042767A3 (en
Inventor
Jens Stolze
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP00984369A priority Critical patent/EP1238422A2/en
Priority to KR1020027007548A priority patent/KR20020063219A/en
Publication of WO2001042767A2 publication Critical patent/WO2001042767A2/en
Publication of WO2001042767A3 publication Critical patent/WO2001042767A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity

Definitions

  • the invention relates to detection of a process endpoint during a substrate fabrication process.
  • semiconductor, dielectric, and conductor materials including for example materials such as polysilicon, silicon dioxide, aluminum and tungsten suicide, are formed on a substrate by chemical vapor deposition (CVD), physical vapor deposition, oxidation and nitridation processes.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • oxidation and nitridation processes a reactive gas may be used to deposit material on the substrate
  • PVD processes a target is sputtered to deposit material on the substrate.
  • an oxide or nitride material such as silicon dioxide or silicon nitride, respectively, is formed on the substrate by exposing the substrate to a suitable gaseous environment.
  • a mask of photoresist or hard mask material is formed on the substrate by conventional lithographic methods, and the exposed portions of the substrate are etched by a gas to form patterns of gates, vias, contact holes or interconnect lines.
  • an emission spectrum of a plasma in the chamber is analyzed to monitor the etching process as for example taught in U.S. Patent Nos. 4,328,068 and 5,362,256, both of which are incorporated herein by reference.
  • the plasma emission spectrum depends upon the energized plasma species which are dependent upon the composition of the material being etched.
  • the composition of the material changes, for example, when a layer has completed etching and an underlayer is exposed, the spectral change that occurs in the plasma is used to detect completion of etching of the overlying material.
  • plasma emission methods monitor a predetermined wavelength in the plasma spectral emission and correlate variations in intensity of the wavelength with an endpoint of the process.
  • plasma emission analysis tends to provide information relating to an average state of processing across the surface of the substrate. This may lead to some regions being over-etched while others are under-etched.
  • Ellipsometry and interferometry are also be used to monitor the etching process.
  • a polarized light beam reflected off the substrate being etched is analyzed to determine a phase shift and change in magnitude, as for example disclosed in U.S. Patent Nos. 3,874,797 and 3,824,017, both of which are incorporated herein by reference.
  • interferometry a light beam reflected off the substrate is monitored and an etching depth is determined by counting maxima and minima in the amplitude of the reflected beam or from cessation of the signal, as for example disclosed in U.S. Patent No. 4,618,262 to Maydan et al, which is also incorporated herein by reference.
  • the constructive and destructive interference occurs because the light beam is partially reflected off the substrate surface and partially reflected off underlying interfaces.
  • a remaining thickness may be estimated by counting the maxima/minima peaks during etching. While these methods may be used to estimate the amount of remaining material, it is difficult to precisely determine the end of etching of the material, especially when the original thickness of the material varies slightly from one substrate to another or across the surface of the substrate itself. Also, the area on the substrate from which initial thickness measurements are obtained is often not the same area as that from which the reflected beam is monitored, which may give rises to erroneous measurements.
  • the endpoint detection method and apparatus it is desirable to precisely detect an endpoint of processing of a material on a substrate, especially to detect an endpoint of etching a layer on a substrate to expose an underlayer. It is further desirable for the endpoint detection method and apparatus to be relatively insensitive to changes in thickness of the layer across the substrate or from one substrate to another.
  • the present invention comprises a substrate processing apparatus comprising a process chamber capable of processing a first material on the substrate.
  • a radiation source is capable of emitting radiation that is reflected from the substrate during processing.
  • a radiation detector is provided to detect the reflected radiation and generate a signal trace.
  • a controller is adapted to receive the signal trace and evaluate an endpoint of processing the first material from a change in the signal trace that is distinctive of an exposure of a second material having a different reflectivity coefficient than the first material.
  • the apparatus comprises a computer having a memory capable of operating a computer-readable program embodied on a computer-readable medium, the computer readable program including program code to receive the signal trace and detect the change in the signal trace.
  • the present invention relates to a method of processing a substrate, in which, the substrate is placed in a process zone, and process conditions are set in the process zone to process a first material on the substrate. Radiation reflected from the substrate during processing is detected and an endpoint of processing the first material is determined from a change in intensity of reflected radiation that is distinctive of exposure of a second material having a different reflectivity coefficient than the first material.
  • the present invention relates to a substrate processing apparatus comprising a process chamber capable of sustaining a plasma to process a first material on the substrate.
  • a first radiation detector detects a radiation emission from the plasma and generates a first signal
  • a second radiation detector detects a reflected radiation from the substrate and generates a second signal.
  • a controller is adapted to receive the first and second signals and determine an endpoint of processing of the first material on the substrate.
  • the apparatus comprises a computer having a memory capable of operating a computer-readable program embodied on a computer-readable medium, the computer readable program including program code to receive the first and second signals and determine an endpoint of processing the first material on the substrate.
  • the present invention relates to a method of processing a substrate, in which, the substrate is placed in a process zone, and a plasma of process gas is formed in the process zone to process a first material on the substrate. Radiation emitted by the plasma and radiation reflected from the substrate are detected. An endpoint of processing the first material is determined from a change in the radiation emitted by the plasma and a change in the radiation reflected from the substrate.
  • Figure 1a is a schematic sectional view of a substrate showing the partial reflection and partial absorption of incident radiation during processing of a first material on the substrate;
  • Figure 1 b shows the substrate of Figure 1a, after completion of etching of the first material showing radiation being reflected from the surface of an underlying second material;
  • Figure 2 is a signal trace of the intensity of radiation having a wavelength of 704 nm emitted by the plasma during processing of the substrate;
  • Figure 3 is a signal trace of the intensity of radiation having a wavelength of 253.7 nm reflected from the substrate during processing of the substrate;
  • Figure 4 is a schematic sectional side view of a chamber and process monitoring system according to the present invention
  • Figure 5 is an block diagram of the structure of an illustrative computer program suitable for operating the chamber and monitoring the process.
  • the present invention is useful for monitoring a process conducted on a substrate 20, and especially useful for detecting an endpoint of processing of a material on the substrate 20.
  • the process may be used to detect completion of processing of a first material 22 and exposure of an underlying second material 24.
  • the substrate 20 comprises a plurality of materials 22, 24 formed on a wafer 26 of silicon, compound semiconductor or dielectric.
  • the materials 22, 24 it may be desirable to stop processing upon reaching an interface 23 between the first material 22 and the second material 24 or after completion of processing one or both of the first or second materials 22, 24.
  • the process may be illustrated referring to a typical etching process, in which a substrate 20 having the layers 22, 24 is placed in a process zone and process conditions are set for processing the substrate 20 in an energized process gas or plasma, for example to etch the first material 22.
  • the plasma emits radiation that is characteristic of the first material 22 being etched, generally, because the plasma contains volatilized gaseous species or compounds of the first material 22 that emit a characteristic spectrum or wavelength of radiation when energized.
  • the intensity fluctuations of radiation having the preselected wavelength is monitored to determine the rate of progress of etching of the first material 22.
  • the radiation intensity (at the preselected wavelength) is dependent upon the amount of material in the plasma that emits radiation in the particular characteristic or signature wavelength, it is also dependent upon the exposed area of the first material 22 and is indicative of the average etching state of the exposed surface of the substrate 20.
  • plasma emission analysis allows monitoring of a non-localized or global etching behavior across a substantially the entire substrate 20.
  • Figure 2 shows a trace of the intensity of the plasma emission radiation at a wavelength of 704 nm. The trace represents the radiation intensity observed during etching of a polysilicon layer (first material 22) overlying a silicon nitride layer (second material 24).
  • plugs of polysilicon material 27 are left behind between expanses of the silicon nitride layer 24 and/or exposed silicon 26.
  • the etching process is performed to clean up polysilicon that is incidentally deposited on the silicon nitride 24 while filing the plugs 27.
  • the emission intensity remains relatively flat and at a constant level.
  • the second etching stage II corresponding to the completion of etching of the underlying silicon nitride 24, shows a peak in the intensity of radiation emissions having the preselected wavelength.
  • the radiation intensity drops down to another level in stage III, in which the substrate 26 is being etched.
  • the plasma emission curve allows the operator to select a point 29 at which the slope of the radiation emission curve begins to rise (which indicates commencement of etching of the underlayer 24) to determine the endpoint of etching of the overlayer 22.
  • the intensity of radiation reflected from the surface of the substrate 20 is also monitored.
  • radiation incident on the substrate 20 is partially absorbed and partially reflected from the materials 22, 24 that are being processed on the substrate 20.
  • the absorption and reflectivity of radiation may be approximately described by a summation equation.
  • n n - ik
  • n and k are the real and imaginary parts, being the refractive index and extinction coefficient, respectively.
  • r 2 (n 1 -n 2 )/(n 1 +n 2 ), where n 2 is the complex refractive index for material 22.
  • the net reflected amplitude is approximately, r net ⁇ r, + t, t,' r 2 exp(+2i*) exp (-4Bk.,d/8), where multiple reflections have been neglected.
  • the variation in total reflected intensity with d 1 can appear periodic, with an increasing amplitude as d-, tends to zero.
  • the incident radiation 76 is partially reflected from the surface of the polysilicon plugs 27 and partially reflected from the surface of the silicon nitride material 24, and additional multiple internal reflections may occur from underlying layers and interfaces (not shown).
  • the sum of the reflected components results in another observed amplitude of reflected radiation that may be different from the previously observed periodic variation in intensity.
  • Figure 3 shows the amplitude trace of reflected radiation obtained during etching of the same type of substrate 20 as that described above, namely one with polysilicon 22 overlying silicon nitride 24.
  • a wavelength of 253.7 nm was chosen to irradiate the substrate 20 because it is one of the peak amplitudes of radiation of an emission spectra from a mercury discharge lamp.
  • the intensity of the reflected radiation remains relatively flat.
  • the second etching stage II corresponding to the etching of the underlying silicon nitride layer 24, shows a minima peak having a downward slope that corresponds to the etching away or clear-off of residual regions of polysilicon left in the field of view of the sensor.
  • the polysilicon plug 27 is etched at a faster rate than the surrounding silicon nitride 24.
  • This type of curve may be used to detect a precise endpoint of completion of etching of the polysilicon overlayer 22 and onset of etching of the underlayer 24 (at the point 31 where the curve has sloped downward for a short time period) to ensure complete removal of residual polysilicon.
  • This is advantageous because it allows selection of a different gas chemistry when the polysilicon 22 is substantially entirely etched away, to allow etching away of a portion of the plug 27 with a different gas chemistry that, for example, may have a higher etching selectivity to etching silicon nitride relative to polysilicon.
  • the intensity of the reflected radiation may be monitored and evaluated to determine a change in amplitude of reflected radiation that occurs during processing of the first and second materials 22, 24 from the difference in reflectivity between one or more of the first material 22, second material 24, or their interface 23.
  • the second material 24 may have a different reflectivity coefficient or function than the first material 22 which would cause a smaller or larger percentage of radiation to be reflected from the surface of the second material 24 than that reflected from the first material 22.
  • the interface 23 may also have a different reflectivity coefficient or function than the materials 22, 24 which would affect the amount of radiation reflected from the interface 23.
  • the empirically observed change in the amplitude trace is precisely correlated to the change in reflectivity that occurs after etching through one layer 22 and at the interface 23 of a second layer 24. This is typically a precise and sharply defined change that it is believed results substantially only from the change in reflectivity coefficient of the two materials and may be used to pinpoint the endpoint of the etching of the first layer 22 and reaching the environment of etching the second layer 24 accurately and decisively.
  • Additional precision may be obtained by focusing the incident radiation onto a precise area or region of the substrate 20 containing a known thickness of polysilicon material 22.
  • the localized reading of the change in reflectivity at the completion of etching of the localized region provides especially useful information when evaluated together with the average or global reading obtained across the surface of the substrate 20 by plasma emission analysis.
  • the two readings are evaluated to determine both the average etching rate and local etching rate, and are concurrently detected to chose an endpoint which is more accurate than a single reading from any one of the methods.
  • the two readings may be used to determine if there is any deviation in etching rates or in the original thickness of the polysilicon layer from substrate to substrate or across a batch of substrates.
  • a particular set of measurements may be empirically determined as a reference point for a batch of substrates. When future measurements on other batches deviate from these reference values, it could mean that the thickness of one of the materials 22, 24 has changed and the endpoint time should be adjusted in accordance with the deviation.
  • While the relationship between the amplitude change of reflected radiation in the etching of a first material 22 and exposure of a second material 24 may be established based on empirical data, it may also be estimated by a radiation absorption model with the appropriate parameters and assumptions, and knowing values for other parameters of the first and second materials 22, 24, e.g., its composition, refractive index, extinction coefficient, thickness, and other radiation absorption and reflection constants; an etch rate and etching selectivity of the process; and an area of overlying mask material.
  • a trace of the estimated amplitude change may be mathematically calculated for given thicknesses of the first and second materials and be stored as a reference trace or as a table of values. The reference trace or values in the table may be compared against an empirically determined signal trace to determine the stage or endpoint of the etching process.
  • the apparatus 27 comprises a chamber 28 having a process zone 30 for processing the substrate 20 and a support 32 to receive the substrate 20 in the process zone 30.
  • the process gases are introduced into the chamber 28 through a gas supply 34 comprising a gas source 36, gas outlets 38 located around the periphery of the substrate 20 (as shown) or in a showerhead mounted on the ceiling of the chamber (not shown), and a gas flow controller 40 for controlling the flow rate of the process gas.
  • Spent process gas and etchant byproducts are exhausted from the chamber 28 through an exhaust 42 comprising roughing and turbomolecular pumps (not shown) and a throttle valve 44 is used to control the pressure of process gas in the chamber 28.
  • An energized gas or plasma is generated from the process gas by a gas energizer 46 that couples RF or microwave energy to the process gas in the process zone 30 of the chamber 28, such as for example, an inductor antenna 48 comprising one or more coils powered by an antenna power supply 50 to inductively couple RF energy to the chamber 28.
  • a first process electrode 50 such as an electrically grounded sidewall of the chamber 28 and a second electrode 52 such as an electrically conductor below the substrate 20 may be used to further energize the gas in the chamber 28.
  • the first and second electrodes 50, 52 are electrically biased relative to one another by an RF voltage provided by an electrode voltage supply 54.
  • the frequency of the RF voltage applied to the inductor antenna 48 and the electrodes 50, 52 is typically from about 50 KHz to about 60 MHz.
  • the chamber 28 further comprises a process monitoring system 56 to monitor the process being performed on the substrate 20.
  • the interferometric analysis system 56 comprises both an interferometric analysis system 56 and a plasma emission analysis system 57.
  • the radiation source 58 may provide radiation such as ultraviolet (UV), visible or infrared radiation; or may provide other types of radiation such as X- rays.
  • the radiation source 58 may comprise, for example, an emission from a plasma generated inside the chamber 28, the plasma emission being generally multispectral, i.e., providing radiation having multiple wavelengths extending across a spectrum, such as polychromatic radiation.
  • the radiation source 58 may also be positioned outside the chamber 28 so that a radiation beam 60 may be transmitted from the source 58 through a window 61 and into the chamber 28.
  • the radiation source 58 may also provide substantially monochromatic radiation having predominant wavelengths, or a single wavelength such as monochromatic light for example, a He-Ne or Nd-YAG laser. Alternatively, the radiation source 58 may provide radiation having multiple wavelengths, such as polychromatic light, which may be selectively filtered to a single wavelength.
  • Suitable radiation sources 58 for providing polychromatic light include Hg discharge lamps that generate a polychromatic light spectrum having wavelengths in a range of from about 180 to about 600 nanometers; arc lamps such as xenon or Hg-Xe lamps and tungsten-halogen lamps; and light emitting diodes (LED)
  • the radiation source 58 provides a source of non-polarized light, such as ultraviolet, infrared or visible light directly above the substrate 20.
  • non-polarized light such as ultraviolet, infrared or visible light directly above the substrate 20.
  • variations in the intensity of polarized radiation reflected from the substrate 20 can be masked by changing absorption characteristics of the energized gas or plasma.
  • the state of polarization of the radiation also influences its absorption in materials having oriented crystalline structures, such as crystals having other than cubic symmetry.
  • the polarization state of the radiation can change when it passes through a thin residue film that deposits on the window 61 of the chamber 28 during the process, and the polarization state also changes as the thickness of the residue film increases, which gives rise to erroneous measurements.
  • a radiation source 58 providing unpoiarized radiation.
  • a normal incidence of the radiation onto the substrate 20 can reduce such effects. Normal incidence also provides a more accurate endpoint reading for a substrate 20 having tall and narrowly spaced features over the layers 22, 24, because the normally incident radiation is not blocked from reaching the layers 22, 24 by the height of the narrow features. However, the normal incidence is not necessary for detection of the reflected radiation and other angles of incidence may be employed.
  • the interferometric system 56 further comprises a radiation detector 62 for detecting radiation 64 reflected by the substrate 20.
  • the radiation detector 62 comprises a radiation sensor, such as a photovoltaic cell, photodiode, photomultiplier, or phototransistor, which provides an electrical output signal in response to a measured intensity of a reflected beam of radiation 64 (or an emission spectra from the plasma).
  • the signal may comprise a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component.
  • a suitable system for coupling the radiation detector 62 to the chamber 28 comprises a fiberoptic cable 69 leading to the sensor of the radiation detector 62.
  • the process endpoint data processed by the detectors 62 is transmitted to an interferometric analyzer 65 appropriate through interface boards to allow analysis of the data.
  • a lens assembly 66 may be used to focus a radiation beam 60 emitted by the radiation source 58 onto the substrate 20, or to focus a radiation beam 64 reflected back from the substrate 20 onto the sensor of the radiation detector 62.
  • a radiation source 58 comprising a Hg-discharge lamp located outside the chamber 28
  • a plurality of lenses 66 may be used to focus a radiation beam 60 from the lamp through the window 61 and onto a beam spot 70 on the substrate 20.
  • the area of the beam spot 70 should be sufficiently large to provide an accurate measurement of the surface topography of the substrate 20.
  • the lenses 66 may also be used to focus reflected radiation 64 back onto the sensor of the radiation detector 62 in the reverse direction which is especially useful when the radiation source 58 is a plasma emission.
  • a positioner 72 may be used to scan the incident radiation 60 across the substrate surface to locate a suitable portion of the substrate 20 on which to "park" the beam spot 70.
  • the positioner 72 may comprise one or more primary mirrors 74 that can rotate at small angles to deflect radiation from the radiation source 58 onto different positions of the substrate surface (as shown).
  • the mirrors 74 can also direct radiation emitted from a plasma emission and at least partially reflected off the substrate 20 back onto the radiation detector 62. Additional secondary mirrors (not shown) may be used to intercept and focus reflected radiation back on the radiation detector 62.
  • the positioner 72 can also be used to scan radiation in a raster pattern across the substrate 20.
  • the positioner 72 further comprises a movable stage (not shown) upon which the radiation source 58, lens assembly 66, and radiation detector 62 are mounted.
  • the movable stage may be moved through set intervals by a drive mechanism, such as a stepper motor, that scans or otherwise moves the beam spot 70 across the substrate 20.
  • Radiation having a plurality of wavelengths can be suitable filtered by placing a filter 76 in the path of the incident or reflected radiation 60, 64.
  • the filter 76 is typically located in the lens assembly 66 but can also be located at other positions in the chamber 28, for example, in the chamber window 61 , in front of the radiation detector 62, or in front of the radiation source 58.
  • a suitable filter 76 comprises thin films on a transparent support that selectively transmit radiation having the desired wavelength, a filtering lens, a diffraction grating having a diffraction spacing that scatters radiation having undesirable wavelengths, attenuation of radiation through a long pathlength in a partially absorbing material, or selective electronic filtering of the signal from the radiation detector 62 to read only the portion of the signal corresponding to radiation having the desired wavelength.
  • the plasma emission analysis system 57 comprises a monochromator 80, a stepper motor 82 that controls the wavelength selection for the monochromator 80, and a calibration lamp 84 that calibrates the monochromator 80.
  • the monochromator 80 converts the radiation signal into an electrical signal which is amplified by a photomultiplier 86 powered by a high voltage power source 88.
  • the output of the photomultiplier 86 is coupled to the monochromator interface board 90.
  • the stepper motor 82 allows selection of a particular wavelength for monitoring the process being conducted on the substrate 20 controlling the position of an interference grid within the monochromator 80 to select a wavelength.
  • the interface board 90 is also coupled to the calibration lamp 84 to calibrate the monochromator 80 at a particular wavelength.
  • the monochromator-based system can be replaced by a bandpass photon detector system, such as the system disclosed in U.S. Patent Application No. 08/800,003, filed February 13, 1997, and incorporated herein by reference.
  • the radiation data is supplied to an analyzer 94 through an electronic interface board 189.
  • the analyzer 94 may be a spectrometer that separates the components of the radiation emanating from the chamber 28, according to their frequency or wavelength.
  • analyzers include, but are not limited to, grating spectrometers, prism spectrometers, and band pass screen arrays, for example, a HOT pack manufactured by Applied Materials, Inc. of Santa Clara, California.
  • the analyzer may be a component of the detector, controller, or other such devices.
  • the chamber and endpoint detection system 56 is operated by a controller 100 that executes a computer-readable process control program 102 on a computer system 104 comprising a central processor unit (CPU) 106, such as for example a 68040 microprocessor, commercially available from Synergy Microsystems, California, or a Pentium Processor commercially available from Intel Corporation, Santa Clara, California, that is coupled to a memory 108 and peripheral computer components.
  • the memory 108 comprises a computer-readable medium having the computer-readable program 102 embodied therein.
  • the memory 108 includes a hard disk drive 110, a floppy disk drive 112, and random access memory 114.
  • the computer system 104 further comprises a plurality of interface cards including, for example, analog and digital input and output boards, interface boards, and motor controller boards.
  • the interface between an operator and the computer 104 can be, for example, via a display 118 and a light pen 120.
  • the light pen 120 detects light emitted by the monitor 118 with a light sensor in the tip of the light pen 120.
  • the operator touches a designated area of a screen on the display 118 and pushes the button on the light pen 120.
  • the area touched changes color, or a new menu is displayed, confirming communication between the user and the controller 110.
  • the computer 104 communicates with the chamber 28 and process monitoring systems 56, 57 via a controller interface 116 which has a plurality of interface boards and other cards.
  • the computer-readable program 102 stored on the memory 108 or other computer program product inserted in the disk drive 112, may also be used to operate the controller 100.
  • the computer program 102 generally comprises software to operate the chamber 28 and its components to monitor the processes, to activate safety systems, and other control software.
  • the computer program 102 may be written in any conventional programming language, such as for example, assembly language, C ++ , Pascal, or Fortran.
  • Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in the memory 108 of the computer system 104. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked, compiled object code, the user invokes the object code, causing the CPU 106 to read and execute the code to perform the tasks identified in the program.
  • FIG. 5 is an illustrative block diagram of a hierarchical control structure of an exemplary embodiment of a computer program 102 according to the present invention.
  • a user Using a light pen interface, a user enters a process set and chamber number into a process selector program 132 in response to menus or screens displayed on the CRT terminal.
  • the process sets are predetermined groups of process conditions necessary to carry out a process in a chamber 28 including without limitations, gas composition, gas flow rates, temperature, pressure, gas energizer settings such as RF or microwave power levels, cooling gas pressure, and wall temperature.
  • parameters needed to operate the process monitoring systems 56, 57 are also inputted by a user into the process selector program.
  • These parameters include known properties of the materials being processed, especially radiation absorption and reflection properties, such as reflectance and extinction coefficients; process monitoring algorithms that are modeled from empirically determined data; tables of empirically determined or calculated values that may be used to monitor the process; and properties of the materials being processed on the substrate.
  • the process sequencer program 134 comprises program code to accept a process chamber 28 and set of process parameters from the process selector program 132 and to control its operation.
  • the sequencer program 134 initiates execution of the process set by passing the particular process parameters to a chamber manager program 136 that controls multiple processing tasks in the process chamber 28.
  • the process chamber program 124 includes program code to set the timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, support position, heater temperature, and other parameters of a particular chamber 28.
  • the process chamber program 124 includes a substrate positioning program 138, a gas flow control program 140, a gas pressure control program 142, and a gas energizer control program 144.
  • the substrate positioning program 138 comprises program code for controlling chamber components that are used to load the substrate 20 onto the support 32 and optionally, to lift the substrate 20 to a desired height in the chamber 28 to control the spacing between the substrate 20 and the gas outlets 38 of the gas supply system 34.
  • the process gas control program 140 has program code for controlling the flow rates of different constituents of the process gas.
  • the gas flow control program 140 controls the open/close position of the safety shut-off valves, and also ramps up/down the gas flow controller 40 to obtain the desired gas flow rate.
  • the gas pressure control program 142 comprises program code for controlling the gas pressure in the chamber 28 by regulating the aperture size of the throttle valve 44 in the exhaust system 42 of the chamber.
  • the gas energizer control program 144 comprises program code for setting low and high-frequency RF power levels applied to the process electrodes 51 , 52 in the chamber 28.
  • the heater control program 146 comprises program code for controlling the temperature of a heater element (not shown) used to resistively heat the support 32 and substrate 20.
  • the process monitoring program 126 comprises program code that obtains sample or reference signals from the plasma emission analyzer 94 or the interferometry analyzer 65 and processes the signal according to preprogrammed criteria. Typically, a radiation amplitude or spectrum trace is provided to the controller 100.
  • the process monitoring program 126 may also send instructions to the controller 100 to operate components such as the radiation source 58, radiation detector 62, the positioner 72, lens assembly 66, filter 76, and other components.
  • the program may also send instructions to the chamber manager program 136 or other programs to change process conditions or other chamber settings.
  • one or more substrates 20 having predetermined thicknesses of material are selected for processing. Each substrate 20 is placed at one time into the process chamber 28 and process conditions are set to process a material 22 or an underlying material 24 on the substrate 20. Radiation reflected from the substrate 20 and/or emitted from the plasma in the chamber 28 are monitored using one or more radiation detectors 62. After a series of such traces are developed, they are examined to identify a recognizable change in a property of the trace, which is used as input for the computer program, in the form of an algorithm, a table of values, or other criteria for suitable for evaluating an event in the chamber 28 or a property of the substrate 20.
  • the process monitoring program 126 may include program code to evaluate a signal corresponding to a plasma emission or to an intensity of reflected radiation 64 which may be used to detect completion of processing of a material on the substrate 20.
  • the computer program 126 comprises program code to evaluate first and second signals that correspond to radiation emitted from the plasma and reflected from the substrate 20.
  • the program code evaluates an incoming signal trace provided by the analyzers 65, 94 and determines a process endpoint or completion of a process stage when a desired set of criteria is reached, such as when an attribute of the detected signal is substantially similar to a pre-programmed value.
  • the computer program 126 may also be programmed to detect both a completion of processing of a material, for example, by detecting a change in amplitude or a rate of change of amplitude of emitted or reflected radiation.
  • the desired criteria are programmed into process monitoring program 126 as preset or stored parameters, algorithms, or tables of values.
  • the program 126 may also include program code for modeling a trace of radiation, selecting a feature from the modeled trace or allowing a user to select the feature, storing the modeled trace or the feature, detecting a portion of an incoming signal, evaluating the measured signal relative to the stored trace or feature, and calling an end of a process stage of the process being performed on the substrate 20 or display.
  • the process monitoring program 126 comprises program code for continuously analyzing a trace of a measured amplitude of radiation by drawing a box or "window” around the end portion of the trace and back in time, with signal height and time length established in the preprogrammed algorithm.
  • a set of windows may be programmed to detect a valley or peak in the trace, trigger on an upward slope to detect a later endpoint, or to trigger on a downward slope to detect an endpoint before a valley in the trace.
  • the first criterion is met when the signal in the trace becomes too steep and exits or moves out of the preprogrammed box ("WINDOW OUT”) or when it becomes gradual and enters the box ("WINDOW IN").
  • Additional windows are sequentially applied on the moving trace to generate the complete set of criteria to make a determination on whether the change in signal measured in the real time trace is an endpoint of the process, a change in thickness of the material, or is only noise.
  • the direction of entering or exiting a box may also be specified as part of the preprogrammed input criteria for operating the process monitoring program 126.
  • the process monitoring program 126 Upon detecting an onset or completion of a process, the process monitoring program 126 signals the process chamber program 126 which sends instructions to the controller 100 to change a process condition in a chamber 28 in which the substrate 20 is being processed.
  • the controller 100 is adapted to control one or more of the gas supply 34, plasma generator 46, or throttle valve 44 to change a process condition in the chamber 28 in relation to the received signal.
  • the present invention is described with reference to certain preferred versions thereof, however, other versions are possible.
  • the endpoint detection process can be used for detecting endpoints in other processes and in other chambers as would be apparent to one of ordinary skill, including without limitation, other types of etching chambers, such as capacitively coupled chambers; ion implantation chambers; and deposition chambers such as PVD or CVD chambers. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Abstract

A substrate processing apparatus comprises a process chamber capable of processing a first material on the substrate. A radiation source is capable of emitting radiation that is reflected from the substrate during processing. A radiation detector is provided to detect the reflected radiation and generate a signal trace. A controller is adapted to receive the signal trace and evaluate an endpoint of processing the first material from a change in the signal trace that is distinctive of an exposure of a second material having a different reflectivity coefficient than the first material.

Description

DETECTING A PROCESS ENDPOINT FROM A CHANGE IN REFLECTIVITY
BACKGROUND
The invention relates to detection of a process endpoint during a substrate fabrication process.
In substrate fabrication processes, semiconductor, dielectric, and conductor materials, including for example materials such as polysilicon, silicon dioxide, aluminum and tungsten suicide, are formed on a substrate by chemical vapor deposition (CVD), physical vapor deposition, oxidation and nitridation processes. For example, in CVD processes, a reactive gas may be used to deposit material on the substrate, and in PVD processes, a target is sputtered to deposit material on the substrate. In oxidation and nitridation processes, an oxide or nitride material, such as silicon dioxide or silicon nitride, respectively, is formed on the substrate by exposing the substrate to a suitable gaseous environment. In subsequent etching processes, a mask of photoresist or hard mask material is formed on the substrate by conventional lithographic methods, and the exposed portions of the substrate are etched by a gas to form patterns of gates, vias, contact holes or interconnect lines. Especially in the etching processes, it is often desirable to change or stop processing of the substrate at a predetermined stage of the process. For example, when etching polysilicon material deposited over features of a silicon nitride mask layer, it is desirable to stop etching when the silicon nitride mask layer is reached and thereafter partially etching polysilicon plugs exposed between the silicon nitride layer. As another example, in the etching of gate structures, it is desirable to stop etching of overlying polysilicon as soon as the underlying gate oxide is reached, especially when the gate oxide is a thin layer.
In plasma emission analysis, an emission spectrum of a plasma in the chamber is analyzed to monitor the etching process as for example taught in U.S. Patent Nos. 4,328,068 and 5,362,256, both of which are incorporated herein by reference. The plasma emission spectrum depends upon the energized plasma species which are dependent upon the composition of the material being etched. When the composition of the material changes, for example, when a layer has completed etching and an underlayer is exposed, the spectral change that occurs in the plasma is used to detect completion of etching of the overlying material. In general, plasma emission methods monitor a predetermined wavelength in the plasma spectral emission and correlate variations in intensity of the wavelength with an endpoint of the process. However, such spectral changes occur only after a large portion of a new material is exposed on the substrate. Thus plasma emission analysis tends to provide information relating to an average state of processing across the surface of the substrate. This may lead to some regions being over-etched while others are under-etched.
Ellipsometry and interferometry are also be used to monitor the etching process. In ellipsometry, a polarized light beam reflected off the substrate being etched is analyzed to determine a phase shift and change in magnitude, as for example disclosed in U.S. Patent Nos. 3,874,797 and 3,824,017, both of which are incorporated herein by reference. In interferometry, a light beam reflected off the substrate is monitored and an etching depth is determined by counting maxima and minima in the amplitude of the reflected beam or from cessation of the signal, as for example disclosed in U.S. Patent No. 4,618,262 to Maydan et al, which is also incorporated herein by reference. The constructive and destructive interference occurs because the light beam is partially reflected off the substrate surface and partially reflected off underlying interfaces. If the original thickness of the layer is known, a remaining thickness may be estimated by counting the maxima/minima peaks during etching. While these methods may be used to estimate the amount of remaining material, it is difficult to precisely determine the end of etching of the material, especially when the original thickness of the material varies slightly from one substrate to another or across the surface of the substrate itself. Also, the area on the substrate from which initial thickness measurements are obtained is often not the same area as that from which the reflected beam is monitored, which may give rises to erroneous measurements.
Thus, it is desirable to precisely detect an endpoint of processing of a material on a substrate, especially to detect an endpoint of etching a layer on a substrate to expose an underlayer. It is further desirable for the endpoint detection method and apparatus to be relatively insensitive to changes in thickness of the layer across the substrate or from one substrate to another.
SUMMARY Embodiments of the present invention satisfy these needs, in principle, by detecting an endpoint of a process performed on a substrate with accuracy and repeatability. In one aspect, the present invention comprises a substrate processing apparatus comprising a process chamber capable of processing a first material on the substrate. A radiation source is capable of emitting radiation that is reflected from the substrate during processing. A radiation detector is provided to detect the reflected radiation and generate a signal trace. A controller is adapted to receive the signal trace and evaluate an endpoint of processing the first material from a change in the signal trace that is distinctive of an exposure of a second material having a different reflectivity coefficient than the first material.
In one version, the apparatus comprises a computer having a memory capable of operating a computer-readable program embodied on a computer-readable medium, the computer readable program including program code to receive the signal trace and detect the change in the signal trace.
In another aspect, the present invention relates to a method of processing a substrate, in which, the substrate is placed in a process zone, and process conditions are set in the process zone to process a first material on the substrate. Radiation reflected from the substrate during processing is detected and an endpoint of processing the first material is determined from a change in intensity of reflected radiation that is distinctive of exposure of a second material having a different reflectivity coefficient than the first material.
In another aspect, the present invention relates to a substrate processing apparatus comprising a process chamber capable of sustaining a plasma to process a first material on the substrate. A first radiation detector detects a radiation emission from the plasma and generates a first signal, and a second radiation detector detects a reflected radiation from the substrate and generates a second signal. A controller is adapted to receive the first and second signals and determine an endpoint of processing of the first material on the substrate.
In one version, the apparatus comprises a computer having a memory capable of operating a computer-readable program embodied on a computer-readable medium, the computer readable program including program code to receive the first and second signals and determine an endpoint of processing the first material on the substrate.
In another aspect, the present invention relates to a method of processing a substrate, in which, the substrate is placed in a process zone, and a plasma of process gas is formed in the process zone to process a first material on the substrate. Radiation emitted by the plasma and radiation reflected from the substrate are detected. An endpoint of processing the first material is determined from a change in the radiation emitted by the plasma and a change in the radiation reflected from the substrate.
DRAWINGS
These and other features, aspects, and advantages of the present invention will be better understood from the following drawings, description and appended claims, which illustrate exemplary features of the invention, however, it is to be understood that each of the features can be used in the invention in general, not merely in the context of a particular drawing, and the invention includes any combination of these features.
Figure 1a is a schematic sectional view of a substrate showing the partial reflection and partial absorption of incident radiation during processing of a first material on the substrate;
Figure 1 b shows the substrate of Figure 1a, after completion of etching of the first material showing radiation being reflected from the surface of an underlying second material;
Figure 2 is a signal trace of the intensity of radiation having a wavelength of 704 nm emitted by the plasma during processing of the substrate;
Figure 3 is a signal trace of the intensity of radiation having a wavelength of 253.7 nm reflected from the substrate during processing of the substrate;
Figure 4 is a schematic sectional side view of a chamber and process monitoring system according to the present invention; and Figure 5 is an block diagram of the structure of an illustrative computer program suitable for operating the chamber and monitoring the process.
DESCRIPTION
The present invention is useful for monitoring a process conducted on a substrate 20, and especially useful for detecting an endpoint of processing of a material on the substrate 20. As illustrated in the example shown in Figures 1a and 1b, the process may be used to detect completion of processing of a first material 22 and exposure of an underlying second material 24. Generally, the substrate 20 comprises a plurality of materials 22, 24 formed on a wafer 26 of silicon, compound semiconductor or dielectric. During processing of the materials 22, 24 it may be desirable to stop processing upon reaching an interface 23 between the first material 22 and the second material 24 or after completion of processing one or both of the first or second materials 22, 24. For example, in a typical etching process, it may be desirable to stop etching after etching through the first material 22 or after etching through only a small portion of the underlying second material 24.
The process may be illustrated referring to a typical etching process, in which a substrate 20 having the layers 22, 24 is placed in a process zone and process conditions are set for processing the substrate 20 in an energized process gas or plasma, for example to etch the first material 22. During etching, the plasma emits radiation that is characteristic of the first material 22 being etched, generally, because the plasma contains volatilized gaseous species or compounds of the first material 22 that emit a characteristic spectrum or wavelength of radiation when energized. The intensity fluctuations of radiation having the preselected wavelength is monitored to determine the rate of progress of etching of the first material 22. Because the radiation intensity (at the preselected wavelength) is dependent upon the amount of material in the plasma that emits radiation in the particular characteristic or signature wavelength, it is also dependent upon the exposed area of the first material 22 and is indicative of the average etching state of the exposed surface of the substrate 20. Thus, plasma emission analysis allows monitoring of a non-localized or global etching behavior across a substantially the entire substrate 20. As an example, Figure 2 shows a trace of the intensity of the plasma emission radiation at a wavelength of 704 nm. The trace represents the radiation intensity observed during etching of a polysilicon layer (first material 22) overlying a silicon nitride layer (second material 24). After etching, plugs of polysilicon material 27 are left behind between expanses of the silicon nitride layer 24 and/or exposed silicon 26. The etching process is performed to clean up polysilicon that is incidentally deposited on the silicon nitride 24 while filing the plugs 27. Referring to Figure 2, in the first stage I, during etching of the overlying polysilicon 22, the emission intensity remains relatively flat and at a constant level. The second etching stage II, corresponding to the completion of etching of the underlying silicon nitride 24, shows a peak in the intensity of radiation emissions having the preselected wavelength. When all the underlayer 24 is etched away, the radiation intensity drops down to another level in stage III, in which the substrate 26 is being etched. The plasma emission curve allows the operator to select a point 29 at which the slope of the radiation emission curve begins to rise (which indicates commencement of etching of the underlayer 24) to determine the endpoint of etching of the overlayer 22.
In addition to the plasma emission analysis, the intensity of radiation reflected from the surface of the substrate 20 is also monitored. In this method, radiation incident on the substrate 20 is partially absorbed and partially reflected from the materials 22, 24 that are being processed on the substrate 20. Generally, referring to Figure 1a, when an optically absorbing material 22 (medium 1) lies on another material 24 (medium 2), the absorption and reflectivity of radiation may be approximately described by a summation equation. The radiation in the process environment (medium 0) that is incident on the material 22 has a first surface reflection determined by the complex Fresnel coefficient r, = (n0-n1)/(n0+n1) where n0 and n1 are the complex refractive indices of media 0 and 1. The complex refractive index n is defined as n = n - ik where n and k are the real and imaginary parts, being the refractive index and extinction coefficient, respectively. As shown in Figure 1a, when the material 22 has a certain thickness, a portion of the incident radiation 76 is reflected as the component 78 and another portion is transmitted into the material 22 according to the complex Fresnel transmission coefficient t,= 2n0 (n0+n1). The transmitted radiation is absorbed in the material 22 as a function of the depth d by the factor exp(-4 k d s) where 8 is the wavelength of the incident radiation. If the incident radiation has not beer fully absorbed before reaching the interface 23 at the rear of the material 22 some of the radiation is reflected back according to the equation, r2 = (n1-n2)/(n1+n2), where n2 is the complex refractive index for material 22. The part of the reflection which remains after absorption during the round trip is transmitted back into process environment — where it combines with the original reflected radiation but with a phase change * = 2 B n, d^δ which depends upon the round trip distance covered in the depth d. The net reflected amplitude is approximately, rnet ή r, + t, t,' r2 exp(+2i*) exp (-4Bk.,d/8), where multiple reflections have been neglected. Explicit formulations may be found in references such as M. Born and E. Wolf, Principles of Optics. Pergamon Press (1965), which is incorporated herein by reference. When d and k are large enough, absorption dominates and the second term is essentially zero, producing a constant net reflection as a function of thickness. However, when the material 22 is completely etched, for example, as shown in Figure 1b, there is a net reflection from the surface of the underlying silicon nitride material 24 and the remaining surface of the polysilicon plug 27 that contributes to the total amplitude or intensity of the reflected radiation. In between, the amplitude of the reflected radiation may vary from the changing phase and magnitude of the second term through the interface between the two materials, and as the thickness d is changed. Depending on the magnitude of k-,, the variation in total reflected intensity with d1 can appear periodic, with an increasing amplitude as d-, tends to zero. After the first material has been etched and entirely removed, the incident radiation 76 is partially reflected from the surface of the polysilicon plugs 27 and partially reflected from the surface of the silicon nitride material 24, and additional multiple internal reflections may occur from underlying layers and interfaces (not shown). The sum of the reflected components results in another observed amplitude of reflected radiation that may be different from the previously observed periodic variation in intensity.
Figure 3 shows the amplitude trace of reflected radiation obtained during etching of the same type of substrate 20 as that described above, namely one with polysilicon 22 overlying silicon nitride 24. In this example, a wavelength of 253.7 nm was chosen to irradiate the substrate 20 because it is one of the peak amplitudes of radiation of an emission spectra from a mercury discharge lamp. In the first stage I, during etching of the overlying polysilicon layer 22, the intensity of the reflected radiation remains relatively flat. The second etching stage II, corresponding to the etching of the underlying silicon nitride layer 24, shows a minima peak having a downward slope that corresponds to the etching away or clear-off of residual regions of polysilicon left in the field of view of the sensor. After the silicon nitride layer is exposed, the polysilicon plug 27 is etched at a faster rate than the surrounding silicon nitride 24. As a result, there is a difference in path length for the radiation reflected from the surface of the polysilicon plug 27 relative to the radiation reflected from the surface of the silicon nitride 24, which gives rise to periodic variations that correspond to maxima and minima interference peaks. This type of curve may be used to detect a precise endpoint of completion of etching of the polysilicon overlayer 22 and onset of etching of the underlayer 24 (at the point 31 where the curve has sloped downward for a short time period) to ensure complete removal of residual polysilicon. This is advantageous because it allows selection of a different gas chemistry when the polysilicon 22 is substantially entirely etched away, to allow etching away of a portion of the plug 27 with a different gas chemistry that, for example, may have a higher etching selectivity to etching silicon nitride relative to polysilicon.
Thus, the intensity of the reflected radiation may be monitored and evaluated to determine a change in amplitude of reflected radiation that occurs during processing of the first and second materials 22, 24 from the difference in reflectivity between one or more of the first material 22, second material 24, or their interface 23. For example, when radiation passes through the first and second materials 22, 24, the second material 24 may have a different reflectivity coefficient or function than the first material 22 which would cause a smaller or larger percentage of radiation to be reflected from the surface of the second material 24 than that reflected from the first material 22. In addition, the interface 23 may also have a different reflectivity coefficient or function than the materials 22, 24 which would affect the amount of radiation reflected from the interface 23. The empirically observed change in the amplitude trace is precisely correlated to the change in reflectivity that occurs after etching through one layer 22 and at the interface 23 of a second layer 24. This is typically a precise and sharply defined change that it is believed results substantially only from the change in reflectivity coefficient of the two materials and may be used to pinpoint the endpoint of the etching of the first layer 22 and reaching the environment of etching the second layer 24 accurately and decisively.
Additional precision may be obtained by focusing the incident radiation onto a precise area or region of the substrate 20 containing a known thickness of polysilicon material 22. The localized reading of the change in reflectivity at the completion of etching of the localized region provides especially useful information when evaluated together with the average or global reading obtained across the surface of the substrate 20 by plasma emission analysis. The two readings are evaluated to determine both the average etching rate and local etching rate, and are concurrently detected to chose an endpoint which is more accurate than a single reading from any one of the methods. In addition, the two readings may be used to determine if there is any deviation in etching rates or in the original thickness of the polysilicon layer from substrate to substrate or across a batch of substrates. A particular set of measurements may be empirically determined as a reference point for a batch of substrates. When future measurements on other batches deviate from these reference values, it could mean that the thickness of one of the materials 22, 24 has changed and the endpoint time should be adjusted in accordance with the deviation.
While the relationship between the amplitude change of reflected radiation in the etching of a first material 22 and exposure of a second material 24 may be established based on empirical data, it may also be estimated by a radiation absorption model with the appropriate parameters and assumptions, and knowing values for other parameters of the first and second materials 22, 24, e.g., its composition, refractive index, extinction coefficient, thickness, and other radiation absorption and reflection constants; an etch rate and etching selectivity of the process; and an area of overlying mask material. A trace of the estimated amplitude change may be mathematically calculated for given thicknesses of the first and second materials and be stored as a reference trace or as a table of values. The reference trace or values in the table may be compared against an empirically determined signal trace to determine the stage or endpoint of the etching process.
The examples provided herein, may be used, for example, in the etching of a substrate 20 in the apparatus 27 that is schematically illustrated in Figure 4. Generally, the apparatus 27 comprises a chamber 28 having a process zone 30 for processing the substrate 20 and a support 32 to receive the substrate 20 in the process zone 30. The process gases are introduced into the chamber 28 through a gas supply 34 comprising a gas source 36, gas outlets 38 located around the periphery of the substrate 20 (as shown) or in a showerhead mounted on the ceiling of the chamber (not shown), and a gas flow controller 40 for controlling the flow rate of the process gas. Spent process gas and etchant byproducts are exhausted from the chamber 28 through an exhaust 42 comprising roughing and turbomolecular pumps (not shown) and a throttle valve 44 is used to control the pressure of process gas in the chamber 28.
An energized gas or plasma is generated from the process gas by a gas energizer 46 that couples RF or microwave energy to the process gas in the process zone 30 of the chamber 28, such as for example, an inductor antenna 48 comprising one or more coils powered by an antenna power supply 50 to inductively couple RF energy to the chamber 28. In addition, a first process electrode 50 such as an electrically grounded sidewall of the chamber 28 and a second electrode 52 such as an electrically conductor below the substrate 20 may be used to further energize the gas in the chamber 28. The first and second electrodes 50, 52 are electrically biased relative to one another by an RF voltage provided by an electrode voltage supply 54. The frequency of the RF voltage applied to the inductor antenna 48 and the electrodes 50, 52 is typically from about 50 KHz to about 60 MHz.
The chamber 28 further comprises a process monitoring system 56 to monitor the process being performed on the substrate 20. The interferometric analysis system 56 comprises both an interferometric analysis system 56 and a plasma emission analysis system 57. The radiation source 58 may provide radiation such as ultraviolet (UV), visible or infrared radiation; or may provide other types of radiation such as X- rays. The radiation source 58 may comprise, for example, an emission from a plasma generated inside the chamber 28, the plasma emission being generally multispectral, i.e., providing radiation having multiple wavelengths extending across a spectrum, such as polychromatic radiation. The radiation source 58 may also be positioned outside the chamber 28 so that a radiation beam 60 may be transmitted from the source 58 through a window 61 and into the chamber 28. The radiation source 58 may also provide substantially monochromatic radiation having predominant wavelengths, or a single wavelength such as monochromatic light for example, a He-Ne or Nd-YAG laser. Alternatively, the radiation source 58 may provide radiation having multiple wavelengths, such as polychromatic light, which may be selectively filtered to a single wavelength. Suitable radiation sources 58 for providing polychromatic light include Hg discharge lamps that generate a polychromatic light spectrum having wavelengths in a range of from about 180 to about 600 nanometers; arc lamps such as xenon or Hg-Xe lamps and tungsten-halogen lamps; and light emitting diodes (LED) In one version, the radiation source 58 provides a source of non-polarized light, such as ultraviolet, infrared or visible light directly above the substrate 20. One reason is that variations in the intensity of polarized radiation reflected from the substrate 20 can be masked by changing absorption characteristics of the energized gas or plasma. In addition, the state of polarization of the radiation also influences its absorption in materials having oriented crystalline structures, such as crystals having other than cubic symmetry. Also, the polarization state of the radiation can change when it passes through a thin residue film that deposits on the window 61 of the chamber 28 during the process, and the polarization state also changes as the thickness of the residue film increases, which gives rise to erroneous measurements. Thus, for certain processes, depending on the process gas composition and the location of the source of radiation, it may be preferred to use a radiation source 58 providing unpoiarized radiation. A normal incidence of the radiation onto the substrate 20 can reduce such effects. Normal incidence also provides a more accurate endpoint reading for a substrate 20 having tall and narrowly spaced features over the layers 22, 24, because the normally incident radiation is not blocked from reaching the layers 22, 24 by the height of the narrow features. However, the normal incidence is not necessary for detection of the reflected radiation and other angles of incidence may be employed.
The interferometric system 56 further comprises a radiation detector 62 for detecting radiation 64 reflected by the substrate 20. The radiation detector 62 comprises a radiation sensor, such as a photovoltaic cell, photodiode, photomultiplier, or phototransistor, which provides an electrical output signal in response to a measured intensity of a reflected beam of radiation 64 (or an emission spectra from the plasma). The signal may comprise a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. A suitable system for coupling the radiation detector 62 to the chamber 28 comprises a fiberoptic cable 69 leading to the sensor of the radiation detector 62. The process endpoint data processed by the detectors 62 is transmitted to an interferometric analyzer 65 appropriate through interface boards to allow analysis of the data.
Optionally, a lens assembly 66 may be used to focus a radiation beam 60 emitted by the radiation source 58 onto the substrate 20, or to focus a radiation beam 64 reflected back from the substrate 20 onto the sensor of the radiation detector 62. For example, for a radiation source 58 comprising a Hg-discharge lamp located outside the chamber 28, a plurality of lenses 66 may be used to focus a radiation beam 60 from the lamp through the window 61 and onto a beam spot 70 on the substrate 20. The area of the beam spot 70 should be sufficiently large to provide an accurate measurement of the surface topography of the substrate 20. The lenses 66 may also be used to focus reflected radiation 64 back onto the sensor of the radiation detector 62 in the reverse direction which is especially useful when the radiation source 58 is a plasma emission.
Optionally, a positioner 72 may be used to scan the incident radiation 60 across the substrate surface to locate a suitable portion of the substrate 20 on which to "park" the beam spot 70. The positioner 72 may comprise one or more primary mirrors 74 that can rotate at small angles to deflect radiation from the radiation source 58 onto different positions of the substrate surface (as shown). Alternatively, the mirrors 74 can also direct radiation emitted from a plasma emission and at least partially reflected off the substrate 20 back onto the radiation detector 62. Additional secondary mirrors (not shown) may be used to intercept and focus reflected radiation back on the radiation detector 62. The positioner 72 can also be used to scan radiation in a raster pattern across the substrate 20. In this version, the positioner 72 further comprises a movable stage (not shown) upon which the radiation source 58, lens assembly 66, and radiation detector 62 are mounted. The movable stage may be moved through set intervals by a drive mechanism, such as a stepper motor, that scans or otherwise moves the beam spot 70 across the substrate 20.
Radiation having a plurality of wavelengths, such as polychromatic light from a lamp or a plasma emission spectra, can be suitable filtered by placing a filter 76 in the path of the incident or reflected radiation 60, 64. The filter 76 is typically located in the lens assembly 66 but can also be located at other positions in the chamber 28, for example, in the chamber window 61 , in front of the radiation detector 62, or in front of the radiation source 58. A suitable filter 76 comprises thin films on a transparent support that selectively transmit radiation having the desired wavelength, a filtering lens, a diffraction grating having a diffraction spacing that scatters radiation having undesirable wavelengths, attenuation of radiation through a long pathlength in a partially absorbing material, or selective electronic filtering of the signal from the radiation detector 62 to read only the portion of the signal corresponding to radiation having the desired wavelength. The plasma emission analysis system 57 comprises a monochromator 80, a stepper motor 82 that controls the wavelength selection for the monochromator 80, and a calibration lamp 84 that calibrates the monochromator 80. The monochromator 80 converts the radiation signal into an electrical signal which is amplified by a photomultiplier 86 powered by a high voltage power source 88. The output of the photomultiplier 86 is coupled to the monochromator interface board 90. The stepper motor 82 allows selection of a particular wavelength for monitoring the process being conducted on the substrate 20 controlling the position of an interference grid within the monochromator 80 to select a wavelength. The interface board 90 is also coupled to the calibration lamp 84 to calibrate the monochromator 80 at a particular wavelength. Alternatively, the monochromator-based system can be replaced by a bandpass photon detector system, such as the system disclosed in U.S. Patent Application No. 08/800,003, filed February 13, 1997, and incorporated herein by reference. The radiation data is supplied to an analyzer 94 through an electronic interface board 189. The analyzer 94 may be a spectrometer that separates the components of the radiation emanating from the chamber 28, according to their frequency or wavelength. Such analyzers include, but are not limited to, grating spectrometers, prism spectrometers, and band pass screen arrays, for example, a HOT pack manufactured by Applied Materials, Inc. of Santa Clara, California. Alternatively, the analyzer may be a component of the detector, controller, or other such devices.
The chamber and endpoint detection system 56 is operated by a controller 100 that executes a computer-readable process control program 102 on a computer system 104 comprising a central processor unit (CPU) 106, such as for example a 68040 microprocessor, commercially available from Synergy Microsystems, California, or a Pentium Processor commercially available from Intel Corporation, Santa Clara, California, that is coupled to a memory 108 and peripheral computer components. The memory 108 comprises a computer-readable medium having the computer-readable program 102 embodied therein. Preferably, the memory 108 includes a hard disk drive 110, a floppy disk drive 112, and random access memory 114. The computer system 104 further comprises a plurality of interface cards including, for example, analog and digital input and output boards, interface boards, and motor controller boards. The interface between an operator and the computer 104 can be, for example, via a display 118 and a light pen 120. The light pen 120 detects light emitted by the monitor 118 with a light sensor in the tip of the light pen 120. To select a particular screen or function, the operator touches a designated area of a screen on the display 118 and pushes the button on the light pen 120. Typically, the area touched changes color, or a new menu is displayed, confirming communication between the user and the controller 110. The computer 104 communicates with the chamber 28 and process monitoring systems 56, 57 via a controller interface 116 which has a plurality of interface boards and other cards.
The computer-readable program 102 stored on the memory 108 or other computer program product inserted in the disk drive 112, may also be used to operate the controller 100. The computer program 102 generally comprises software to operate the chamber 28 and its components to monitor the processes, to activate safety systems, and other control software. The computer program 102 may be written in any conventional programming language, such as for example, assembly language, C++, Pascal, or Fortran. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in the memory 108 of the computer system 104. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked, compiled object code, the user invokes the object code, causing the CPU 106 to read and execute the code to perform the tasks identified in the program.
Figure 5 is an illustrative block diagram of a hierarchical control structure of an exemplary embodiment of a computer program 102 according to the present invention. Using a light pen interface, a user enters a process set and chamber number into a process selector program 132 in response to menus or screens displayed on the CRT terminal. The process sets are predetermined groups of process conditions necessary to carry out a process in a chamber 28 including without limitations, gas composition, gas flow rates, temperature, pressure, gas energizer settings such as RF or microwave power levels, cooling gas pressure, and wall temperature. In addition, parameters needed to operate the process monitoring systems 56, 57 are also inputted by a user into the process selector program. These parameters include known properties of the materials being processed, especially radiation absorption and reflection properties, such as reflectance and extinction coefficients; process monitoring algorithms that are modeled from empirically determined data; tables of empirically determined or calculated values that may be used to monitor the process; and properties of the materials being processed on the substrate.
The process sequencer program 134 comprises program code to accept a process chamber 28 and set of process parameters from the process selector program 132 and to control its operation. The sequencer program 134 initiates execution of the process set by passing the particular process parameters to a chamber manager program 136 that controls multiple processing tasks in the process chamber 28. The process chamber program 124 includes program code to set the timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, support position, heater temperature, and other parameters of a particular chamber 28. Typically, the process chamber program 124 includes a substrate positioning program 138, a gas flow control program 140, a gas pressure control program 142, and a gas energizer control program 144. Typically, the substrate positioning program 138 comprises program code for controlling chamber components that are used to load the substrate 20 onto the support 32 and optionally, to lift the substrate 20 to a desired height in the chamber 28 to control the spacing between the substrate 20 and the gas outlets 38 of the gas supply system 34. The process gas control program 140 has program code for controlling the flow rates of different constituents of the process gas. The gas flow control program 140 controls the open/close position of the safety shut-off valves, and also ramps up/down the gas flow controller 40 to obtain the desired gas flow rate. The gas pressure control program 142 comprises program code for controlling the gas pressure in the chamber 28 by regulating the aperture size of the throttle valve 44 in the exhaust system 42 of the chamber. The gas energizer control program 144 comprises program code for setting low and high-frequency RF power levels applied to the process electrodes 51 , 52 in the chamber 28. Optionally, the heater control program 146 comprises program code for controlling the temperature of a heater element (not shown) used to resistively heat the support 32 and substrate 20.
The process monitoring program 126 comprises program code that obtains sample or reference signals from the plasma emission analyzer 94 or the interferometry analyzer 65 and processes the signal according to preprogrammed criteria. Typically, a radiation amplitude or spectrum trace is provided to the controller 100. The process monitoring program 126 may also send instructions to the controller 100 to operate components such as the radiation source 58, radiation detector 62, the positioner 72, lens assembly 66, filter 76, and other components. The program may also send instructions to the chamber manager program 136 or other programs to change process conditions or other chamber settings.
To define the parameters of the process monitoring program 126, initially, one or more substrates 20 having predetermined thicknesses of material are selected for processing. Each substrate 20 is placed at one time into the process chamber 28 and process conditions are set to process a material 22 or an underlying material 24 on the substrate 20. Radiation reflected from the substrate 20 and/or emitted from the plasma in the chamber 28 are monitored using one or more radiation detectors 62. After a series of such traces are developed, they are examined to identify a recognizable change in a property of the trace, which is used as input for the computer program, in the form of an algorithm, a table of values, or other criteria for suitable for evaluating an event in the chamber 28 or a property of the substrate 20. For example, the process monitoring program 126 may include program code to evaluate a signal corresponding to a plasma emission or to an intensity of reflected radiation 64 which may be used to detect completion of processing of a material on the substrate 20. Thus, the computer program 126 comprises program code to evaluate first and second signals that correspond to radiation emitted from the plasma and reflected from the substrate 20. The program code evaluates an incoming signal trace provided by the analyzers 65, 94 and determines a process endpoint or completion of a process stage when a desired set of criteria is reached, such as when an attribute of the detected signal is substantially similar to a pre-programmed value. The computer program 126 may also be programmed to detect both a completion of processing of a material, for example, by detecting a change in amplitude or a rate of change of amplitude of emitted or reflected radiation. The desired criteria are programmed into process monitoring program 126 as preset or stored parameters, algorithms, or tables of values. The program 126 may also include program code for modeling a trace of radiation, selecting a feature from the modeled trace or allowing a user to select the feature, storing the modeled trace or the feature, detecting a portion of an incoming signal, evaluating the measured signal relative to the stored trace or feature, and calling an end of a process stage of the process being performed on the substrate 20 or display.
In one version, the process monitoring program 126 comprises program code for continuously analyzing a trace of a measured amplitude of radiation by drawing a box or "window" around the end portion of the trace and back in time, with signal height and time length established in the preprogrammed algorithm. A set of windows may be programmed to detect a valley or peak in the trace, trigger on an upward slope to detect a later endpoint, or to trigger on a downward slope to detect an endpoint before a valley in the trace. The first criterion is met when the signal in the trace becomes too steep and exits or moves out of the preprogrammed box ("WINDOW OUT") or when it becomes gradual and enters the box ("WINDOW IN"). Additional windows are sequentially applied on the moving trace to generate the complete set of criteria to make a determination on whether the change in signal measured in the real time trace is an endpoint of the process, a change in thickness of the material, or is only noise. The direction of entering or exiting a box may also be specified as part of the preprogrammed input criteria for operating the process monitoring program 126. Upon detecting an onset or completion of a process, the process monitoring program 126 signals the process chamber program 126 which sends instructions to the controller 100 to change a process condition in a chamber 28 in which the substrate 20 is being processed. The controller 100 is adapted to control one or more of the gas supply 34, plasma generator 46, or throttle valve 44 to change a process condition in the chamber 28 in relation to the received signal.
The present invention is described with reference to certain preferred versions thereof, however, other versions are possible. For example, the endpoint detection process can be used for detecting endpoints in other processes and in other chambers as would be apparent to one of ordinary skill, including without limitation, other types of etching chambers, such as capacitively coupled chambers; ion implantation chambers; and deposition chambers such as PVD or CVD chambers. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Claims

What is claimed is:
1. A substrate processing apparatus comprising: a process chamber capable of processing a first material on the substrate; a radiation source capable of emitting radiation that is reflected from the substrate during processing; a radiation detector to detect the reflected radiation and generate a signal trace; and a controller adapted to receive the signal trace and determine an endpoint of processing the first material from a change in the signal trace that is distinctive of an exposure of a second material having a different reflectivity coefficient than the first material.
2. An apparatus according to claim 1 wherein the change in the signal trace corresponds to a change in intensity of the signal trace.
3. An apparatus according to claim 1 wherein the change in intensity results substantially entirely from a change in reflectivity coefficient.
4. An apparatus according to claim 1 wherein the substrate comprises first and second materials, and wherein processing conditions are set to etch the first material until exposure of the second material.
5. An apparatus according to claim 1 wherein the controller comprises a computer having a memory capable of operating a computer-readable program embodied on a computer-readable medium, the computer readable program including program code to detect a change in intensity that results substantially entirely from the change in reflectivity.
6. An apparatus according to claim 1 wherein the radiation source is adapted to provide non-polarized radiation.
7. An apparatus according to claim 6 wherein the radiation source comprises a laser, LED or lamp.
8. An apparatus according to claim 1 wherein the radiation source is mounted above the substrate to direct a radiation beam at an incident angle of about 80 to 90 degrees onto the substrate.
9. A substrate processing apparatus comprising:
(a) a chamber capable of processing a first material on the substrate;
(b) a radiation source capable of emitting non-polarized radiation that is reflected from the substrate during processing;
(c) a radiation detector to detect the reflected radiation and generate a signal trace; and
(d) a computer having a memory capable of operating a computer- readable program embodied on a computer-readable medium, the computer readable program including program code to receive the signal trace and detect a change in the signal trace that is distinctive of exposure of a second material having a different reflectivity than the first material.
10. An apparatus according to claim 9 wherein the computer readable program includes program code to determine a change in intensity of the signal trace.
11. A method of processing a substrate in a process zone, the method comprising the steps of:
(a) placing the substrate in the process zone;
(b) setting process conditions in the process zone to process a first material on the substrate;
(c) detecting radiation reflected from the substrate during processing; and
(d) determining an endpoint of processing of the first material from a change in intensity of reflected radiation that is distinctive of exposure of a second material having a different reflectivity than the first material.
12. A method according to claim 11 wherein the change in intensity results substantially entirely from a change in reflectivity coefficient from the first to the second material.
13. A method according to claim 11 further comprising directing a beam of non-polarized radiation onto the substrate.
14. A method according to claim 13 comprising directing the radiation beam at an incident angle of about 80 to 90 degrees onto the substrate.
15. A method according to claim 11 comprising setting process conditions to etch the first material to expose the second material.
16. A substrate processing apparatus comprising: a process chamber capable of sustaining a plasma to process a first material on the substrate; a first radiation detector to detect a radiation emission from the plasma and generate a first signal; a second radiation detector to detect a reflected radiation from the substrate and generate a second signal; and a controller adapted to receive the first and second signals and determine an endpoint of processing of the first material on the substrate.
17. An apparatus according to claim 16 wherein the controller is adapted to evaluate the first signal to determine an averaged state of etching of the substrate.
18. An apparatus according to claim 16 wherein the controller is adapted to evaluate the second signal to determine a change in the second signal that is distinctive of the exposure of a second material having a different reflectivity coefficient than the first material.
19. An apparatus according to claim 16 wherein the change in the second signal comprises a change in intensity that results substantially entirely from a change in reflectivity coefficient.
20. An apparatus according to claim 16 wherein the substrate comprises first and second materials, and wherein processing conditions are set to etch the first material until exposure of the second material.
21. A substrate processing apparatus comprising: a process chamber capable of sustaining a plasma to process a first material on the substrate; a first radiation detector to detect a radiation emission from the plasma and generate a first signal; a second radiation detector to detect a reflected radiation from the substrate and generate a second signal; and a computer having a memory capable of operating a computer- readable program embodied on a computer-readable medium, the computer readable program including program code to receive the first and second signals and determine an endpoint of processing the first material on the substrate.
22. An apparatus according to claim 21 wherein the computer readable program includes program code to evaluate the first signal to determine an averaged state of etching of the first material, and to evaluate the second signal to determine a change in signal that is distinctive of exposure of a second material having a different reflectivity coefficient than the first material.
23. A method of processing a substrate in a process zone, the method comprising the steps of:
(a) placing the substrate in the process zone;
(b) forming a plasma of process gas in the process zone to process a first material on the substrate;
(c) detecting radiation emitted by the plasma;
(d) detecting radiation reflected from the substrate; and
(e) determining an endpoint of processing the material from a change in the radiation emitted by the plasma and a change in the radiation reflected from the substrate.
24. A method according to claim 23 comprising evaluating a change in radiation emitted by the plasma to determine an averaged state of etching of the substrate.
25. A method according to claim 23 comprising identifying a distinctive change in radiation reflected from the substrate to determine exposure of a second material having a different reflectivity coefficient than the first material.
26. A method according to claim 23 comprising identifying a distinctive change in radiation that substantially entirely results from a change in reflectivity coefficient of the substrate.
27. A method according to claim 23 comprising setting process conditions to etch the first material to expose a second material.
28. A method according to claim 23 further comprising directing non-polarized radiation onto the substrate.
PCT/US2000/033884 1999-12-13 2000-12-13 Detecting a process endpoint from a change in reflectivity WO2001042767A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP00984369A EP1238422A2 (en) 1999-12-13 2000-12-13 Detecting a process endpoint from a change in reflectivity
KR1020027007548A KR20020063219A (en) 1999-12-13 2000-12-13 Detecting a process endpoint from a change in reflectivity

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/460,198 US6449038B1 (en) 1999-12-13 1999-12-13 Detecting a process endpoint from a change in reflectivity
US09/460,198 1999-12-13

Publications (2)

Publication Number Publication Date
WO2001042767A2 true WO2001042767A2 (en) 2001-06-14
WO2001042767A3 WO2001042767A3 (en) 2002-01-24

Family

ID=23827742

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/033884 WO2001042767A2 (en) 1999-12-13 2000-12-13 Detecting a process endpoint from a change in reflectivity

Country Status (5)

Country Link
US (1) US6449038B1 (en)
EP (1) EP1238422A2 (en)
KR (1) KR20020063219A (en)
TW (1) TW535219B (en)
WO (1) WO2001042767A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
WO2001081648A1 (en) * 2000-04-20 2001-11-01 Vanderbilt University Method and system for thick-film deposition of ceramic materials
JP3893868B2 (en) * 2000-10-11 2007-03-14 東京エレクトロン株式会社 Field effect transistor manufacturing method, semiconductor device manufacturing method and apparatus
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US20040126906A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP4640828B2 (en) * 2006-03-17 2011-03-02 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
US20070262051A1 (en) * 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
JP5081497B2 (en) * 2007-05-22 2012-11-28 株式会社日立ハイテクノロジーズ Plasma processing equipment
US20090239314A1 (en) * 2008-03-20 2009-09-24 Martin Haberjahn Methods of Manufacturing a Semiconductor Device
ES2444588T3 (en) 2010-09-03 2014-02-25 Stryker Trauma Sa Ratchet wrench
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
JP2017168625A (en) * 2016-03-16 2017-09-21 住友電気工業株式会社 Method for manufacturing surface-emitting semiconductor laser
WO2018092050A1 (en) * 2016-11-16 2018-05-24 Nova Measuring Instruments Ltd. Layer detection for high aspect ratio etch control
CN114080662A (en) * 2020-06-16 2022-02-22 株式会社日立高新技术 Plasma processing apparatus and plasma processing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
WO1999054908A1 (en) * 1998-04-23 1999-10-28 Applied Materials, Inc. Crystalline gas distributor for semiconductor plasma etch chamber

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US3824017A (en) 1973-03-26 1974-07-16 Ibm Method of determining the thickness of contiguous thin films on a substrate
JPS5414953B2 (en) 1973-04-13 1979-06-11
US3985447A (en) 1975-08-29 1976-10-12 Bell Telephone Laboratories, Incorporated Measurement of thin films by polarized light
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US4198261A (en) 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4141780A (en) 1977-12-19 1979-02-27 Rca Corporation Optically monitoring the thickness of a depositing layer
US4328068A (en) 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4317698A (en) 1980-11-13 1982-03-02 Applied Process Technology, Inc. End point detection in etching wafers and the like
US4367044A (en) 1980-12-31 1983-01-04 International Business Machines Corp. Situ rate and depth monitor for silicon etching
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4493745A (en) * 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
US4611919A (en) 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
DE3600346A1 (en) 1986-01-08 1987-07-09 Fraunhofer Ges Forschung METHOD FOR IMAGING LASER INTERFEROMETRY AND LASER INTERFEROMETER FOR IMPLEMENTING THE METHOD
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4861419A (en) 1987-08-04 1989-08-29 Texas Instruments Incorporated Apparatus and method for production process diagnosis using dynamic time warping
US4953982A (en) 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US5151584A (en) 1988-07-20 1992-09-29 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4927485A (en) 1988-07-28 1990-05-22 Applied Materials, Inc. Laser interferometer system for monitoring and controlling IC processing
US4972072A (en) 1988-10-03 1990-11-20 Tritec Industries, Inc. System for detecting a film layer on an object
US5045149A (en) * 1988-10-24 1991-09-03 Vlsi Technology, Inc. Method and apparatus for end point detection
US5118378A (en) * 1989-10-10 1992-06-02 Hitachi, Ltd. Apparatus for detecting an end point of etching
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5362356A (en) 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5499733A (en) 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5564830A (en) 1993-06-03 1996-10-15 Fraunhofer Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and arrangement for determining the layer-thickness and the substrate temperature during coating
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69510032T2 (en) 1995-03-31 2000-01-27 Ibm Method and apparatus for monitoring dry etching of a dielectric film to a given thickness
JPH0927611A (en) 1995-07-11 1997-01-28 Seiko Epson Corp Surface light emitting semiconductor laser with photodetector and its manufacture as well as sensor using the laser
US5810963A (en) * 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
WO1999054908A1 (en) * 1998-04-23 1999-10-28 Applied Materials, Inc. Crystalline gas distributor for semiconductor plasma etch chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
WO2003081216A3 (en) * 2002-03-20 2003-11-13 Tokyo Electron Ltd Process monitoring using infrared optical diagnostics
US7102132B2 (en) 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics

Also Published As

Publication number Publication date
US6449038B1 (en) 2002-09-10
TW535219B (en) 2003-06-01
KR20020063219A (en) 2002-08-01
EP1238422A2 (en) 2002-09-11
WO2001042767A3 (en) 2002-01-24

Similar Documents

Publication Publication Date Title
US6449038B1 (en) Detecting a process endpoint from a change in reflectivity
US6406924B1 (en) Endpoint detection in the fabrication of electronic devices
US7969581B2 (en) Determining endpoint in a substrate process
US6559942B2 (en) Monitoring substrate processing with optical emission and polarized reflected radiation
US6824813B1 (en) Substrate monitoring method and apparatus
JP4841507B2 (en) Apparatus and method for processing a substrate
JPH0834199B2 (en) Etching end point detection method and apparatus
WO2000060657A1 (en) Endpoint detection in the fabrication of electronic devices
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
EP1124255A2 (en) Etching process in the fabrication of electronic devices
KR20010052595A (en) Endpoint detection in the fabrication of electronic devices

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

WWE Wipo information: entry into national phase

Ref document number: 2000984369

Country of ref document: EP

Ref document number: 1020027007548

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020027007548

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000984369

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Ref document number: 2000984369

Country of ref document: EP