WO2001045156A1 - A method of manufacturing a semiconductor device - Google Patents

A method of manufacturing a semiconductor device Download PDF

Info

Publication number
WO2001045156A1
WO2001045156A1 PCT/EP2000/012136 EP0012136W WO0145156A1 WO 2001045156 A1 WO2001045156 A1 WO 2001045156A1 EP 0012136 W EP0012136 W EP 0012136W WO 0145156 A1 WO0145156 A1 WO 0145156A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
stmcture
contact
dielectric
gate
Prior art date
Application number
PCT/EP2000/012136
Other languages
French (fr)
Inventor
Pierre H. Woerlee
Jurriaan Schmitz
Andreas H. Montree
Original Assignee
Koninklijke Philips Electronics N.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics N.V. filed Critical Koninklijke Philips Electronics N.V.
Priority to DE60044639T priority Critical patent/DE60044639D1/en
Priority to JP2001545357A priority patent/JP2003517209A/en
Priority to EP00989941A priority patent/EP1157417B1/en
Publication of WO2001045156A1 publication Critical patent/WO2001045156A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • a method of manufacturing a semiconductor device is a method of manufacturing a semiconductor device.
  • the invention relates to a method of manufacturing a semiconductor device comprising a semiconductor body which is provided at a surface with a transistor comprising a gate stmcture, by which method a patterned layer is applied defining the area of the gate stmcture, and a dielectric layer is applied in such a way, that the thickness of the dielectric layer next to the patterned layer is substantially equally large or larger than the height of the patterned layer, which dielectric layer is removed over part of its thickness until the patterned layer is exposed, after which the patterned layer is subjected to a material removing treatment, thereby forming a recess in the dielectric layer, and a conductive layer is applied filling the recess, which conductive layer is shaped into the gate stmcture.
  • Such a method is known from US-5, 856,225. This method is often referred to as replacement gate technique.
  • CMOS process flow steps need to be carried out, that is to say a contact window needs to be etched in the dielectric layer at the area of the planned electrical contact, which contact window needs to be filled by applying a further conductive layer, which further conductive layer needs to be shaped locally into a contact stmcture establishing the electrical contact with the surface of the semiconductor body.
  • a disadvantage of this method is that an additional conductive layer is required for the provision of an additional interconnect layer comprising the contact stmcture establishing the electrical contact with the surface of the semiconductor body.
  • a further disadvantage is that after planarisation of the dielectric layer, a contact to the gate stmcture is to be made in the same process step as a contact to the semiconductor body, which latter contact requires etching and subsequent metal filling to a larger depth than the former contact.
  • Another object of the invention is to provide a method, which enables the provision of a contact to the gate stmcture and a contact to the semiconductor body in the same process step, which latter contact requires etching and subsequent metal filling to a similar depth as the former contact.
  • this object is achieved in that, prior to the application of the conductive layer, a contact window is provided in the dielectric layer, which contact window is filled with the conductive layer, which conductive layer is locally shaped into a contact stmcture establishing electrical contact with the surface of the semiconductor body.
  • the gate stmcture and an additional interconnect layer comprising the contact stmcture are provided from a single conductive layer, no additional metal deposition step is needed.
  • the patterned layer may be removed during the material removing treatment and replaced by the conductive layer, it is advantageous to remove the patterned layer completely during this treatment and apply an insulating layer in the recess thus formed at the area of the gate stmcture, which insulating layer forms a gate dielectric of the transistor. In this way, the flexibility as regards the choice of materials for the gate stmcture and the gate dielectric is increased.
  • a dielectric material with a dielectric constant higher than that of silicon oxide ( ⁇ 4) as the gate dielectric and, hence, as the insulating layer from which the gate dielectric is formed.
  • tantalum oxide (Ta 2 O 5 ; ⁇ 20-25), aluminum oxide (Al 2 O 3 ; ⁇ 10) or silicon nitride (Si 3 N 4 ; ⁇ 7) can be applied to advantage, as these materials are deposited in a conformal and reproducible way by means of chemical vapor deposition (CVD).
  • the conductive layer, from which the gate stmcture of the transistor and the contact stmcture are formed, is advantageously applied by depositing a layer comprising a metal or a combination of metals.
  • a metal or a combination of metals In contrast with polycrystalline silicon, which is often applied as a gate material, metals intrinsically have a relatively low resistance and do not suffer from detrimental depletion effects. In this respect, a low-resistance metal such as aluminum, tungsten, copper or molybdenum can be advantageously applied.
  • the conductive layer is preferably applied as a double-layer consisting of a layer composed of the metal or the combination of metals on top of a layer acting as adhesion layer, barrier layer, or adhesion layer and barrier layer.
  • titanium (Ti) or tantalum (Ta) may be applied as adhesion layer and titanium nitride (TiN), tantalum nitride (TaN) or titanium tungsten (TiW) as barrier layer.
  • the conductive layer which fills the recess at the area of the gate stmcture and the contact window at the area of the contact stmcture, is advantageously subjected to a maskless material removing treatment until the conductive layer overlying the dielectric layer is removed.
  • the gate stmcture and the contact stmcture are fully recessed in the dielectric layer, which is characteristic of a damascene process.
  • the above mentioned maskless removal of the conductive layer is preferably accomplished by means of chemical-mechanical polishing (CMP).
  • CMP chemical-mechanical polishing
  • a subsequent maskless removal of the insulating layer is not required, but can be beneficial if the insulating layer involves a high dielectric constant material.
  • impurities can be advantageously introduced via the recess at the area of the gate stmcture into the semiconductor body in a self-registered way by using the dielectric layer as a mask.
  • the impurities are advantageously introduced into the semiconductor body by means of ion implantation, which in general includes a high-temperature anneal, which is used to restore the damage in the crystal lattice caused by the implantation and to activate the as-implanted impurities.
  • the contact stmcture is advantageously applied covering at least part of an oxide field insulating region, which is provided at the surface of the semiconductor body to separate active regions in the semiconductor body.
  • Such a contact stmcture is also referred to as a borderless contact.
  • the contact stmcture establishes an electrical contact between active regions separated from each other by an oxide field insulating region.
  • an electrical contact needs to be established between the drain of an NMOS transistor and the drain of an adjacent PMOS transistor.
  • the contact window may be provided in the dielectric layer by locally etching this layer on the basis of a fixed time or using end-point detection.
  • the surface of the semiconductor body at the area of the contact stmcture is advantageously provided with an etch stop layer prior to the application of the dielectric layer, which etch stop layer is composed of a material with respect to which the dielectric layer is selectively etchable.
  • silicon nitride as the etch stop layer
  • silicon oxide as the dielectric layer.
  • aluminum oxide can be used instead of silicon nitride and/or PSG (phosphosilicate glass) or BPSG (borophosphosilicate glass) instead of silicon oxide.
  • the patterned layer defining the area of the gate stmcture of the transistor may be applied composed of, for example, silicon nitride or aluminum oxide.
  • the patterned layer is advantageously applied comprising a semiconductor material.
  • the patterned layer may be advantageously applied by depositing and patterning a layer comprising a silicon germanium alloy.
  • the layer may be composed of a silicon germanium alloy, which is given by the chemical formula Ge x Si(i_ X ), or a silicon germanium alloy with a small percentage of carbon, which is given by the chemical formula Ge x Si ( i- x . y) C y .
  • x represents the fraction of germanium lying in the range between about 0.1 and 1
  • y the fraction of carbon lying in the range between about 0.001 and 0.05
  • (1-x) respectively (1-x-y) the fraction of silicon.
  • One embodiment of the method in accordance with the invention in which silicon is advantageously used as the semiconductor material, is characterized in that the patterned layer is applied by depositing and patterning a layer comprising silicon, and the dielectric layer is applied, after which the patterned layer is subjected to the material removing treatment wherein the silicon of the patterned layer is etched away, thereby forming the recess at the area of the gate stmcture, after which the dielectric layer is provided with the contact window at the area of the contact stmcture.
  • Another embodiment of the method in accordance with the invention in which silicon is advantageously used as the semiconductor material, is characterized in that, prior to the application of the patterned layer, a masking layer is applied to the surface of the semiconductor body, which semiconductor body is a silicon body, the masking layer being composed of a material with respect to which silicon is selectively etchable, after which the patterned layer is applied by depositing and patterning a layer comprising silicon, and the dielectric layer is applied, which dielectric layer is provided with the contact window at the area of the contact stmcture, which contact window exposes the masking layer, after which the patterned layer is subjected to the material removing treatment wherein the silicon of the patterned layer is etched away, thereby forming the recess at the area of the gate stmcture.
  • the masking layer is applied in order to protect the surface of the silicon body at the area of the contact stmcture from being attached by the etching mixture applied for etching of the silicon present in the patterned layer.
  • silicon oxide may, for example, be advantageously applied as the masking layer, although other materials may also be used.
  • the dielectric layer is advantageously removed over part of its thickness by means of chemical-mechanical polishing. Experimentally, it is observed that the moment of stopping the chemical-mechanical polishing (CMP) of the dielectric layer is rather critical if the patterned layer comprises semiconductor material. If the CMP process is stopped too early, remainders of the dielectric layer are left on the patterned layer which hinder the subsequent removal of the patterned layer or part of the patterned layer.
  • CMP chemical-mechanical polishing
  • the definition of the height of the planned gate stmcture is adversely affected.
  • the second sub-layer will act as etch stop layer during the removal of the dielectric layer.
  • silicon nitride as the second sub-layer and silicon oxide as the dielectric layer.
  • a further dielectric layer is applied, in which further dielectric layer vias are etched exposing at least part of the gate stmcture and at least part of the contact stmcture, which vias are filled by applying a further conductive layer.
  • the vias exposing the gate stmcture and the contact stmcture are of a similar depth, which facilitates etching and conformal filling of these vias.
  • Figs. 1 to 13 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a first embodiment of the method in accordance with the invention
  • Figs. 14 to 20 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a second embodiment of the method in accordance with the invention.
  • Figs. 1 to 13 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a first embodiment of the method in accordance with the invention.
  • a semiconductor body 1 of a first conductivity type in the present example a silicon body of, for example, p-type conductivity, is provided at a surface 2 with relatively thick oxide field insulating regions 3, which are at least partly recessed in the semiconductor body 1 and which define an active region 4 in which a transistor, in the present example an NMOS transistor, is to be manufactured.
  • an adjacent active region 5 is shown, in which adjacent active region 5 a transistor, in the present example a PMOS transistor, is to be manufactured.
  • the adjacent active region 5 has been included in order to illustrate the formation of a contact stmcture establishing an electrical contact between the drain of an NMOS transistor and the drain of a PMOS transistor in a CMOS inverter. It should be noted that masks needed to screen the adjacent active region 5 during processing of the active region 4, for example during implantation of the active region 4, and vice versa will not be shown in the figures nor mentioned in the description. For a person skilled in the art it will be clear that such masks are used in several stages of the process.
  • the thick oxide field insulating regions 3 are formed in a usual way by means of LOCOS (LOCal Oxidation of Silicon) or by means of STI (Shallow Trench Isolation).
  • the adjacent active region 5 is provided with a well 6 of a second, opposite conductivity type, in the present example n-type, by means of ion implantation of a dose of, for example, phosphoms or arsenic, which ion implantation may be advantageously carried out after having provided the surface 2 of the semiconductor body 1 with a sacrificial silicon oxide layer (not shown) by means of, for example, thermal oxidation.
  • the active region 4 may be provided with a well of the first conductivity type, in the present example p-type.
  • the surface 2 of the semiconductor body 1 is provided with a layer 7 composed of, for example, silicon oxide, which is covered by a patterned layer 10 defining the area of a gate stmcture to be provided in a later stage of the process.
  • the patterned layer 10 is obtained by depositing a double-layer consisting of a first sub-layer 8 of, for example, polycrystalline silicon, and, on top thereof, a second sub-layer 9 composed of, for example silicon nitride, and patterning the double-layer e.g. in a usual photolithographic way. Any other suitable material such as, for example, aluminum oxide or a combination of materials can be used instead of silicon nitride.
  • the patterned layer 10 may be a single layer as well, composed of, for example, silicon nitride or aluminum oxide or a semiconductor material such as, for example, polycrystalline silicon, amorphous silicon, Ge x Si ( i- X) or Ge x Si ( i_ x .
  • source/drain extensions 11 of the second, opposite conductivity type, in the present example n-type are formed on opposite sides of the patterned layer 10 by means of a self-aligned implantation of a relatively light dose of, for example, phosphoms or arsenic, using the patterned layer 10 together with the oxide field insulating regions 3 as a mask.
  • the adjacent active region 5 is provided with source/drain extensions 12 of the first conductivity type, in the present example p-type (source extension not shown).
  • the patterned layer 10 is provided with sidewall spacers 13 e.g. in a known way, for example, by means of deposition and anisotropic etch-back of a silicon oxide layer (Fig. 2).
  • a highly-doped source zone 14 and a highly-doped drain zone 15 of the second conductivity type, in the present example n- type are formed on opposite sides of the sidewall spacers 13 by means of a self-aligned implantation of a heavier dose of, for example, phosphoms or arsenic, using the oxide field insulating regions 3 together with the patterned layer 10 and the sidewall spacers 13 as a mask.
  • the adjacent active region 5 is provided with a highly-doped source zone (not shown) and a highly-doped drain zone 16 of the first conductivity type, in the present example p-type.
  • an etch stop layer 17, in the present example composed of silicon nitride, and a relatively thick dielectric layer 18, in the present example o composed of silicon oxide, are applied, the dielectric layer 18 being applied in such a way, that the thickness of the dielectric layer 18 next to the patterned layer 10 is substantially equally large or larger than the height of the patterned layer 10.
  • aluminum oxide can be used instead of silicon nitride and/or BPSG (borophosphosilicate glass) or PSG (phosphosilicate glass) can be used instead of silicon oxide.
  • the etch stop layer 17 needs to be composed of a material with respect to which the dielectric layer 18 is selectively etchable, in order to counteract serious etching of the oxide field insulating regions 3.
  • the dielectric layer 18 is removed over part of its thickness until the patterned layer 10 is exposed (Fig. 4).
  • This can be accomplished by means of, for example, chemical -mechanical polishing (CMP) e.g. using a commercially available slurry.
  • CMP chemical -mechanical polishing
  • the second sub-layer 9, in the present example composed of silicon nitride will act as a stop layer.
  • the second sub-layer 9, which is composed of silicon nitride in the present example, is removed selectively with respect to the dielectric layer 18 and the sidewall spacers 13, both composed of silicon oxide in the present example, by means of, for example, wet etching using a mixture of, for example, hot phosphoric acid and sulphuric acid.
  • the dielectric layer 18 is provided with a recess 19 in which the first sub-layer 8 is exposed.
  • the first sub-layer 8 and the layer 7 are removed in two separate etching steps.
  • the first sub-layer 8, in the present example composed of polycrystalline silicon can be removed selectively by means of wet etching using, for example, a hot KOH solution or by means of plasma etching with, for example, a HBr/Cl mixture.
  • the layer 7, in the present example composed of silicon oxide can be removed by means of wet etching using HF. It should be noted that, alternatively, the layer 7 may be preserved in the recess 19 and used as a gate dielectric of the transistor.
  • the semiconductor body 1 is provided with an impurity region 20 of the first conductivity type, in the present example p-type, by introducing p-type impurities such as, for example, boron (B), via the recess 19 at the area of the gate stmcture 21 into the semiconductor body 1 in a self-registered way by using the dielectric layer 18 as a mask.
  • the impurity region 20 can be applied as, for example, a shallow region to suppress short-channel threshold-voltage reduction and/or a deeper region to suppress punch-through between the extended source zone 14,11 and the extended drain zone 15,11 of the NMOS transistor.
  • the impurities are advantageously introduced into the semiconductor body 1 by means of ion implantation as depicted by arrows 22.
  • boron may be implanted at an energy ranging from about 20 to 60 keV . nd a dose of about 2.10 13 atoms/cm 2 .
  • phosphoms (P) ions or arsenic (As) ions may be implanted in the PMOS transistor for similar reasons.
  • phosphoms may be implanted at an energy ranging from about 100 to 130 keV and a dose of about 2.10 13 atoms/cm 2
  • arsenic may be implanted at an energy ranging from about 180 to 240 keV and a dose of about 2.10 13 atoms/cm 2 .
  • the implantation may be carried out substantially perpendicularly to the surface 2 of the semiconductor body 1.
  • the shallow region for the suppression of short-channel threshold-voltage reduction and the deeper region for punch-through suppression may be formed in two implantation steps carried out at different energies, or simultaneously in one implantation step at a single energy.
  • a high-temperature anneal is carried out at a temperature as high as about 900°C in order to restore the damage in the crystal lattice caused by the implantation and to activate the as-implanted impurities.
  • an insulating layer 23 is applied to all exposed surfaces, providing a gate dielectric 24 of the transistor.
  • the insulating layer 23 may be composed of silicon oxide, however, a dielectric material with a dielectric constant higher than that of silicon oxide, such as tantalum oxide, aluminum oxide or silicon nitride may be more favorable. If silicon oxide is to be applied for the gate dielectric 24, it may be obtained by means of, for example, chemical vapor deposition or thermal oxidation of silicon.
  • the high dielectric constant materials tantalum oxide, aluminum oxide and silicon nitride can be applied, for example, by means of chemical vapor deposition (CVD).
  • the above-mentioned ion implantation for punch-through suppression and/or suppression of short-channel threshold voltage reduction may alternatively be carried out before the removal of the layer 7 or after the application of the insulating layer 23.
  • a thin layer composed of, for example, silicon oxide which is present at the surface of the semiconductor body, may improve the characteristics of ion implantation.
  • the insulating layer 23 is composed of a dielectric material with a high dielectric constant, the high-temperature anneal associated with the ion implantation may degrade the dielectric properties of the material applied if the anneal is carried out afterwards.
  • a resist mask 25 is applied to the semiconductor body 1, which resist mask 25 exposes the insulating layer 23 at the area of contact structures 26,27 to be provided in a later stage of the process.
  • a thin metal layer (not shown) can be advantageously applied prior to the application of the resist mask 25, in order to protect the gate dielectric 24 against contamination.
  • contact windows 28,29 are etched in the insulating layer 23 and the dielectric layer 18 at the area of the contact stmctures 26,27, which contact windows 28,29 expose the etch stop layer 17.
  • Etching of the dielectric layer 18, in the present example composed of silicon oxide may be carried out by means of dry etching in, for example, a CO/C 4 F gas mixture. Since the dielectric layer 18, in the present example composed of silicon oxide, etches much faster in this mixture than the etch stop layer 17, in the present example composed of silicon nitride, the etch process will stop the moment the etch stop layer 17 is reached.
  • a conductive layer 30 is applied to the semiconductor body 1 in a usual way, thereby filling the recess 19 at the area of the gate stmcture 21 and the contact windows 28,29 at the area of the contact stmctures 26,27.
  • the conductive layer 30 advantageously comprises a metal such as aluminum, tungsten, copper or molybdenum, or a combination of metals. If a metal is applied, the conductive layer 30 is advantageously applied as a double-layer consisting of a layer composed of a metal such as aluminum, tungsten, copper or molybdenum, or of a combination of metals on top of a layer acting as an adhesion layer and/or a barrier layer. In this respect Ti or Ta may be applied as an adhesion layer and TiN, TaN or TiW as a barrier layer.
  • the conductive layer 30 is shaped into the gate stmcture 21 and the contact stmctures 26,27. This can be done by means of, for example, etching using an oversized mask. In that case the conductive material of the gate stmcture 21 and the contact stmctures 26,27 stretches out over the dielectric layer 18, which is coated with the insulating layer 23, to beyond the recess 19 at the area of the gate stmcture 21 (see Fig. 9) and to beyond the contact windows 28,29 at the area of the contact stmctures 26,27 (see Fig. 9).
  • the conductive layer 30 is preferably etched in a maskless process until the insulating layer 23 is exposed, thereby forming the gate stmcture 21 and the contact stmctures 26,27, which are all recessed in the dielectric layer 18.
  • An additional maskless removal of the insulating layer 23, the result of which is shown in Fig. 11, is not required, but can be beneficial if the insulating layer 23 comprises a high dielectric constant material.
  • Maskless removal of either the conductive layer 30 or both the conductive layer 30 and the insulating layer 23 can be accomplished by means of, for example, chemical-mechanical polishing (CMP) e.g. using a commercially available slurry.
  • CMP chemical-mechanical polishing
  • a further dielectric layer 31 is applied, which dielectric layer 31 is provided with vias 32 by means of etching, the vias 32 exposing at least part of the gate stmcture 21 and at least part of the contact stmctures 26,27.
  • a further conductive layer is applied to the semiconductor body 1 , thereby filling the vias 32, which conductive layer can be subsequently etched in a maskless process until the dielectric layer 31 is exposed, thereby forming contact plugs 33 recessed in the dielectric layer 31, the result of which is shown in Fig. 13.
  • the further conductive layer is advantageously applied as a double-layer consisting of a layer composed of a metal such as aluminum, tungsten or copper, or of a combination of metals on top of a layer acting as an adhesion layer and/or a barrier layer.
  • a metal such as aluminum, tungsten or copper
  • Ti or Ta may be applied as the adhesion layer and TiN, TaN or TiW as the barrier layer.
  • the recess 19 at the area of the gate stmcture 21 is formed prior to providing the dielectric layer 18 with the contact windows 28, 29 at the area of the contact stmctures 26,27.
  • Figs. 14 to 20 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a second embodiment of the method in accordance with the invention, in which embodiment the recess 19 at the area of the gate stmcture 21 is formed after having provided the dielectric layer 18 with the contact windows 28,29 at the area of the contact stmctures 26,27.
  • the situation shown in Fig. 14 is obtained after applying the resist mask 25 to the semiconductor body 1, which resist mask 25 exposes the dielectric layer 18 at the area of the contact stmctures 26,27, and etching the contact windows 28,29 in the dielectric layer 18 at the area of the contact stmctures 26,27, which contact windows 28,29 expose the etch stop layer 17.
  • Etching of the dielectric layer 18, in the present example composed of silicon oxide may be carried out by means of dry etching in, for example, a CO/C 4 F 8 gas mixture. Since the dielectric layer 18, in the present example composed of silicon oxide, etches much faster in this mixture than the etch stop layer 17, in the present example composed of silicon nitride, the etch process will stop the moment the etch stop layer 17 is reached.
  • the etch stop layer 17 is removed in the contact windows 28,29, thereby exposing the layer 7 in the contact windows 28,29 at the area of the contact stmctures 26,27, the result of which is shown in Fig. 15.
  • the second sub-layer 9, which is composed of silicon nitride in the present example, is removed selectively with respect to the dielectric layer 18 and the sidewall spacers 13, both composed of silicon oxide in the present example, by means of, for example, wet etching using a mixture of, for example, hot phosphoric acid and sulphuric acid.
  • the dielectric layer 18 is provided with a recess 19 in which the first sub-layer 8 is exposed.
  • etch stop layer 17 and the second sub-layer 9, which are both composed of silicon nitride in the present example, can be removed in one step.
  • the first sub-layer 8 and the layer 7 are removed in two separate etching steps.
  • the first sub-layer 8, in the present example composed of polycrystalline silicon can be removed selectively by means of wet etching using, for example, a hot KOH solution or by means of plasma etching with, for example, a HBr/Cl mixture.
  • a hot KOH solution or by means of plasma etching with, for example, a HBr/Cl mixture.
  • the layer 7 has been applied in Fig. 1 as a masking layer, in the present example composed of silicon oxide.
  • the masking layer 7 must be composed of a material with respect to which silicon is selectively etchable. Instead of silicon oxide other materials may be applied as the masking layer 7. It is to be noted that a masking layer is needed if the patterned layer is a single layer composed of silicon or a multi- or double-layer comprising a sub-layer composed of silicon. No masking layer is needed if the patterned layer is a single layer composed of Ge x Si ⁇ - x or Ge x Si ⁇ . x .
  • y Cy or a multi- or double-layer comprising a sub-layer composed of Ge x Si ⁇ - x or Ge x Si ⁇ . x . y C y , with x being the fraction of germanium ranging between about 0.1 and 1, y the fraction of carbon ranging between about 0.001 and 0.05, and (1-x) and (1-x-y) the fraction of silicon.
  • a wet chemical etching treatment in e.g. a hot, concentrated sulphuric acid (H SO 4 ) solution, such a silicon germanium alloy etches at least about 10 times faster than silicon.
  • the semiconductor body 1 is provided at the area of the gate stmcture 21 is provided with the impurity region 20 of the first conductivity type, in the present example p-type, by introducing p-type impurities such as, for example, boron (B), via the recess 19 at the area of the gate stmcture 21 into the semiconductor body 1 in a self-registered way by using the dielectric layer 18 as a mask.
  • the impurities are advantageously introduced into the semiconductor body by means of ion implantation, as depicted by arrows 22.
  • the impurity region 20 can be applied as, for example, a shallow region to suppress short-channel threshold-voltage reduction and/or a deeper region to suppress punch-through between the extended source zone 14,11 and the extended drain zone 15,11 of the NMOS transistor.
  • the insulating layer 23 is applied to all exposed surfaces, thus forming the gate dielectric 24 of the transistor.
  • the insulating layer 23 may be composed of silicon oxide, however, a dielectric material with a dielectric constant higher than that of silicon oxide, such as tantalum oxide, aluminum oxide or silicon nitride may be more favorable. If silicon oxide is to be applied for the gate dielectric 24, it may be obtained by means of, for example, chemical vapor deposition or thermal oxidation of silicon.
  • the high dielectric constant materials tantalum oxide, aluminum oxide and silicon nitride can be applied, for example, by means of chemical vapor deposition (CVD).
  • the above-mentioned ion implantation for punch-through suppression and/or suppression of short-channel threshold voltage reduction may alternatively be carried out before the removal of the layer 7 or after the application of the insulating layer 23.
  • the insulating layer 23 is composed of a dielectric material with a high • dielectric constant, the high-temperature anneal associated with the ion implantation may degrade the dielectric properties of the material applied if the anneal is carried out afterwards.
  • a next step Fig.
  • a further resist mask 34 is applied to the semiconductor body 1, which resist mask 34 fills and covers the recess 19 at the area of the gate stmcture 21 and exposes the insulating layer 23 in the contact windows 28,29 at the area of the contact stmctures 26,27.
  • a thin metal layer (not shown) can be advantageously applied prior to the application of the further resist mask 34, in order to protect the gate dielectric 24 against contamination.
  • the insulating layer 23 is removed from the contact windows 28,29, thereby exposing the surface 2 of the semiconductor body 1 and the oxide field insulating regions 3 in the contact windows 28,29 at the area of the contact stmctures 26,27.
  • the conductive layer 30 is applied to the semiconductor body 1 in a usual way, thereby filling the recess 19 at the area of the gate stmcture 21 and the contact windows 28,29 at the area of the contact stmctures 26,27.
  • Polycrystalline silicon, amorphous silicon, Ge x Si ⁇ _ x or Ge x Si]- x . y C y may be used for the conductive layer 30, with x being the fraction of germanium roughly lying in the range between 0.1 and 1, y the fraction of carbon lying in the range between about 0.001 and 0.05, and (1-x) and (1-x-y) the fraction of silicon.
  • the conductive layer 30 advantageously comprises a metal such as aluminum, tungsten, copper or molybdenum, or a combination of metals. If a metal is applied, the conductive layer 30 is advantageously applied as a double- layer consisting of a layer composed of a metal such as aluminum, tungsten, copper or molybdenum, or of the combination of metals on top of a layer acting as an adhesion layer and/or a barrier layer. In this respect Ti or Ta may be applied as the adhesion layer and TiN, TaN or TiW as the barrier layer.
  • the invention is not limited to the embodiments described above, but that many variations are possible to those skilled in the art within the scope of the invention.
  • the first sub-layer of the patterned layer and the surface layer applied underneath it may not be removed after removal of the second sub-layer of the patterned layer.
  • the above-mentioned surface layer will form the gate dielectric of the transistor, whereas the above-mentioned first sub-layer will form part of the gate stmcture of the transistor.
  • the etch stop layer may be applied before the patterned layer is provided.
  • the masking layer and the etch stop layer may then be applied as a double-layer consisting of a first sub-layer composed of a material with respect to which silicon is selectively etchable, and on top thereof a second sub-layer composed of a material with respect to which the dielectric layer is selectively etchable, or as a single layer composed of a material with respect to which the dielectric layer as well as silicon is selectively etchable.
  • the source zone and the drain zone may be subjected to a salicide process using Ti or Co, thereby forming self-aligned suicides of Ti (TiSi 2 ) or Co (CoSi 2 ), respectively, on the source zone and the drain zone.
  • the source zone and the drain zone can optionally be implanted without extensions.

Abstract

In a method of manufacturing a semiconductor device comprising a semiconductor body (1) which is provided at a surface (2) with a transistor comprising a gate structure (21), a patterned layer (10) is applied defining the area of the gate structure (21). Subsequently, a dielectric layer (18) is applied in such a way, that the thickness of the dielectric layer (18) next to the patterned layer (10) is substantially equally large or larger than the height of the patterned layer (10), which dielectric layer (18) is removed over part of its thickness until the patterned layer (10) is exposed. Then, the patterned layer (10) is subjected to a material removing treatment, thereby forming a recess (19) in the dielectric layer (18), and a contact window (28, 29) is provided in the dielectric layer. A conductive layer (30) is applied filling the recess (19) and the contact window (28, 29), which conductive layer (30) is subsequently shaped into the gate structure (21) and a contact structure (26, 27) establishing an electrical contact with the surface (2) of the semiconductor body (1).

Description

A method of manufacturing a semiconductor device.
The invention relates to a method of manufacturing a semiconductor device comprising a semiconductor body which is provided at a surface with a transistor comprising a gate stmcture, by which method a patterned layer is applied defining the area of the gate stmcture, and a dielectric layer is applied in such a way, that the thickness of the dielectric layer next to the patterned layer is substantially equally large or larger than the height of the patterned layer, which dielectric layer is removed over part of its thickness until the patterned layer is exposed, after which the patterned layer is subjected to a material removing treatment, thereby forming a recess in the dielectric layer, and a conductive layer is applied filling the recess, which conductive layer is shaped into the gate stmcture.
Such a method is known from US-5, 856,225. This method is often referred to as replacement gate technique. In order to subsequently make electrical contact with the surface of the semiconductor body, conventional CMOS process flow steps need to be carried out, that is to say a contact window needs to be etched in the dielectric layer at the area of the planned electrical contact, which contact window needs to be filled by applying a further conductive layer, which further conductive layer needs to be shaped locally into a contact stmcture establishing the electrical contact with the surface of the semiconductor body.
A disadvantage of this method is that an additional conductive layer is required for the provision of an additional interconnect layer comprising the contact stmcture establishing the electrical contact with the surface of the semiconductor body. A further disadvantage is that after planarisation of the dielectric layer, a contact to the gate stmcture is to be made in the same process step as a contact to the semiconductor body, which latter contact requires etching and subsequent metal filling to a larger depth than the former contact.
It is an object inter alia of the invention to provide a method of manufacturing a semiconductor device of the kind mentioned in the opening paragraph, which method enables the provision of an additional interconnect layer without increasing the number of metal deposition steps.
Another object of the invention is to provide a method, which enables the provision of a contact to the gate stmcture and a contact to the semiconductor body in the same process step, which latter contact requires etching and subsequent metal filling to a similar depth as the former contact.
According to the invention, this object is achieved in that, prior to the application of the conductive layer, a contact window is provided in the dielectric layer, which contact window is filled with the conductive layer, which conductive layer is locally shaped into a contact stmcture establishing electrical contact with the surface of the semiconductor body.
As the gate stmcture and an additional interconnect layer comprising the contact stmcture are provided from a single conductive layer, no additional metal deposition step is needed. Although only part of the patterned layer may be removed during the material removing treatment and replaced by the conductive layer, it is advantageous to remove the patterned layer completely during this treatment and apply an insulating layer in the recess thus formed at the area of the gate stmcture, which insulating layer forms a gate dielectric of the transistor. In this way, the flexibility as regards the choice of materials for the gate stmcture and the gate dielectric is increased. In order to improve the performance of the transistor, it may be advantageous to apply a dielectric material with a dielectric constant higher than that of silicon oxide (ε~4) as the gate dielectric and, hence, as the insulating layer from which the gate dielectric is formed. In this respect, tantalum oxide (Ta2O5; ε~20-25), aluminum oxide (Al2O3; ε~10) or silicon nitride (Si3N4; ε~7) can be applied to advantage, as these materials are deposited in a conformal and reproducible way by means of chemical vapor deposition (CVD). The conductive layer, from which the gate stmcture of the transistor and the contact stmcture are formed, is advantageously applied by depositing a layer comprising a metal or a combination of metals. In contrast with polycrystalline silicon, which is often applied as a gate material, metals intrinsically have a relatively low resistance and do not suffer from detrimental depletion effects. In this respect, a low-resistance metal such as aluminum, tungsten, copper or molybdenum can be advantageously applied. If a metal or a combination of metals is used, the conductive layer is preferably applied as a double-layer consisting of a layer composed of the metal or the combination of metals on top of a layer acting as adhesion layer, barrier layer, or adhesion layer and barrier layer. In this respect, titanium (Ti) or tantalum (Ta) may be applied as adhesion layer and titanium nitride (TiN), tantalum nitride (TaN) or titanium tungsten (TiW) as barrier layer.
In order to increase the compactness of the semiconductor device, the conductive layer, which fills the recess at the area of the gate stmcture and the contact window at the area of the contact stmcture, is advantageously subjected to a maskless material removing treatment until the conductive layer overlying the dielectric layer is removed. In this way the gate stmcture and the contact stmcture are fully recessed in the dielectric layer, which is characteristic of a damascene process. The above mentioned maskless removal of the conductive layer is preferably accomplished by means of chemical-mechanical polishing (CMP). A subsequent maskless removal of the insulating layer is not required, but can be beneficial if the insulating layer involves a high dielectric constant material.
In order to suppress e.g. short-channel effects such as punch-though and short- channel threshold-voltage reduction, which effects start to play an important role in the device behavior of MOS transistors with channel lengths decreasing below 2 μm, impurities can be advantageously introduced via the recess at the area of the gate stmcture into the semiconductor body in a self-registered way by using the dielectric layer as a mask. The impurities are advantageously introduced into the semiconductor body by means of ion implantation, which in general includes a high-temperature anneal, which is used to restore the damage in the crystal lattice caused by the implantation and to activate the as-implanted impurities.
In order to further increase the compactness of the semiconductor device, the contact stmcture is advantageously applied covering at least part of an oxide field insulating region, which is provided at the surface of the semiconductor body to separate active regions in the semiconductor body. Such a contact stmcture is also referred to as a borderless contact. In certain circumstances it may be advantageous that the contact stmcture establishes an electrical contact between active regions separated from each other by an oxide field insulating region. In case of a CMOS inverter, an electrical contact needs to be established between the drain of an NMOS transistor and the drain of an adjacent PMOS transistor.
The contact window may be provided in the dielectric layer by locally etching this layer on the basis of a fixed time or using end-point detection. However, in order to counteract serious etching of the underlying oxide field insulating region, the surface of the semiconductor body at the area of the contact stmcture is advantageously provided with an etch stop layer prior to the application of the dielectric layer, which etch stop layer is composed of a material with respect to which the dielectric layer is selectively etchable. In this respect, it is advantageous to apply silicon nitride as the etch stop layer and silicon oxide as the dielectric layer. Alternatively, aluminum oxide can be used instead of silicon nitride and/or PSG (phosphosilicate glass) or BPSG (borophosphosilicate glass) instead of silicon oxide.
The patterned layer defining the area of the gate stmcture of the transistor may be applied composed of, for example, silicon nitride or aluminum oxide. However, in order to match the process flow to conventional CMOS processing, the patterned layer is advantageously applied comprising a semiconductor material.
The patterned layer may be advantageously applied by depositing and patterning a layer comprising a silicon germanium alloy. The layer may be composed of a silicon germanium alloy, which is given by the chemical formula GexSi(i_X), or a silicon germanium alloy with a small percentage of carbon, which is given by the chemical formula GexSi(i-x.y)Cy. In these formulas x represents the fraction of germanium lying in the range between about 0.1 and 1, y the fraction of carbon lying in the range between about 0.001 and 0.05, and (1-x) respectively (1-x-y) the fraction of silicon. When subjected to a wet chemical etching treatment in e.g. a hot, concentrated sulphuric acid (H2SO ) solution, such a silicon germanium alloy etches about 10 times or more faster than silicon.
One embodiment of the method in accordance with the invention, in which silicon is advantageously used as the semiconductor material, is characterized in that the patterned layer is applied by depositing and patterning a layer comprising silicon, and the dielectric layer is applied, after which the patterned layer is subjected to the material removing treatment wherein the silicon of the patterned layer is etched away, thereby forming the recess at the area of the gate stmcture, after which the dielectric layer is provided with the contact window at the area of the contact stmcture.
Another embodiment of the method in accordance with the invention, in which silicon is advantageously used as the semiconductor material, is characterized in that, prior to the application of the patterned layer, a masking layer is applied to the surface of the semiconductor body, which semiconductor body is a silicon body, the masking layer being composed of a material with respect to which silicon is selectively etchable, after which the patterned layer is applied by depositing and patterning a layer comprising silicon, and the dielectric layer is applied, which dielectric layer is provided with the contact window at the area of the contact stmcture, which contact window exposes the masking layer, after which the patterned layer is subjected to the material removing treatment wherein the silicon of the patterned layer is etched away, thereby forming the recess at the area of the gate stmcture. The masking layer is applied in order to protect the surface of the silicon body at the area of the contact stmcture from being attached by the etching mixture applied for etching of the silicon present in the patterned layer. In t is respect, silicon oxide may, for example, be advantageously applied as the masking layer, although other materials may also be used. The dielectric layer is advantageously removed over part of its thickness by means of chemical-mechanical polishing. Experimentally, it is observed that the moment of stopping the chemical-mechanical polishing (CMP) of the dielectric layer is rather critical if the patterned layer comprises semiconductor material. If the CMP process is stopped too early, remainders of the dielectric layer are left on the patterned layer which hinder the subsequent removal of the patterned layer or part of the patterned layer. If the CMP process is carried on too long, the definition of the height of the planned gate stmcture is adversely affected. In order to improve the height definition of the process, it is advantageous to apply the patterned layer as a double-layer with a first sub-layer comprising the semiconductor material with on top a second sub-layer composed of a material having a larger resistance towards the removal of the dielectric layer than the semiconductor material and being selectively etchable with respect to the dielectric layer. Hence, the second sub-layer will act as etch stop layer during the removal of the dielectric layer. In this respect, it is advantageous to apply silicon nitride as the second sub-layer and silicon oxide as the dielectric layer. Alternatively, aluminum oxide can be used instead of silicon nitride and/or PSG (phosphosilicate glass) or BPSG (borophosphosilicate glass) instead of silicon oxide. After shaping the conductive layer into the gate stmcture and the contact stmcture, a further dielectric layer is applied, in which further dielectric layer vias are etched exposing at least part of the gate stmcture and at least part of the contact stmcture, which vias are filled by applying a further conductive layer. By using the method in accordance with the invention, the vias exposing the gate stmcture and the contact stmcture are of a similar depth, which facilitates etching and conformal filling of these vias.
These and other aspects of the invention will be apparent from and elucidated with reference to the embodiments described hereinafter and shown in the drawings. In the drawings:
Figs. 1 to 13 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a first embodiment of the method in accordance with the invention, Figs. 14 to 20 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a second embodiment of the method in accordance with the invention.
Although the invention is illustrated hereinafter on the basis of a MOS transistor, it will be evident to those skilled in the art that the invention may also be advantageously applied in the manufacture of a MOS transistor with a floating gate, also referred to as floating gate transistor, or of CMOS and BICMOS integrated circuits known per se.
Figs. 1 to 13 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a first embodiment of the method in accordance with the invention.
With reference to Fig. 1 , a semiconductor body 1 of a first conductivity type, in the present example a silicon body of, for example, p-type conductivity, is provided at a surface 2 with relatively thick oxide field insulating regions 3, which are at least partly recessed in the semiconductor body 1 and which define an active region 4 in which a transistor, in the present example an NMOS transistor, is to be manufactured. Besides the active region 4 an adjacent active region 5 is shown, in which adjacent active region 5 a transistor, in the present example a PMOS transistor, is to be manufactured. The adjacent active region 5 has been included in order to illustrate the formation of a contact stmcture establishing an electrical contact between the drain of an NMOS transistor and the drain of a PMOS transistor in a CMOS inverter. It should be noted that masks needed to screen the adjacent active region 5 during processing of the active region 4, for example during implantation of the active region 4, and vice versa will not be shown in the figures nor mentioned in the description. For a person skilled in the art it will be clear that such masks are used in several stages of the process.
The thick oxide field insulating regions 3 are formed in a usual way by means of LOCOS (LOCal Oxidation of Silicon) or by means of STI (Shallow Trench Isolation). The adjacent active region 5 is provided with a well 6 of a second, opposite conductivity type, in the present example n-type, by means of ion implantation of a dose of, for example, phosphoms or arsenic, which ion implantation may be advantageously carried out after having provided the surface 2 of the semiconductor body 1 with a sacrificial silicon oxide layer (not shown) by means of, for example, thermal oxidation. Optionally, the active region 4 may be provided with a well of the first conductivity type, in the present example p-type. Subsequently, the surface 2 of the semiconductor body 1 is provided with a layer 7 composed of, for example, silicon oxide, which is covered by a patterned layer 10 defining the area of a gate stmcture to be provided in a later stage of the process. In the present example, the patterned layer 10 is obtained by depositing a double-layer consisting of a first sub-layer 8 of, for example, polycrystalline silicon, and, on top thereof, a second sub-layer 9 composed of, for example silicon nitride, and patterning the double-layer e.g. in a usual photolithographic way. Any other suitable material such as, for example, aluminum oxide or a combination of materials can be used instead of silicon nitride. Instead of polycrystalline silicon, another semiconductor material such as, for example, amorphous silicon, GexSi(i.X) or GexSi(i-x-y)Cy can be used, with x representing the fraction of germanium roughly lying in the range between 0.1 and 1, y the fraction of carbon lying in the range between about 0.001 and 0.05, and (1-x) and (1-x-y) the fraction of silicon. It is to be noted that the patterned layer 10 may be a single layer as well, composed of, for example, silicon nitride or aluminum oxide or a semiconductor material such as, for example, polycrystalline silicon, amorphous silicon, GexSi(i-X) or GexSi(i_ x.y)Cy. After applying the patterned layer 10, source/drain extensions 11 of the second, opposite conductivity type, in the present example n-type, are formed on opposite sides of the patterned layer 10 by means of a self-aligned implantation of a relatively light dose of, for example, phosphoms or arsenic, using the patterned layer 10 together with the oxide field insulating regions 3 as a mask. In an analogous way, the adjacent active region 5 is provided with source/drain extensions 12 of the first conductivity type, in the present example p-type (source extension not shown).
Subsequently, the patterned layer 10 is provided with sidewall spacers 13 e.g. in a known way, for example, by means of deposition and anisotropic etch-back of a silicon oxide layer (Fig. 2). After formation of the sidewall spacers 13, a highly-doped source zone 14 and a highly-doped drain zone 15 of the second conductivity type, in the present example n- type, are formed on opposite sides of the sidewall spacers 13 by means of a self-aligned implantation of a heavier dose of, for example, phosphoms or arsenic, using the oxide field insulating regions 3 together with the patterned layer 10 and the sidewall spacers 13 as a mask. In an analogous way, the adjacent active region 5 is provided with a highly-doped source zone (not shown) and a highly-doped drain zone 16 of the first conductivity type, in the present example p-type.
With reference to Fig. 3, an etch stop layer 17, in the present example composed of silicon nitride, and a relatively thick dielectric layer 18, in the present example o composed of silicon oxide, are applied, the dielectric layer 18 being applied in such a way, that the thickness of the dielectric layer 18 next to the patterned layer 10 is substantially equally large or larger than the height of the patterned layer 10. Alternatively, aluminum oxide can be used instead of silicon nitride and/or BPSG (borophosphosilicate glass) or PSG (phosphosilicate glass) can be used instead of silicon oxide. The etch stop layer 17 needs to be composed of a material with respect to which the dielectric layer 18 is selectively etchable, in order to counteract serious etching of the oxide field insulating regions 3.
Subsequently, the dielectric layer 18 is removed over part of its thickness until the patterned layer 10 is exposed (Fig. 4). This can be accomplished by means of, for example, chemical -mechanical polishing (CMP) e.g. using a commercially available slurry. During the CMP treatment, the second sub-layer 9, in the present example composed of silicon nitride, will act as a stop layer.
In a next step (Fig. 5), the second sub-layer 9, which is composed of silicon nitride in the present example, is removed selectively with respect to the dielectric layer 18 and the sidewall spacers 13, both composed of silicon oxide in the present example, by means of, for example, wet etching using a mixture of, for example, hot phosphoric acid and sulphuric acid. In this way the dielectric layer 18 is provided with a recess 19 in which the first sub-layer 8 is exposed.
With reference to Fig. 6, the first sub-layer 8 and the layer 7 are removed in two separate etching steps. The first sub-layer 8, in the present example composed of polycrystalline silicon, can be removed selectively by means of wet etching using, for example, a hot KOH solution or by means of plasma etching with, for example, a HBr/Cl mixture. The layer 7, in the present example composed of silicon oxide, can be removed by means of wet etching using HF. It should be noted that, alternatively, the layer 7 may be preserved in the recess 19 and used as a gate dielectric of the transistor.
The semiconductor body 1 is provided with an impurity region 20 of the first conductivity type, in the present example p-type, by introducing p-type impurities such as, for example, boron (B), via the recess 19 at the area of the gate stmcture 21 into the semiconductor body 1 in a self-registered way by using the dielectric layer 18 as a mask. The impurity region 20 can be applied as, for example, a shallow region to suppress short-channel threshold-voltage reduction and/or a deeper region to suppress punch-through between the extended source zone 14,11 and the extended drain zone 15,11 of the NMOS transistor. The impurities are advantageously introduced into the semiconductor body 1 by means of ion implantation as depicted by arrows 22. In this respect, boron may be implanted at an energy ranging from about 20 to 60 keV . nd a dose of about 2.1013 atoms/cm2. It is understood by those skilled in the art that phosphoms (P) ions or arsenic (As) ions may be implanted in the PMOS transistor for similar reasons. For example, phosphoms may be implanted at an energy ranging from about 100 to 130 keV and a dose of about 2.1013 atoms/cm2, whereas arsenic may be implanted at an energy ranging from about 180 to 240 keV and a dose of about 2.1013 atoms/cm2. The implantation may be carried out substantially perpendicularly to the surface 2 of the semiconductor body 1. However, in order to counteract channeling of the impurities along crystal directions and planes, it is advantageous to perform the implantation at a small angle of a few, for example seven, degrees with respect to the normal to the surface 2 of the semiconductor body 1 by tilting the semiconductor body 1 before implantation. It is to be noted that the shallow region for the suppression of short-channel threshold-voltage reduction and the deeper region for punch-through suppression may be formed in two implantation steps carried out at different energies, or simultaneously in one implantation step at a single energy. In connection with the ion implantation, a high-temperature anneal is carried out at a temperature as high as about 900°C in order to restore the damage in the crystal lattice caused by the implantation and to activate the as-implanted impurities.
As shown in Fig. 7, an insulating layer 23 is applied to all exposed surfaces, providing a gate dielectric 24 of the transistor. The insulating layer 23 may be composed of silicon oxide, however, a dielectric material with a dielectric constant higher than that of silicon oxide, such as tantalum oxide, aluminum oxide or silicon nitride may be more favorable. If silicon oxide is to be applied for the gate dielectric 24, it may be obtained by means of, for example, chemical vapor deposition or thermal oxidation of silicon. The high dielectric constant materials tantalum oxide, aluminum oxide and silicon nitride can be applied, for example, by means of chemical vapor deposition (CVD). It is to be noted that the above-mentioned ion implantation for punch-through suppression and/or suppression of short-channel threshold voltage reduction may alternatively be carried out before the removal of the layer 7 or after the application of the insulating layer 23. It is known that a thin layer composed of, for example, silicon oxide, which is present at the surface of the semiconductor body, may improve the characteristics of ion implantation. However, if the insulating layer 23 is composed of a dielectric material with a high dielectric constant, the high-temperature anneal associated with the ion implantation may degrade the dielectric properties of the material applied if the anneal is carried out afterwards.
In a next step (Fig. 8), a resist mask 25 is applied to the semiconductor body 1, which resist mask 25 exposes the insulating layer 23 at the area of contact structures 26,27 to be provided in a later stage of the process. It should be noted that a thin metal layer (not shown) can be advantageously applied prior to the application of the resist mask 25, in order to protect the gate dielectric 24 against contamination. Subsequently, contact windows 28,29 are etched in the insulating layer 23 and the dielectric layer 18 at the area of the contact stmctures 26,27, which contact windows 28,29 expose the etch stop layer 17. Etching of the dielectric layer 18, in the present example composed of silicon oxide, may be carried out by means of dry etching in, for example, a CO/C4F gas mixture. Since the dielectric layer 18, in the present example composed of silicon oxide, etches much faster in this mixture than the etch stop layer 17, in the present example composed of silicon nitride, the etch process will stop the moment the etch stop layer 17 is reached.
Subsequently, the etch stop layer 17 and the layer 7 in the contact windows 28,29 are removed, thereby exposing the surface 2 of the semiconductor body 1 and the oxide field insulating regions 3 at the area of the contact stmctures 26,27, the result of which is shown in Fig. 9. With reference to Fig. 10, a conductive layer 30 is applied to the semiconductor body 1 in a usual way, thereby filling the recess 19 at the area of the gate stmcture 21 and the contact windows 28,29 at the area of the contact stmctures 26,27. Polycrystalline silicon, amorphous silicon, GexSiι.x or GexSiι.χ.yCy may be used for the conductive layer 30, with x being the fraction of germanium roughly lying in the range between 0.1 and 1, y the fraction of carbon lying in the range between about 0.001 and 0.05, and (1-x) and (1-x-y) the fraction of silicon. However, the conductive layer 30 advantageously comprises a metal such as aluminum, tungsten, copper or molybdenum, or a combination of metals. If a metal is applied, the conductive layer 30 is advantageously applied as a double-layer consisting of a layer composed of a metal such as aluminum, tungsten, copper or molybdenum, or of a combination of metals on top of a layer acting as an adhesion layer and/or a barrier layer. In this respect Ti or Ta may be applied as an adhesion layer and TiN, TaN or TiW as a barrier layer.
In a next step (Fig. 11), the conductive layer 30 is shaped into the gate stmcture 21 and the contact stmctures 26,27. This can be done by means of, for example, etching using an oversized mask. In that case the conductive material of the gate stmcture 21 and the contact stmctures 26,27 stretches out over the dielectric layer 18, which is coated with the insulating layer 23, to beyond the recess 19 at the area of the gate stmcture 21 (see Fig. 9) and to beyond the contact windows 28,29 at the area of the contact stmctures 26,27 (see Fig. 9). However, the conductive layer 30 is preferably etched in a maskless process until the insulating layer 23 is exposed, thereby forming the gate stmcture 21 and the contact stmctures 26,27, which are all recessed in the dielectric layer 18. An additional maskless removal of the insulating layer 23, the result of which is shown in Fig. 11, is not required, but can be beneficial if the insulating layer 23 comprises a high dielectric constant material. Maskless removal of either the conductive layer 30 or both the conductive layer 30 and the insulating layer 23 can be accomplished by means of, for example, chemical-mechanical polishing (CMP) e.g. using a commercially available slurry.
With reference to Fig. 12, a further dielectric layer 31 is applied, which dielectric layer 31 is provided with vias 32 by means of etching, the vias 32 exposing at least part of the gate stmcture 21 and at least part of the contact stmctures 26,27. Subsequently, a further conductive layer is applied to the semiconductor body 1 , thereby filling the vias 32, which conductive layer can be subsequently etched in a maskless process until the dielectric layer 31 is exposed, thereby forming contact plugs 33 recessed in the dielectric layer 31, the result of which is shown in Fig. 13. It will be clear that the further conductive layer is advantageously applied as a double-layer consisting of a layer composed of a metal such as aluminum, tungsten or copper, or of a combination of metals on top of a layer acting as an adhesion layer and/or a barrier layer. In this respect, Ti or Ta may be applied as the adhesion layer and TiN, TaN or TiW as the barrier layer.
In the above-described embodiment, the recess 19 at the area of the gate stmcture 21 is formed prior to providing the dielectric layer 18 with the contact windows 28, 29 at the area of the contact stmctures 26,27.
Figs. 14 to 20 show in diagrammatic cross-sectional views successive stages in the manufacture of a semiconductor device, using a second embodiment of the method in accordance with the invention, in which embodiment the recess 19 at the area of the gate stmcture 21 is formed after having provided the dielectric layer 18 with the contact windows 28,29 at the area of the contact stmctures 26,27.
Starting from the situation shown in Fig. 4, the situation shown in Fig. 14 is obtained after applying the resist mask 25 to the semiconductor body 1, which resist mask 25 exposes the dielectric layer 18 at the area of the contact stmctures 26,27, and etching the contact windows 28,29 in the dielectric layer 18 at the area of the contact stmctures 26,27, which contact windows 28,29 expose the etch stop layer 17. Etching of the dielectric layer 18, in the present example composed of silicon oxide, may be carried out by means of dry etching in, for example, a CO/C4F8 gas mixture. Since the dielectric layer 18, in the present example composed of silicon oxide, etches much faster in this mixture than the etch stop layer 17, in the present example composed of silicon nitride, the etch process will stop the moment the etch stop layer 17 is reached.
Subsequently, the etch stop layer 17 is removed in the contact windows 28,29, thereby exposing the layer 7 in the contact windows 28,29 at the area of the contact stmctures 26,27, the result of which is shown in Fig. 15.
In a next step (Fig. 16), the second sub-layer 9, which is composed of silicon nitride in the present example, is removed selectively with respect to the dielectric layer 18 and the sidewall spacers 13, both composed of silicon oxide in the present example, by means of, for example, wet etching using a mixture of, for example, hot phosphoric acid and sulphuric acid. In this way the dielectric layer 18 is provided with a recess 19 in which the first sub-layer 8 is exposed.
It will be clear that the etch stop layer 17 and the second sub-layer 9, which are both composed of silicon nitride in the present example, can be removed in one step.
With reference to Fig. 17, the first sub-layer 8 and the layer 7 are removed in two separate etching steps. The first sub-layer 8, in the present example composed of polycrystalline silicon, can be removed selectively by means of wet etching using, for example, a hot KOH solution or by means of plasma etching with, for example, a HBr/Cl mixture. In order to protect the surface 2 of the semiconductor body 1, in the present example a silicon body, at the area of the contact structures 26,27 from an attack by the etching mixture applied for the etching of the first sub-layer 8, in the present example composed of
(polycrystalline) silicon, the layer 7 has been applied in Fig. 1 as a masking layer, in the present example composed of silicon oxide. The masking layer 7 must be composed of a material with respect to which silicon is selectively etchable. Instead of silicon oxide other materials may be applied as the masking layer 7. It is to be noted that a masking layer is needed if the patterned layer is a single layer composed of silicon or a multi- or double-layer comprising a sub-layer composed of silicon. No masking layer is needed if the patterned layer is a single layer composed of GexSiι-x or GexSiι.x.yCy or a multi- or double-layer comprising a sub-layer composed of GexSiι-x or GexSiι.x.yCy, with x being the fraction of germanium ranging between about 0.1 and 1, y the fraction of carbon ranging between about 0.001 and 0.05, and (1-x) and (1-x-y) the fraction of silicon. When subjected to a wet chemical etching treatment in e.g. a hot, concentrated sulphuric acid (H SO4) solution, such a silicon germanium alloy etches at least about 10 times faster than silicon.
Subsequently, the masking layer 7, in the present example composed of silicon oxide, is removed from the recess 19 at the area of the gate stmcture 21 and from the contact windows 28,29 at the area of the contact stmctures 26,27, for example, by means of wet etching using HF. It should be noied that, alternatively, the masking layer 7 may be preserved in the recess 19 at the area of the gate stmcture 21 and used as a gate dielectric of the transistor. As described with reference to Fig. 6, the semiconductor body 1 is provided at the area of the gate stmcture 21 is provided with the impurity region 20 of the first conductivity type, in the present example p-type, by introducing p-type impurities such as, for example, boron (B), via the recess 19 at the area of the gate stmcture 21 into the semiconductor body 1 in a self-registered way by using the dielectric layer 18 as a mask. The impurities are advantageously introduced into the semiconductor body by means of ion implantation, as depicted by arrows 22. The impurity region 20 can be applied as, for example, a shallow region to suppress short-channel threshold-voltage reduction and/or a deeper region to suppress punch-through between the extended source zone 14,11 and the extended drain zone 15,11 of the NMOS transistor.
As shown in Fig. 18, the insulating layer 23 is applied to all exposed surfaces, thus forming the gate dielectric 24 of the transistor. The insulating layer 23 may be composed of silicon oxide, however, a dielectric material with a dielectric constant higher than that of silicon oxide, such as tantalum oxide, aluminum oxide or silicon nitride may be more favorable. If silicon oxide is to be applied for the gate dielectric 24, it may be obtained by means of, for example, chemical vapor deposition or thermal oxidation of silicon. The high dielectric constant materials tantalum oxide, aluminum oxide and silicon nitride can be applied, for example, by means of chemical vapor deposition (CVD).
It is to be noted that the above-mentioned ion implantation for punch-through suppression and/or suppression of short-channel threshold voltage reduction may alternatively be carried out before the removal of the layer 7 or after the application of the insulating layer 23. However, if the insulating layer 23 is composed of a dielectric material with a high • dielectric constant, the high-temperature anneal associated with the ion implantation may degrade the dielectric properties of the material applied if the anneal is carried out afterwards. In a next step (Fig. 19), a further resist mask 34 is applied to the semiconductor body 1, which resist mask 34 fills and covers the recess 19 at the area of the gate stmcture 21 and exposes the insulating layer 23 in the contact windows 28,29 at the area of the contact stmctures 26,27. It should be noted that a thin metal layer (not shown) can be advantageously applied prior to the application of the further resist mask 34, in order to protect the gate dielectric 24 against contamination. Subsequently, the insulating layer 23 is removed from the contact windows 28,29, thereby exposing the surface 2 of the semiconductor body 1 and the oxide field insulating regions 3 in the contact windows 28,29 at the area of the contact stmctures 26,27.
With reference to Fig. 20, the conductive layer 30 is applied to the semiconductor body 1 in a usual way, thereby filling the recess 19 at the area of the gate stmcture 21 and the contact windows 28,29 at the area of the contact stmctures 26,27. Polycrystalline silicon, amorphous silicon, GexSiι_x or GexSi]-x.yCy may be used for the conductive layer 30, with x being the fraction of germanium roughly lying in the range between 0.1 and 1, y the fraction of carbon lying in the range between about 0.001 and 0.05, and (1-x) and (1-x-y) the fraction of silicon. However, the conductive layer 30 advantageously comprises a metal such as aluminum, tungsten, copper or molybdenum, or a combination of metals. If a metal is applied, the conductive layer 30 is advantageously applied as a double- layer consisting of a layer composed of a metal such as aluminum, tungsten, copper or molybdenum, or of the combination of metals on top of a layer acting as an adhesion layer and/or a barrier layer. In this respect Ti or Ta may be applied as the adhesion layer and TiN, TaN or TiW as the barrier layer.
After the application of the conductive layer 30, steps similar to those described with reference to Figs. 11 to 13 are performed, the results of which are the same as shown in Figs. 11 to 13.
It will be apparent that the invention is not limited to the embodiments described above, but that many variations are possible to those skilled in the art within the scope of the invention. For example, in both embodiments described above, the first sub-layer of the patterned layer and the surface layer applied underneath it may not be removed after removal of the second sub-layer of the patterned layer. In that case the above-mentioned surface layer will form the gate dielectric of the transistor, whereas the above-mentioned first sub-layer will form part of the gate stmcture of the transistor. Instead of applying the etch stop layer after the provision of the patterned layer, the etch stop layer may be applied before the patterned layer is provided. In addition, if a masking layer is needed, the masking layer and the etch stop layer may then be applied as a double-layer consisting of a first sub-layer composed of a material with respect to which silicon is selectively etchable, and on top thereof a second sub-layer composed of a material with respect to which the dielectric layer is selectively etchable, or as a single layer composed of a material with respect to which the dielectric layer as well as silicon is selectively etchable. Furthermore, in order to reduce the parasitic resistance of the source zone and the drain zone of the transistor, the source zone and the drain zone may be subjected to a salicide process using Ti or Co, thereby forming self-aligned suicides of Ti (TiSi2) or Co (CoSi2), respectively, on the source zone and the drain zone. Moreover, the source zone and the drain zone can optionally be implanted without extensions.

Claims

CLAIMS:
1. A method of manufacturing a semiconductor device comprising a semiconductor body which is provided at a surface with a transistor comprising a gate stmcture, by which method a patterned layer is applied defining the area of the gate stmcture, and a dielectric layer is applied in such a way, that the thickness of the dielectric layer next to the patterned layer is substantially equally large or larger than the height of the patterned layer, which dielectric layer is removed over part of its thickness until the patterned layer is exposed, after which the patterned layer is subjected to a material removing treatment, thereby forming a recess in the dielectric layer, and a conductive layer is applied filling the recess, which conductive layer is shaped into the gate structure, characterized in that, prior to the application of the conductive layer, a contact window is provided in the dielectric layer, which contact window is filled with the conductive layer, which conductive layer is locally shaped into a contact stmcture establishing electrical contact with the surface of the semiconductor body.
2. A method as claimed in claim 1, characterized in that the patterned layer is removed completely in the material removing treatment and an insulating layer is applied in the recess at the area of the gate stmcture, which insulating layer provides a gate dielectric of the transistor.
3. A method as claimed in claim 2, characterized in that the insulating layer is applied by depositing a layer of a dielectric material having a dielectric constant higher than that of silicon oxide.
4. A method as claimed in any one of the preceding claims, characterized in that the conductive layer is applied by depositing a layer comprising a metal or a combination of metals.
5. A method as claimed in claim 4, characterized in that the conductive layer is applied as a double-layer consisting of a layer composed of the metal or the combination of metals and on top thereof a layer acting as an adhesion layer, a barrier layer, or an adhesion layer and a barrier layer.
6. A method as claimed in any one of the preceding claims, characterized in that the conductive layer, which fills the recess at the area of the gate stmcture and the contact window at the area of the contact stmcture, is subjected to a maskless material removing treatment until the conductive layer overlying the dielectric layer is removed.
7. A method as claimed in any one of the preceding claims, characterized in that impurities are introduced via the recess at the area of the gate stmcture into the semiconductor body in a self-registered way by using the dielectric layer as a mask.
8. A method as claimed in any one of the preceding claims, characterized in that the contact stmcture is applied covering at least part of an oxide field insulating region, which is provided at the surface of the semiconductor body to separate active regions in the semiconductor body.
9. A method as claimed in claim 8, characterized in that the contact stmcture establishes an electrical contact between the active regions separated from each other by the oxide field insulating region.
10. A method as claimed in any one of the preceding claims, characterized in that, prior to the application of the dielectric layer, the surface of the semiconductor body at the area of the contact stmcture is provided with an etch stop layer, which etch stop layer is composed of a material with respect to which the dielectric layer is selectively etchable.
11. A method as claimed in any one of the preceding claims, characterized in that the patterned layer is applied by depositing and patterning a layer comprising a semiconductor material.
12. A method as claimed in claim 11, characterized in that the patterned layer is applied by depositing and patterning a layer comprising a silicon germanium alloy.
13. A method as claimed in claim 11 , characterized in that the patterned layer is applied by depositing and patterning a layer comprising silicon, and the dielectric layer is applied, after which the patterned layer is subjected to the material removing treatment wherein the silicon of the patterned layer is etched away, thereby forming the recess at the area of the gate stmcture, after which the dielectric layer is provided with the contact window at the area of the contact stmcture.
14. A method as claimed in claim 11, characterized in that, prior to the application of the patterned layer, a masking layer is applied to the surface of the semiconductor body, which semiconductor body is a silicon body, the masking layer being composed of a material with respect to which silicon is selectively etchable, after which the patterned layer is applied by depositing and patterning a layer comprising silicon, and the dielectric layer is applied, which dielectric layer is provided with the contact window at the area of the contact stmcture, which contact window exposes the masking layer, after which the patterned layer is subjected to the material removing treatment wherein the silicon of the patterned layer is etched away, thereby forming the recess at the area of the gate stmcture.
15. A method as claimed in any one of claims 11 to 14, characterized in that the layer comprising the semiconductor material is applied as a double-layer consisting of a first sub-layer comprising the semiconductor material and, on top thereof, a second sub-layer composed of a material having a larger resistance with respect to the removal of the dielectric layer than the semiconductor material and being selectively etchable with respect to the dielectric layer.
16. A method as claimed in any one of the preceding claims, characterized in that, after shaping the conductive layer into the gate stmcture and the contact stmcture, a further dielectric layer is applied, in which further dielectric layer vias are etched exposing at least part of the gate stmcture and at least part of the contact stmcture, which vias are filled by applying a further conductive layer.
PCT/EP2000/012136 1999-12-17 2000-12-01 A method of manufacturing a semiconductor device WO2001045156A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE60044639T DE60044639D1 (en) 1999-12-17 2000-12-01 METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
JP2001545357A JP2003517209A (en) 1999-12-17 2000-12-01 Method for manufacturing semiconductor device
EP00989941A EP1157417B1 (en) 1999-12-17 2000-12-01 A method of manufacturing a semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP99204374 1999-12-17
EP99204374.5 1999-12-17

Publications (1)

Publication Number Publication Date
WO2001045156A1 true WO2001045156A1 (en) 2001-06-21

Family

ID=8241019

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2000/012136 WO2001045156A1 (en) 1999-12-17 2000-12-01 A method of manufacturing a semiconductor device

Country Status (7)

Country Link
US (2) US6406963B2 (en)
EP (1) EP1157417B1 (en)
JP (1) JP2003517209A (en)
KR (1) KR100702282B1 (en)
DE (1) DE60044639D1 (en)
TW (1) TW514992B (en)
WO (1) WO2001045156A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2366076A (en) * 2000-03-17 2002-02-27 Samsung Electronics Co Ltd Methods for forming integrated circuit devices through selective etching of an insulation layer to increase self-aligned contact area
US8334016B2 (en) 2000-09-28 2012-12-18 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100372643B1 (en) * 2000-06-30 2003-02-17 주식회사 하이닉스반도체 Method for manufacturing semiconductor device using damascene process
JP3669919B2 (en) * 2000-12-04 2005-07-13 シャープ株式会社 Manufacturing method of semiconductor device
US6787424B1 (en) * 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
KR100442780B1 (en) * 2001-12-24 2004-08-04 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device
KR100477543B1 (en) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 Method for forming short-channel transistor
JP3865233B2 (en) * 2002-08-19 2007-01-10 富士通株式会社 CMOS integrated circuit device
US7033894B1 (en) * 2003-08-05 2006-04-25 Advanced Micro Devices, Inc. Method for modulating flatband voltage of devices having high-k gate dielectrics by post-deposition annealing
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US6887785B1 (en) 2004-05-13 2005-05-03 International Business Machines Corporation Etching openings of different depths using a single mask layer method and structure
KR100606933B1 (en) * 2004-06-30 2006-08-01 동부일렉트로닉스 주식회사 Method for fabricating a semiconductor device
US7166506B2 (en) * 2004-12-17 2007-01-23 Intel Corporation Poly open polish process
JP2007005489A (en) * 2005-06-22 2007-01-11 Seiko Instruments Inc Method for manufacturing semiconductor device
US7964921B2 (en) * 2005-08-22 2011-06-21 Renesas Electronics Corporation MOSFET and production method of semiconductor device
US20070105295A1 (en) * 2005-11-08 2007-05-10 Dongbuanam Semiconductor Inc. Method for forming lightly-doped-drain metal-oxide-semiconductor (LDD MOS) device
KR100732767B1 (en) * 2005-12-29 2007-06-27 주식회사 하이닉스반도체 Method for fabricating trench of recess channel in semiconductor device
JP5380827B2 (en) 2006-12-11 2014-01-08 ソニー株式会社 Manufacturing method of semiconductor device
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
CN102087980A (en) * 2009-12-04 2011-06-08 中国科学院微电子研究所 High-performance semiconductor device and forming method thereof
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
DE102010002411B4 (en) * 2010-02-26 2012-10-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for producing contact bars with reduced marginal zone capacity in a semiconductor device
CN102214576A (en) * 2010-04-09 2011-10-12 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
KR20110120695A (en) * 2010-04-29 2011-11-04 삼성전자주식회사 Semiconductor device
US20120098043A1 (en) * 2010-10-25 2012-04-26 Ya-Hsueh Hsieh Semiconductor device having metal gate and manufacturing method thereof
US8084311B1 (en) * 2010-11-17 2011-12-27 International Business Machines Corporation Method of forming replacement metal gate with borderless contact and structure thereof
US20120289015A1 (en) * 2011-05-13 2012-11-15 United Microelectronics Corp. Method for fabricating semiconductor device with enhanced channel stress
US8946031B2 (en) * 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
CN105810729B (en) * 2014-12-29 2018-09-11 中国科学院微电子研究所 Fin formula field effect transistor and its manufacturing method
US10714621B2 (en) * 2016-12-14 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming doped channel thereof
US10153381B1 (en) 2017-07-05 2018-12-11 Micron Technology, Inc. Memory cells having an access gate and a control gate and dielectric stacks above and below the access gate
US10297493B2 (en) 2017-07-05 2019-05-21 Micron Technology, Inc. Trench isolation interfaces
US10153348B1 (en) 2017-07-05 2018-12-11 Micron Technology, Inc. Memory configurations
US10153039B1 (en) 2017-07-05 2018-12-11 Micron Technology, Inc. Memory cells programmed via multi-mechanism charge transports
US10411026B2 (en) 2017-07-05 2019-09-10 Micron Technology, Inc. Integrated computing structures formed on silicon
US10276576B2 (en) 2017-07-05 2019-04-30 Micron Technology, Inc. Gated diode memory cells
US10262736B2 (en) 2017-07-05 2019-04-16 Micron Technology, Inc. Multifunctional memory cells
US10374101B2 (en) 2017-07-05 2019-08-06 Micron Technology, Inc. Memory arrays
US20190013387A1 (en) 2017-07-05 2019-01-10 Micron Technology, Inc. Memory cell structures
US10176870B1 (en) 2017-07-05 2019-01-08 Micron Technology, Inc. Multifunctional memory cells
KR102078626B1 (en) 2019-08-16 2020-02-18 정현욱 Hangul learning method and device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0653237A (en) * 1992-07-31 1994-02-25 Oki Electric Ind Co Ltd Manufacture of semiconductor element
EP0810647A2 (en) * 1996-05-28 1997-12-03 Harris Corporation Process for forming a self-aligned raised source/drain MOS device and device therefrom
US5714398A (en) * 1996-07-16 1998-02-03 National Science Council Of Republic Of China Self-aligned tungsten strapped source/drain and gate technology for deep submicron CMOS
EP0838849A2 (en) * 1996-10-28 1998-04-29 Texas Instruments Incorporated A method of forming a mosfet
US5817572A (en) * 1992-06-29 1998-10-06 Intel Corporation Method for forming multileves interconnections for semiconductor fabrication
EP0929105A2 (en) * 1998-01-09 1999-07-14 Sharp Kabushiki Kaisha Metal gate sub-micron mos transistor and method of making same
WO2001017008A1 (en) * 1999-08-27 2001-03-08 Infineon Technologies Ag Hf-fet and method for producing the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
JP3523093B2 (en) * 1997-11-28 2004-04-26 株式会社東芝 Semiconductor device and manufacturing method thereof
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
US6177303B1 (en) * 1998-09-28 2001-01-23 U.S. Philips Corporation Method of manufacturing a semiconductor device with a field effect transistor
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6245618B1 (en) * 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6232164B1 (en) * 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6146955A (en) * 1999-11-12 2000-11-14 United Microelectronics Corp. Method for forming dynamic random access memory device with an ultra-short channel and an ultra-shallow junction

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817572A (en) * 1992-06-29 1998-10-06 Intel Corporation Method for forming multileves interconnections for semiconductor fabrication
JPH0653237A (en) * 1992-07-31 1994-02-25 Oki Electric Ind Co Ltd Manufacture of semiconductor element
EP0810647A2 (en) * 1996-05-28 1997-12-03 Harris Corporation Process for forming a self-aligned raised source/drain MOS device and device therefrom
US5714398A (en) * 1996-07-16 1998-02-03 National Science Council Of Republic Of China Self-aligned tungsten strapped source/drain and gate technology for deep submicron CMOS
EP0838849A2 (en) * 1996-10-28 1998-04-29 Texas Instruments Incorporated A method of forming a mosfet
EP0929105A2 (en) * 1998-01-09 1999-07-14 Sharp Kabushiki Kaisha Metal gate sub-micron mos transistor and method of making same
WO2001017008A1 (en) * 1999-08-27 2001-03-08 Infineon Technologies Ag Hf-fet and method for producing the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHATTERJEE A ET AL: "CMOS METAL REPLACEMENT GATE TRANSISTOR USING TANTALUM PENTOXIDE GATE INSULATOR", SAN FRANCISCO, CA, DEC. 6 - 9, 1998,NEW YORK, NY: IEEE,US, 6 December 1998 (1998-12-06), pages 777 - 780, XP000859485, ISBN: 0-7803-4775-7 *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 277 (E - 1554) 26 May 1994 (1994-05-26) *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2366076A (en) * 2000-03-17 2002-02-27 Samsung Electronics Co Ltd Methods for forming integrated circuit devices through selective etching of an insulation layer to increase self-aligned contact area
GB2366076B (en) * 2000-03-17 2002-07-17 Samsung Electronics Co Ltd Methods for forming integrated circuit devices through selective etching of an insulation layer and integrated circuit devices formed thereby
US6649490B1 (en) 2000-03-17 2003-11-18 Samsung Electronics Co., Ltd. Methods for forming integrated circuit devices through selective etching of an insulation layer to increase the self-aligned contact area adjacent a semiconductor region and integrated circuit devices formed thereby
US6870268B2 (en) 2000-03-17 2005-03-22 Samsung Electronics Co., Ltd. Integrated circuit devices formed through selective etching of an insulation layer to increase the self-aligned contact area adjacent a semiconductor region
US8334016B2 (en) 2000-09-28 2012-12-18 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US9905414B2 (en) 2000-09-28 2018-02-27 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide

Also Published As

Publication number Publication date
EP1157417A1 (en) 2001-11-28
KR100702282B1 (en) 2007-03-30
JP2003517209A (en) 2003-05-20
US20020094647A1 (en) 2002-07-18
TW514992B (en) 2002-12-21
DE60044639D1 (en) 2010-08-19
KR20010102168A (en) 2001-11-15
US6743682B2 (en) 2004-06-01
EP1157417B1 (en) 2010-07-07
US20010004542A1 (en) 2001-06-21
US6406963B2 (en) 2002-06-18

Similar Documents

Publication Publication Date Title
US6743682B2 (en) Method of manufacturing a semiconductor device
US6406956B1 (en) Poly resistor structure for damascene metal gate
US5723893A (en) Method for fabricating double silicide gate electrode structures on CMOS-field effect transistors
US6737308B2 (en) Semiconductor device having LDD-type source/drain regions and fabrication method thereof
US5464782A (en) Method to ensure isolation between source-drain and gate electrode using self aligned silicidation
JP3793190B2 (en) Manufacturing method of semiconductor device
JP4027064B2 (en) Method for manufacturing MOSFET device
US6001726A (en) Method for using a conductive tungsten nitride etch stop layer to form conductive interconnects and tungsten nitride contact structure
US6531750B2 (en) Shallow junction transistors which eliminating shorts due to junction spiking
US6184114B1 (en) MOS transistor formation
EP1138058B1 (en) Method of manufacturing a semiconductor device having a gate with a varying work function
US6403426B1 (en) Method of manufacturing a semiconductor device
EP1186020B1 (en) A method of manufacturing a semiconductor device
US20020132400A1 (en) Novel design and process for a dual gate structure
US6780691B2 (en) Method to fabricate elevated source/drain transistor with large area for silicidation
US6753215B2 (en) Methods for manufacturing semiconductor devices and semiconductor devices
US6060376A (en) Integrated etch process for polysilicon/metal gate
JP2002094052A (en) Manufacturing method of semiconductor device
KR100247811B1 (en) Method for manufacturing semiconductor device
JP2001057347A (en) Semiconductor device and method of manufacturing it
JP2004039963A (en) Method for manufacturing semiconductor device
JP2000068229A (en) Semiconductor device and manufacture of the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

WWE Wipo information: entry into national phase

Ref document number: 2000989941

Country of ref document: EP

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020017010365

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2001 545357

Country of ref document: JP

Kind code of ref document: A

WWP Wipo information: published in national office

Ref document number: 2000989941

Country of ref document: EP