WO2002011187A2 - Method and apparatus for depositing a tantalum-containing layer on a substrate - Google Patents

Method and apparatus for depositing a tantalum-containing layer on a substrate Download PDF

Info

Publication number
WO2002011187A2
WO2002011187A2 PCT/US2001/023702 US0123702W WO0211187A2 WO 2002011187 A2 WO2002011187 A2 WO 2002011187A2 US 0123702 W US0123702 W US 0123702W WO 0211187 A2 WO0211187 A2 WO 0211187A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
tantalum
target
substrate
magnetic
Prior art date
Application number
PCT/US2001/023702
Other languages
French (fr)
Other versions
WO2002011187A3 (en
Inventor
Michael A. Miller
Tony Chiang
Peijun Ding
Jianming Fu
Howard Tang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2002011187A2 publication Critical patent/WO2002011187A2/en
Publication of WO2002011187A3 publication Critical patent/WO2002011187A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Definitions

  • the invention relates to a method and apparatus for depositing a tantalum-containing layer on a substrate. More particularly, the invention relates to a method and apparatus for sputter depositing tantalum and/or tantalum nitride layers using a self-ionized plasma (SIP).
  • SIP self-ionized plasma
  • Integrated circuits typically include metal conductive layers that are used to interconnect various individual devices, of the IC. As the density of integrated circuits increases, more and more levels of metallization are employed to provide electrical connection between these devices.
  • the metal conductive layers are typically isolated from each other by one or more dielectric material layers. Holes (vias) formed through the dielectric layers provide electrical access between successive conductive interconnection layers.
  • any microscopic reaction at an interface between interconnection layers can cause degradation of the resulting integrated circuits (e. g., increase the resistivity of the interconnection layers).
  • Barrier layers prevent degradation of interfaces between conductive and dielectric layers and have consequently become a critical component for improving the reliability of interconnect metallization schemes.
  • Refractory metals and their compounds such as, for example, tantalum (Ta) and tantalum nitride (TaN) have been suggested as diffusion barriers for copper and other metallizations. Tantalum and tantalum-containing materials have low resistivity (resistivity less than about 15 ⁇ -cm), and show excellent performance in preventing the diffusion of copper into underlying layers as well in preventing the diffusion of fluorine and/or oxygen from low-k dielectric materials into the copper.
  • tantalum-based barrier layers comprising two separate layers, a layer of tantalum deposited on a tantalum nitride layer, have been found particularly advantageous. The combination of these two layers provides excellent adhesion for copper as well as good barrier properties.
  • PVD Physical vapor deposition
  • a DC magnetron sputtering reactor includes a target composed of the layer to be sputter deposited along with a magnetron powered by a DC electrical source. The magnetron is scanned across the target thereby sputtering target material onto a substrate disposed inside the reactor.
  • the target is spaced relatively far from the substrate to be sputter coated.
  • the target-to-wafer spacing in long throw sputtering is typically greater than at least 50% of the wafer diameter.
  • the off-angle portion of the sputtering distribution is preferentially directed toward the chamber walls, while the central-angle portion remains directed toward the wafer.
  • This truncated angular distribution for the sputtered material causes a higher fraction of the sputter particles to be directed into the high aspect ratio structure, thereby reducing the extent of overhangs thereon.
  • the step-coverage of barrier layers formed using this sputter deposition technique may be discontinuous, particularly for high aspect ratio features.
  • a high-density plasma sputtering process refers to a sputtering process having an average plasma density across the plasma of at least 10 11 cm "3 .
  • a separate plasma source region is formed away from the substrate, for example, by inductively coupling RF power into an electrical coil wrapped around a plasma source region between the target and the substrate.
  • the higher power ionizes not only the argon working gas, but also significantly increases the ionization fraction of the sputtered atoms.
  • the substrate either self-charges to a negative potential or is RF biased to control its DC potential.
  • the sputtered atoms are accelerated across the plasma sheath as they approach the negatively biased substrate. As a result, their angular distribution becomes strongly peaked in the forward direction so that they are drawn deeply into the high aspect ratio feature. Overhangs become much less of a problem in HDP sputtering, and bottom surface coverage as well as bottom sidewall coverage are relatively uniform in the center of the substrate.
  • HDP-deposited barrier layers typically have poor step coverage high up the sidewalls of high aspect ratio features. This is particularly true for high aspect structures located at the edges of the substrate. Furthermore, the sidewall coverage is typically asymmetric, with the side facing the center of the target being more heavily coated than the more shielded side facing a larger solid angle outside the target. This poor step coverage often results in voids and defects in the barrier layer, which then result in device failure.
  • a pressure of at least 30 milliTorr may be required.
  • Such higher pressures for the high-density plasma produces a large number of inert gas ions, which may be accelerated across the plasma sheath toward the surface being sputter deposited.
  • the high-energy inert gas ions may cause a number of problems such as the inadvertent embedding of inert gas ions in the deposited layer causing the surface morphology thereof to be rough, or even discontinuous, which then may result in device failure.
  • a method of forming a tantalum-containing layer on a substrate is described.
  • the tantalum-containing layer is formed using a physical vapor deposition technique wherein a magnetic field in conjunction with an electric field function to confine material sputtered from a tantalum-containing target within a reaction zone of a deposition chamber.
  • the electric field is generated by applying a power of at least 8 kilowatts to the tantalum-containing target.
  • the magnetic field is generated from a magnetron including a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity.
  • the first magnetic pole preferably has a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole.
  • the deposition chamber may optionally comprise one or more shields positioned along sidewalls of the deposition chamber and adjacent to both the tantalum-containing target and the substrate. At least one of the one or more shields includes perforations through which reactive gases are provided to the deposition chamber.
  • the tantalum-containing layer deposition method is compatible with integrated circuit fabrication processes.
  • an interconnect structure is formed.
  • a preferred process sequence includes providing a substrate having a dielectric material thereon, wherein the dielectric material has one or more vias therein.
  • One or more tantalum-containing barrier layers are deposited in the vias using a physical vapor deposition technique wherein a magnetic field in conjunction with an electric ' field function to confine material sputtered from a tantalum- containing target within a reaction zone of a deposition chamber.
  • the interconnect structure is completed by filling the vias with a conductive material.
  • FIG. 1 depicts a schematic representation of an integrated processing system that may be used for the practice of embodiments described herein;
  • FIG. 2 depicts a schematic diagram of a sputtering reactor that may be used for the practice of embodiments described herein;
  • FIGS. 3a-3b depict magnified views of an upper shield of the sputtering reactor of FIG. 2;
  • FIGS. 4a-4b depict a magnetron as well as component magnets that may be used in the sputtering reactor of FIG. 2;
  • FIG. 5 depicts a side view of the magnetic field that may be produced using the magnetron described with respect to FIGS. 4a and 4b;
  • FIG. 6 depicts an interconnect structure that may be formed using embodiments described herein.
  • FIG. 7 depicts an interconnect structure comprising two tantalum- containing layers that may be formed using embodiments described herein.
  • FIG. 1 depicts a schematic representation of an integrated processing system 30 (e.g., a cluster tool), suitable for practicing embodiments of the present invention.
  • integrated processing systems include PRECISION 5000 ® systems, ENDURA ® systems and CENTURA ® systems, commercially available from Applied Materials Inc., of Santa Clara, California.
  • the integrated processing system 30 typically comprises process chambers 36, 38, 40, 41 , load-lock chambers 46, a transfer chamber 50, a microprocessor controller 48, along with other hardware components such as power supplies (not shown) and vacuum pumps (not shown). Details of the integrated processing system are described in commonly assigned U. S. Patent No. 5,186,718, entitled, “Staged-Vacuum Substrate Processing System and Method", issued on February 16, 1993, and is hereby incorporated by reference. The salient features of this system 30 are briefly described below.
  • the integrated processing system 30 includes a transfer chamber 50, containing a transfer robot 51.
  • the transfer chamber 50 is coupled to load-lock chambers 46 as well as a cluster of process chambers 36, 38, 40, 41.
  • Substrates are loaded into the wafer processing system 30 through load-lock chambers 46. Thereafter, transfer robot 51 moves the substrates between one or more of the process chambers 36, 38, 40, 41.
  • process chambers 36, 38, 40, 41 are used to perform various integrated circuit fabrication sequences.
  • process chambers 36, 38, 40, 41 may include physical vapor deposition (PVD) chambers, self-ionized plasma physical vapor deposition (SIP PVD) chambers, chemical vapor deposition (CVD) chambers, rapid thermal process (RTP) chambers, and anti- reflective coating (ARC) chambers, among others.
  • PVD physical vapor deposition
  • SIP PVD self-ionized plasma physical vapor deposition
  • CVD chemical vapor deposition
  • RTP rapid thermal process
  • ARC anti- reflective coating
  • FIG. 2 is a schematic representation of a PVD sputter reactor 40 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein.
  • Reactor 40 includes a vacuum chamber 52, formed of metal such as stainless steel, and electrically grounded, through a target isolator 54 from a target 56.
  • the target 56 is coupled to a backing plate 70 which preferably supports the target 56.
  • the backing plate 70 is typically soldered or diffusion bonded to the target 56.
  • the backing plate 70 may comprise, for example, an alloy of copper and chromium.
  • the target 56 has at least a surface portion composed of the material to be deposited.
  • the target 56 for example, may comprise a tantalum-containing material, which may be essentially pure tantalum or a tantalum alloy, to be sputter deposited on a wafer 58.
  • the alloy element comprising the tantalum alloy is typically present to less than 5 wt %.
  • a substrate 58 is supported on a pedestal 62.
  • the pedestal 62 may comprise, for example, an electrostatic chuck (not shown) with a support surface 61 , that is used to hold the substrate 58 in place within the chamber 52.
  • the electrostatic chuck is preferably equipped with a means for adjusting and controlling the temperature of the substrate 58 held thereon.
  • the temperature controlling means may be, for example, a temperature-control fluid, such as, for example, water to cool the substrate 58 or a resistive heating element to warm the substrate 58.
  • the target 56 and the pedestal 62 are separated by a distance, often referred to as a throw distance.
  • the throw distance is preferably greater than about 70% of the diameter of the substrate 58.
  • the throw distance is in a range of about 140 mm to about 400 mm.
  • An upper shield 64 fills the space between the target and the upper edge of an inner shield 66.
  • the upper shield 64 and the inner shield 66 function to prevent plasma from leaking into any significant gaps between these parts and the sidewalls of the chamber 52.
  • the upper shield 64 is preferably isolated from the chamber in order to maintain a floating potential.
  • the upper shield 64 typically protrudes into the process space far enough that it effectively shadows the backing plate 70 from deposition.
  • the upper shield 64 is positioned preferably between the inner shield 66 and the backing plate 70.
  • the upper shield 64 is illustrated schematically in FIG. 3a.
  • the upper shield 64 may be physically coupled to a cooling member 54 that is cooled by means of for example, a coolant.
  • the cooling member 54 enables dissipation of heat from upper shield 64.
  • FIG. 3b illustrates an alternate configuration in which the upper shield 64 is cut away to open a space 99 at the corner of the target 56, such that the area of the plasma is expanded.
  • This alternate configuration is advantageous for increasing plasma density when the upper shield 64 is grounded rather than maintained at a floating potential relative to the chamber.
  • Upper shield 64 may be grounded to chamber 52 with a grounding clamp 98 (FIG. 3b).
  • upper shield 64 extending away from a support 68 along the wall 52 of the chamber to an elevation typically beneath the support surface 61 is also shown.
  • Lower shield 67 comprises one or more perforations 180 therethrough that permit gases such as a sputtering gas (e.g. argon, neon, helium) as well as a reactive gas (e.g. nitrogen) to travel from gas inlet ports 150, 152 into an interior portion 168 of the chamber 52.
  • gases such as a sputtering gas (e.g. argon, neon, helium) as well as a reactive gas (e.g. nitrogen) to travel from gas inlet ports 150, 152 into an interior portion 168 of the chamber 52.
  • gases such as a sputtering gas (e.g. argon, neon, helium)
  • a reactive gas e.g. nitrogen
  • the one or more perforations 180 in lower shield 67 may be of any shape, including circular, elliptical, and rectangular, among others. Preferably, there are a plurality of perforations 180 in order to permit the gases to reach the interior portion 168 of the chamber 52.
  • Lower shield 67 may have a variety of shapes, but it typically has one or more curved portions as shown in FIG. 2. .
  • An inner shield 66 is generally disposed between a portion of the lower shield 67 and the target 56.
  • the inner shield 66 is disposed between the perforations 180 in the lower shield 67 and the target 56 so that no line of sight pathway exists between the one or more perforations 180 and the target 56.
  • the inner shield 66 is also positioned between the one or more perforations 180 and the substrate 58.
  • the inner shield 66 may also have varying shapes and dimensions, but typically, the inner shield comprises one or more curved portions as shown, for example, in FIG. 2.
  • Inner shield 66 and lower shield 67 are typically symmetrical within the chamber 52 about a chamber axis 140.
  • the shields 64, 66 and 67 are typically formed of stainless steel and are electrically conductive.
  • the sides of shields 64, 66 and 67 that are facing the interior 168 of the chamber 53 may be bead blasted or otherwise roughened to promote adhesion of the sputter deposited material thereon, so as to reduce flaking and peeling onto the substrate 58.
  • the configuration of the shields 64, 66, 67 in chamber 52 prevent the formation of undesirable tantalum compounds, such as tantalum nitride on the target 56, or so-called "poisoning" of the target.
  • Target poisoning results in increased resistance of the target, a decrease in ionization current, and a reduced deposition rate.
  • a magnetron 130 is positioned above the target 56, as shown in FIG. 2.
  • the magnetron 130 has opposed magnets 132, 134 connected and supported by a magnetic yoke 136.
  • the magnets 132,134 create a magnetic field adjacent the target 56 within the chamber 52.
  • the magnetic field forms a high- density plasma region 138 within the chamber 52.
  • the magnetron 130 may be rotated about a chamber axis 140 by a motor-driven shaft 142 to achieve full coverage for the target 56.
  • the magnetron when rotated, typically covers about 10% to about 50% of the target area at any given time. Alternatively, the magnetron is rotated about an axis other than the chamber axis 140.
  • the magnetron 130 may have, for example, a racetrack shape. Other magnetron shapes, however, are equally acceptable, including shapes such as an oval, a triangle, an eggshape, a circle, and a teardrop, among others .
  • the magnetron 130 preferably has an inner pole 362 and an outer pole 368.
  • the inner pole 362 and the outer pole 368 typically have different sizes and shapes.
  • two sets of magnets 390, 392 are disposed in the poles 362, 368 to produce the two magnetic polarities.
  • the magnets 390, 392 may be of different magnetic strength.
  • the inner pole 362 represented by the inner magnets 390 should have no significant apertures and be surrounded by a continuous outer pole 368 represented by the outer magnets 392.
  • the magnetic flux produced by the inner pole 362 should be smaller than the magnetic flux produced by the outer pole 368.
  • the magnetic flux of the outer pole 368 is preferably at least about 1.3 times greater than the magnetic flux of the inner pole 362.
  • the magnetic field is very strong in a reactor processing area 494 adjacent to and between the poles 362, 368.
  • the magnetic field extends into the processing area 494, reducing electron loss to the grounded inner shield 66 and lower shield 67. This increases the ionization density of the plasma while simultaneously increasing the number of electrons arriving on the surface of the substrate 58.
  • electrons are lost from the magnetic field on one side of the inner pole, they are likely to be captured on the other side, thereby increasing the plasma density near the edges of the processing area 494 for a given power level.
  • a selectable DC power supply 110 is coupled to the target 56 and the inner shield 66 so that a DC bias may be applied to the inert sputtering gas in order to ignite and sustain a plasma.
  • a plasma comprising ions, electrons and neutral atoms is formed from the inert sputtering gas.
  • the target 56 acts as a negatively biased cathode and shield 67 acts as a grounded anode.
  • the electric field accelerates the inert sputtering gas ions toward the target 56 for sputtering target particles from the target 56.
  • the sputtered target particles may also become ionized in the plasma.
  • Such a configuration enables deposition of sputtered and ionized target particles from the target 56 onto the substrate 58 forming a layer of sputter deposited material.
  • the shields 66, 67 confine the sputtered particles and plasma gas within the interior 168 of the chamber 52 and prevent undesirable deposition of target material beneath the pedestal 62 or behind the target 56.
  • a controllable bias power supply 112 may also be coupled to the pedestal 62 for biasing the substrate 58, in order to control the deposition of the sputter deposited layer on the substrate 58.
  • the bias power supply 112 is typically an AC source, having a frequency of, for example, about 13.56 kHz, or between about 400 kHz to about 500 MHz.
  • a first gas source 114 supplies a sputtering gas, typically a chemically inert noble gas such as, argon (Ar), to the chamber 53 through a mass flow controller 116 and gas inlet port 150.
  • a sputtering gas typically a chemically inert noble gas such as, argon (Ar)
  • Other inert gases such as helium (He), neon (Ne), xenon (Xe), and combinations thereof, among others, may also be used as the sputtering gas.
  • a second gas source 115 supplies a reactive gas, such as, for example, nitrogen, through a mass flow controller 117 and gas inlet port 152.
  • the location of the gas inlet ports 150, 152 may be, as illustrated in FIG. 2, near the bottom of the chamber 52.
  • the ports 150, 152 are positioned such that the gases travel through the one or more perforations 180 in the lower shield 67 and around the inner shield 66 to the interior 168 of the chamber 52.
  • a vacuum pump system 120 connected to the chamber 52 through port 122 maintains the chamber at a desired pressure. Although the base pressure can be held to about 10 "7 Torr or even lower, the base pressure within the chamber 52 is typically maintained below about 10 milliTorr.
  • a computer-based microprocessor controller 124 controls the reactor including the DC target power supply 110, the bias power supply 112 and the mass flow controllers 116, 117.
  • the microprocessor controller 124 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • the software routines are executed after the substrate is positioned on the pedestal.
  • the software routine when executed, transforms the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • the process of the present invention may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • a tantalum-containing layer is formed using a self-ionized plasma physical vapor deposition (PVD) process wherein a magnetic field in conjunction with an electric field function to confine material sputtered from a tantalum-containing target within a reaction zone of a deposition chamber.
  • the magnetic field is generated from a magnetron including a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity.
  • the first magnetic pole preferably has a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole.
  • the following deposition process parameters can be used to conformably form the tantalum-containing layer using a PVD chamber such as the one depicted in FIG. 2.
  • the process parameters range from a wafer temperature of about -40 °C to about 100 °C, a chamber pressure of greater than about 1.0 mtorr, a sputtering gas flow rate of about 5 seem to about 200 seem, a DC target power of greater than about 8 kilowatts, a target bias of about -400 volts to about -600 volts, and a substrate bias power of up to about 1000 watts.
  • the above PVD process parameters provide a deposition rate for the one or more barrier layers in a range of about 100 A/min to about 600 A/min.
  • Nitrogen (N 2 ) gas may be provided to the PVD deposition chamber when a tantalum nitride (TaN x ) based barrier layer is to be formed.
  • nitrogen (N 2 ) gas with a flow rate in a range of about 5 seem to about 200 seem may be provided to the PVD chamber.
  • the atomic percent of nitrogen in the as-deposited TaN x layer may be varied up to about 75 atomic % nitrogen.
  • the as-deposited tantalum-containing layers have good step coverage for high aspect ratio features without voids and/or discontinuities.
  • such layers have a tensile stress of about 10 9 dynes/cm 2 , an order of magnitude lower than for PVD deposited layers deposited according to standard process conditions.
  • the resistance non-uniformity across the layer is less than about 5 % for a 200 mm substrate.
  • FIGS. 6a-6c illustrate schematic cross-sectional views of a substrate 500 at different stages of an integrated circuit fabrication sequence incorporating a tantalum-containing layer as a barrier layer.
  • the substrate 500 refers to any workpiece on which layer processing is performed.
  • the substrate 500 may correspond to a silicon wafer, or other material layers, which have been formed on the substrate.
  • FIG. 6a illustrates a cross-sectional view of a substrate structure in which the substrate 500 is a silicon wafer having a material layer 502 thereon.
  • the material layer 502 may be, for example, a dielectric layer, such as, for example, silicon oxide or other insulating material. Material layer 502 has at least one feature 504 formed therein.
  • the feature 504 may be, for example, a metal interconnect.
  • the feature 504 may comprise, for example, copper.
  • material layer 502 may be, for example, a silicon layer, and the feature 504 may be a doped silicon region.
  • a dielectric layer 506 having an opening or via 508 therethrough is formed over the feature 504.
  • the dielectric layer is typically an insulation material, such as, for example, an oxide. While FIG. 6a depicts a rectangular via 508, the via may have any other cross-sectional shape. Additionally, the via 508 may not extend entirely through dielectric layer 506.
  • the via 508 preferably has a width of about 0.25 ⁇ m or less. Furthermore, the thickness of the dielectric layer 508 is preferably at least 1.0 ⁇ m. Thus, the aspect ratio of the via, defined as the height of the via divided by its width, is typically about 4:1 or greater.
  • the material layer 502 and dielectric layer 506 may be silicon oxide formed by plasma-enhanced chemical vapor deposition (PECVD). However, either or both material layer 502 and dielectric layer 506 may comprise other dielectric materials, such as organosilicate materials and fluorinated silicate materials.
  • PECVD plasma-enhanced chemical vapor deposition
  • a tantalum-containing layer 510 is conformally deposited along the bottom 508b and sidewalls 508s of the via 508.
  • the tantalum-containing layer 510 serves as a barrier layer and performs several functions. It acts as an adhesion layer between the dielectric layer 506 and conductive layers subsequently deposited thereon.
  • the tantalum-containing layer 510 also functions as a barrier against the diffusion of conductive material into layer 506, as well as the diffusion of species within dielectric layer 506 into the conductive material.
  • Tantalum-containing layer 510 is formed on substrate 500 according to the process conditions described above.
  • the thickness of the tantalum- containing layer 510 is variable depending on the specific stage of processing. Typically, the tantalum-containing layer 510 has a thickness of about 50 A to about 200 A.
  • the interconnect structure is completed by filling the via 508 with a conductive layer 512, as shown in FIG. 6c.
  • the conductive layer 512 may be, for example, aluminum, copper, or tungsten, among others.
  • the conductive layer 512 may be deposited on the tantalum-containing layer 510 by any of various deposition means known to the art.
  • conductive layer 512 may be deposited, for example, by chemical vapor deposition, physical vapor deposition, electroless plating, or electrochemical plating.
  • Conductive layer 512 may optionally be sequentially deposited using combinations of these methods.
  • FIGS. 7a-7d illustrate schematic cross-sectional views of a substrate 600 at different stages of an integrated circuit fabrication sequence incorporating an integrated tantalum-containing barrier layer as a barrier layer structure.
  • substrate 600 refers to any workpiece upon which film processing is performed.
  • the substrate 600 may correspond to a silicon wafer, or other material layers, which have been formed on the substrate.
  • FIG. 7a illustrates a cross-sectional view of a substrate structure in which the substrate 600 is a silicon wafer having a material layer 602 thereon.
  • the material layer 602 may be, for example, a dielectric layer, such as, for example, silicon oxide or other insulating material. Material layer 602 has at least one feature 604 formed therein.
  • the feature 604 may be, for example, a metal interconnect.
  • the feature 604 may comprise for example, copper.
  • material layer 602 may be, for example, a silicon layer and the feature 604 may be a doped silicon region.
  • a dielectric layer 606 having an opening or via 608 therethrough is formed over the feature 604.
  • the dielectric layer is typically an insulation material, such as, for example, an oxide. While FIG. 7a depicts a rectangular via 608, the via may have any other cross-sectional shape. Additionally, the via 608 may not extend entirely through dielectric layer 606.
  • the via 608 preferably has a width of about 0.25 ⁇ m or less. Furthermore, the thickness of the dielectric layer 608 is preferably at least 1.0 ⁇ m. Thus, the aspect ratio of the via, defined as the height of the via divided by its width, is typically about 4:1 or greater.
  • the material layer 602 and dielectric layer 606 may be silicon oxide formed by plasma-enhanced chemical vapor deposition (PECVD). However, either or both material layer 602 and dielectric layer 606 may comprise other dielectric materials, such as organosilicate materials and fluorinated silicate materials.
  • PECVD plasma-enhanced chemical vapor deposition
  • a first tantalum-containing layer 610 is conformably deposited along the bottom 608b and sidewalls 608s of the via 608.
  • the first tantalum-containing layer 610 serves as a barrier layer and performs several functions. It acts as an adhesion layer between the dielectric layer 606 and layers subsequently deposited thereon.
  • the first tantalum-containing layer 610 also functions as a barrier against the diffusion of material into layer 606, as well as the diffusion of species within dielectric layer 606 into material layers formed thereon.
  • the first tantalum-containing layer may be for example, a tantalum nitride (TaN x ) layer.
  • the first tantalum-containing layer 610 is formed on substrate 600 according to the process conditions described above.
  • the thickness of the first tantalum-containing layer 610 is variable depending on the specific stage of processing. Typically, the first tantalum-containing layer 610 has a thickness of about 50 A to about 200 A.
  • a second tantalum-containing layer 611 is deposited thereon, as shown in FIG. 7c.
  • the second tantalum-containing layer 611 is formed on substrate 600 according to the process conditions described above.
  • the thickness of the second tantalum-containing layer 611 is variable depending on the specific stage of processing. Typically, the second tantalum-containing layer 611 has a thickness of about 50 A to about 300 A.
  • the thickness ratio of the first tantalum- containing layer 610 to the second tantalum-containing layer 611 should preferably be about 1 :1 to about 1 :12.
  • first tantalum-containing layer 610 and the second tantalum-containing layer 611 is advantageous in that the first tantalum layer 610 provides excellent adhesion to dielectric layer 606 and the second tantalum-containing layer 611 provides excellent adhesion to a subsequently deposited conductive material.
  • the combination of first tantalum-containing layer 610 and the second tantalum-containing layer 611 further provides excellent barrier properties, particularly when the first tantalum-containing layer
  • 611 comprises essentially pure tantalum.
  • the interconnect structure is completed by filling the via 608 with a conductive layer 612, as shown in FIG. 7d.
  • the conductive layer 612 may be, for example, aluminum, copper, or tungsten, among others.
  • the conductive layer 612 may be deposited on the second tantalum- containing layer 611 by any of various deposition means known to the art.
  • conductive layer 612 may be deposited, for example, by chemical vapor deposition, physical vapor deposition, electroless plating, or electrochemical plating.
  • Conductive layer 612 may optionally be sequentially deposited using combinations of these methods.

Abstract

A method of forming a tantalum-containing layer on a substrate is described. The tantalum-containing layer is formed using a physical vapor deposition technique wherein a magnetic field in conjunction with an electric field function to confine material sputtered from a tantalum-containing target within a reaction zone of a deposition chamber. The electric field is generated by applying a power of at least 8 kilowatts to the tantalum-containing target. The magnetic field is generated from a magnetron including a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity. The first magnetic pole preferably has a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole. The tantalum-containing layer deposition method is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, an interconnect structure is formed.

Description

METHOD AND APPARATUS FOR DEPOSITING A TANTALUM- CONTAINING LAYER ON A SUBSTRATE
This application claims the benefit of U.S. Provisional Application No. 60/221 ,597 filed on July 28, 2000, which is herein incorporated by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates to a method and apparatus for depositing a tantalum-containing layer on a substrate. More particularly, the invention relates to a method and apparatus for sputter depositing tantalum and/or tantalum nitride layers using a self-ionized plasma (SIP).
2. Description of the Background Art
Integrated circuits (ICs) typically include metal conductive layers that are used to interconnect various individual devices, of the IC. As the density of integrated circuits increases, more and more levels of metallization are employed to provide electrical connection between these devices. The metal conductive layers are typically isolated from each other by one or more dielectric material layers. Holes (vias) formed through the dielectric layers provide electrical access between successive conductive interconnection layers.
For the current subhalf-micron (0.5 μm) generation of semiconductor devices, any microscopic reaction at an interface between interconnection layers can cause degradation of the resulting integrated circuits (e. g., increase the resistivity of the interconnection layers). Barrier layers prevent degradation of interfaces between conductive and dielectric layers and have consequently become a critical component for improving the reliability of interconnect metallization schemes.
Refractory metals and their compounds, such as, for example, tantalum (Ta) and tantalum nitride (TaN) have been suggested as diffusion barriers for copper and other metallizations. Tantalum and tantalum-containing materials have low resistivity (resistivity less than about 15 Ω-cm), and show excellent performance in preventing the diffusion of copper into underlying layers as well in preventing the diffusion of fluorine and/or oxygen from low-k dielectric materials into the copper. In particular, tantalum-based barrier layers comprising two separate layers, a layer of tantalum deposited on a tantalum nitride layer, have been found particularly advantageous. The combination of these two layers provides excellent adhesion for copper as well as good barrier properties.
Physical vapor deposition (PVD) processes typically use a DC magnetron sputtering reactor. Such a sputter reactor includes a target composed of the layer to be sputter deposited along with a magnetron powered by a DC electrical source. The magnetron is scanned across the target thereby sputtering target material onto a substrate disposed inside the reactor.
However, conventional DC magnetron sputtering processes sputter atoms across a wide angular distribution that typically has a cosine dependence about the target. Such a wide distribution is disadvantageous for filling a high aspect ratio structure. This is because the off-angle sputtered particles preferentially deposits the barrier material around the upper corners of the high aspect ratio structure forming overhangs. Large overhangs on the high aspect ratio structures restrict the deposition of barrier material therein and at a minimum cause inadequate coverage along the sidewalls and bottom surfaces thereof.
One approach to ameliorate the overhang problem uses long-throw sputtering in a conventional reactor. In long-throw sputtering, the target is spaced relatively far from the substrate to be sputter coated. For example, the target-to-wafer spacing in long throw sputtering is typically greater than at least 50% of the wafer diameter. As such, the off-angle portion of the sputtering distribution is preferentially directed toward the chamber walls, while the central-angle portion remains directed toward the wafer. This truncated angular distribution for the sputtered material causes a higher fraction of the sputter particles to be directed into the high aspect ratio structure, thereby reducing the extent of overhangs thereon. However, the step-coverage of barrier layers formed using this sputter deposition technique may be discontinuous, particularly for high aspect ratio features.
Another PVD technique for depositing barrier layers in high aspect ratio features uses a high-density plasma (HDP) sputtering process. A high-density plasma sputtering process refers to a sputtering process having an average plasma density across the plasma of at least 1011 cm"3. In HDP sputtering processes, a separate plasma source region is formed away from the substrate, for example, by inductively coupling RF power into an electrical coil wrapped around a plasma source region between the target and the substrate. The higher power ionizes not only the argon working gas, but also significantly increases the ionization fraction of the sputtered atoms. The substrate either self-charges to a negative potential or is RF biased to control its DC potential. The sputtered atoms are accelerated across the plasma sheath as they approach the negatively biased substrate. As a result, their angular distribution becomes strongly peaked in the forward direction so that they are drawn deeply into the high aspect ratio feature. Overhangs become much less of a problem in HDP sputtering, and bottom surface coverage as well as bottom sidewall coverage are relatively uniform in the center of the substrate.
However, HDP-deposited barrier layers typically have poor step coverage high up the sidewalls of high aspect ratio features. This is particularly true for high aspect structures located at the edges of the substrate. Furthermore, the sidewall coverage is typically asymmetric, with the side facing the center of the target being more heavily coated than the more shielded side facing a larger solid angle outside the target. This poor step coverage often results in voids and defects in the barrier layer, which then result in device failure.
Additionally, for some HDP sputtering processes a pressure of at least 30 milliTorr may be required. Such higher pressures for the high-density plasma produces a large number of inert gas ions, which may be accelerated across the plasma sheath toward the surface being sputter deposited. The high-energy inert gas ions may cause a number of problems such as the inadvertent embedding of inert gas ions in the deposited layer causing the surface morphology thereof to be rough, or even discontinuous, which then may result in device failure.
Therefore, a need exists for a method and apparatus for depositing a tantalum-containing barrier layer in high aspect ratio features.
SUMMARY OF THE INVENTION
A method of forming a tantalum-containing layer on a substrate is described. The tantalum-containing layer is formed using a physical vapor deposition technique wherein a magnetic field in conjunction with an electric field function to confine material sputtered from a tantalum-containing target within a reaction zone of a deposition chamber. The electric field is generated by applying a power of at least 8 kilowatts to the tantalum-containing target. The magnetic field is generated from a magnetron including a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity. The first magnetic pole preferably has a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole. The deposition chamber may optionally comprise one or more shields positioned along sidewalls of the deposition chamber and adjacent to both the tantalum-containing target and the substrate. At least one of the one or more shields includes perforations through which reactive gases are provided to the deposition chamber.
The tantalum-containing layer deposition method is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, an interconnect structure is formed. For such an embodiment, a preferred process sequence includes providing a substrate having a dielectric material thereon, wherein the dielectric material has one or more vias therein. One or more tantalum-containing barrier layers are deposited in the vias using a physical vapor deposition technique wherein a magnetic field in conjunction with an electric ' field function to confine material sputtered from a tantalum- containing target within a reaction zone of a deposition chamber. After the one or more tantalum-containing barrier layers are deposited in the vias, the interconnect structure is completed by filling the vias with a conductive material. BRIEF DESCRIPTION OF THE DRAWINGS
The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawing, in which:
FIG. 1 depicts a schematic representation of an integrated processing system that may be used for the practice of embodiments described herein;
FIG. 2 depicts a schematic diagram of a sputtering reactor that may be used for the practice of embodiments described herein;
FIGS. 3a-3b depict magnified views of an upper shield of the sputtering reactor of FIG. 2;
FIGS. 4a-4b depict a magnetron as well as component magnets that may be used in the sputtering reactor of FIG. 2;
FIG. 5 depicts a side view of the magnetic field that may be produced using the magnetron described with respect to FIGS. 4a and 4b;
FIG. 6 depicts an interconnect structure that may be formed using embodiments described herein; and
FIG. 7 depicts an interconnect structure comprising two tantalum- containing layers that may be formed using embodiments described herein.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 1 depicts a schematic representation of an integrated processing system 30 (e.g., a cluster tool), suitable for practicing embodiments of the present invention. Examples of integrated processing systems include PRECISION 5000® systems, ENDURA® systems and CENTURA® systems, commercially available from Applied Materials Inc., of Santa Clara, California.
The integrated processing system 30 typically comprises process chambers 36, 38, 40, 41 , load-lock chambers 46, a transfer chamber 50, a microprocessor controller 48, along with other hardware components such as power supplies (not shown) and vacuum pumps (not shown). Details of the integrated processing system are described in commonly assigned U. S. Patent No. 5,186,718, entitled, "Staged-Vacuum Substrate Processing System and Method", issued on February 16, 1993, and is hereby incorporated by reference. The salient features of this system 30 are briefly described below.
The integrated processing system 30 includes a transfer chamber 50, containing a transfer robot 51. The transfer chamber 50 is coupled to load-lock chambers 46 as well as a cluster of process chambers 36, 38, 40, 41.
Substrates (not shown) are loaded into the wafer processing system 30 through load-lock chambers 46. Thereafter, transfer robot 51 moves the substrates between one or more of the process chambers 36, 38, 40, 41.
The process chambers 36, 38, 40, 41 are used to perform various integrated circuit fabrication sequences. For example, process chambers 36, 38, 40, 41 may include physical vapor deposition (PVD) chambers, self-ionized plasma physical vapor deposition (SIP PVD) chambers, chemical vapor deposition (CVD) chambers, rapid thermal process (RTP) chambers, and anti- reflective coating (ARC) chambers, among others.
FIG. 2 is a schematic representation of a PVD sputter reactor 40 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein. Reactor 40 includes a vacuum chamber 52, formed of metal such as stainless steel, and electrically grounded, through a target isolator 54 from a target 56.
The target 56 is coupled to a backing plate 70 which preferably supports the target 56. The backing plate 70 is typically soldered or diffusion bonded to the target 56. The backing plate 70 may comprise, for example, an alloy of copper and chromium.
The target 56 has at least a surface portion composed of the material to be deposited. The target 56 for example, may comprise a tantalum-containing material, which may be essentially pure tantalum or a tantalum alloy, to be sputter deposited on a wafer 58. The alloy element comprising the tantalum alloy is typically present to less than 5 wt %.
A substrate 58 is supported on a pedestal 62. The pedestal 62 may comprise, for example, an electrostatic chuck (not shown) with a support surface 61 , that is used to hold the substrate 58 in place within the chamber 52.
The electrostatic chuck is preferably equipped with a means for adjusting and controlling the temperature of the substrate 58 held thereon. The temperature controlling means, may be, for example, a temperature-control fluid, such as, for example, water to cool the substrate 58 or a resistive heating element to warm the substrate 58.
The target 56 and the pedestal 62 are separated by a distance, often referred to as a throw distance. The throw distance is preferably greater than about 70% of the diameter of the substrate 58. For most PVD chambers the throw distance is in a range of about 140 mm to about 400 mm.
An upper shield 64 fills the space between the target and the upper edge of an inner shield 66. The upper shield 64 and the inner shield 66 function to prevent plasma from leaking into any significant gaps between these parts and the sidewalls of the chamber 52. The upper shield 64 is preferably isolated from the chamber in order to maintain a floating potential. The upper shield 64 typically protrudes into the process space far enough that it effectively shadows the backing plate 70 from deposition.
The upper shield 64 is positioned preferably between the inner shield 66 and the backing plate 70. The upper shield 64 is illustrated schematically in FIG. 3a. The upper shield 64 may be physically coupled to a cooling member 54 that is cooled by means of for example, a coolant. The cooling member 54 enables dissipation of heat from upper shield 64.
Geometric configurations of upper shield 64 other than the one depicted in FIG. 3a, are also compatible with embodiments described herein. For example, FIG. 3b illustrates an alternate configuration in which the upper shield 64 is cut away to open a space 99 at the corner of the target 56, such that the area of the plasma is expanded. This alternate configuration is advantageous for increasing plasma density when the upper shield 64 is grounded rather than maintained at a floating potential relative to the chamber. Upper shield 64 may be grounded to chamber 52 with a grounding clamp 98 (FIG. 3b). Although two geometric configurations of upper shield 64 have been revealed through illustration, it will be readily apparent to one skilled in the art that other modifications of the configuration of upper shield 64, used in either "grounded" or "floating" mode may be used and still lie within the scope of embodiments described herein. Referring to FIG. 2, a lower shield 67 extending away from a support 68 along the wall 52 of the chamber to an elevation typically beneath the support surface 61 is also shown. Lower shield 67 comprises one or more perforations 180 therethrough that permit gases such as a sputtering gas (e.g. argon, neon, helium) as well as a reactive gas (e.g. nitrogen) to travel from gas inlet ports 150, 152 into an interior portion 168 of the chamber 52.
The one or more perforations 180 in lower shield 67 may be of any shape, including circular, elliptical, and rectangular, among others. Preferably, there are a plurality of perforations 180 in order to permit the gases to reach the interior portion 168 of the chamber 52. Lower shield 67 may have a variety of shapes, but it typically has one or more curved portions as shown in FIG. 2. .
An inner shield 66 is generally disposed between a portion of the lower shield 67 and the target 56. In particular, the inner shield 66 is disposed between the perforations 180 in the lower shield 67 and the target 56 so that no line of sight pathway exists between the one or more perforations 180 and the target 56. The inner shield 66 is also positioned between the one or more perforations 180 and the substrate 58. By utilizing an inner shield 66 with such a geometric construction, it is possible to prevent the plasma from leaking through the one or more perforations 180 in the inner shield 66, thereby protecting the chamber 52 surfaces outside the interior portion 168 thereof from unwanted material deposition such as for example, tantalum-containing material.
The inner shield 66 may also have varying shapes and dimensions, but typically, the inner shield comprises one or more curved portions as shown, for example, in FIG. 2. Inner shield 66 and lower shield 67 are typically symmetrical within the chamber 52 about a chamber axis 140.
The shields 64, 66 and 67 are typically formed of stainless steel and are electrically conductive. The sides of shields 64, 66 and 67 that are facing the interior 168 of the chamber 53 may be bead blasted or otherwise roughened to promote adhesion of the sputter deposited material thereon, so as to reduce flaking and peeling onto the substrate 58.
The configuration of the shields 64, 66, 67 in chamber 52 prevent the formation of undesirable tantalum compounds, such as tantalum nitride on the target 56, or so-called "poisoning" of the target. Target poisoning results in increased resistance of the target, a decrease in ionization current, and a reduced deposition rate.
A magnetron 130 is positioned above the target 56, as shown in FIG. 2. The magnetron 130 has opposed magnets 132, 134 connected and supported by a magnetic yoke 136. The magnets 132,134 create a magnetic field adjacent the target 56 within the chamber 52. The magnetic field forms a high- density plasma region 138 within the chamber 52. The magnetron 130 may be rotated about a chamber axis 140 by a motor-driven shaft 142 to achieve full coverage for the target 56. The magnetron, when rotated, typically covers about 10% to about 50% of the target area at any given time. Alternatively, the magnetron is rotated about an axis other than the chamber axis 140.
To achieve a high-density plasma 138 of sufficient ionization density to allow sputtering of the target material, the power density delivered to the area adjacent the target 56 should have a relatively small area. Referring to FIG. 4a, the magnetron 130 may have, for example, a racetrack shape. Other magnetron shapes, however, are equally acceptable, including shapes such as an oval, a triangle, an eggshape, a circle, and a teardrop, among others .
The magnetron 130 preferably has an inner pole 362 and an outer pole 368. The inner pole 362 and the outer pole 368 typically have different sizes and shapes. As shown in FIG. 4b, two sets of magnets 390, 392 are disposed in the poles 362, 368 to produce the two magnetic polarities. The magnets 390, 392 may be of different magnetic strength. Furthermore, to decrease the electron loss, the inner pole 362 represented by the inner magnets 390 should have no significant apertures and be surrounded by a continuous outer pole 368 represented by the outer magnets 392.
Referring to FIG. 5, the magnetic flux produced by the inner pole 362 should be smaller than the magnetic flux produced by the outer pole 368. The magnetic flux of the outer pole 368 is preferably at least about 1.3 times greater than the magnetic flux of the inner pole 362. As such, the magnetic field is very strong in a reactor processing area 494 adjacent to and between the poles 362, 368. Also, the magnetic field extends into the processing area 494, reducing electron loss to the grounded inner shield 66 and lower shield 67. This increases the ionization density of the plasma while simultaneously increasing the number of electrons arriving on the surface of the substrate 58. Furthermore, if electrons are lost from the magnetic field on one side of the inner pole, they are likely to be captured on the other side, thereby increasing the plasma density near the edges of the processing area 494 for a given power level.
Referring again to FIG. 2, a selectable DC power supply 110, is coupled to the target 56 and the inner shield 66 so that a DC bias may be applied to the inert sputtering gas in order to ignite and sustain a plasma. When power is applied to the target 56, a plasma comprising ions, electrons and neutral atoms is formed from the inert sputtering gas. The target 56 acts as a negatively biased cathode and shield 67 acts as a grounded anode.
The electric field accelerates the inert sputtering gas ions toward the target 56 for sputtering target particles from the target 56. The sputtered target particles may also become ionized in the plasma. Such a configuration enables deposition of sputtered and ionized target particles from the target 56 onto the substrate 58 forming a layer of sputter deposited material. The shields 66, 67 confine the sputtered particles and plasma gas within the interior 168 of the chamber 52 and prevent undesirable deposition of target material beneath the pedestal 62 or behind the target 56.
A controllable bias power supply 112, preferably an RF power supply, may also be coupled to the pedestal 62 for biasing the substrate 58, in order to control the deposition of the sputter deposited layer on the substrate 58. The bias power supply 112 is typically an AC source, having a frequency of, for example, about 13.56 kHz, or between about 400 kHz to about 500 MHz.
A first gas source 114 supplies a sputtering gas, typically a chemically inert noble gas such as, argon (Ar), to the chamber 53 through a mass flow controller 116 and gas inlet port 150. Other inert gases such as helium (He), neon (Ne), xenon (Xe), and combinations thereof, among others, may also be used as the sputtering gas. A second gas source 115 supplies a reactive gas, such as, for example, nitrogen, through a mass flow controller 117 and gas inlet port 152. The location of the gas inlet ports 150, 152 may be, as illustrated in FIG. 2, near the bottom of the chamber 52. The ports 150, 152 are positioned such that the gases travel through the one or more perforations 180 in the lower shield 67 and around the inner shield 66 to the interior 168 of the chamber 52. A vacuum pump system 120 connected to the chamber 52 through port 122 maintains the chamber at a desired pressure. Although the base pressure can be held to about 10"7 Torr or even lower, the base pressure within the chamber 52 is typically maintained below about 10 milliTorr.
A computer-based microprocessor controller 124 controls the reactor including the DC target power supply 110, the bias power supply 112 and the mass flow controllers 116, 117. The microprocessor controller 124 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
The software routines are executed after the substrate is positioned on the pedestal. The software routine, when executed, transforms the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. Alternatively, the process of the present invention may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
Deposition of Tantalum-Containing Layers
A tantalum-containing layer is formed using a self-ionized plasma physical vapor deposition (PVD) process wherein a magnetic field in conjunction with an electric field function to confine material sputtered from a tantalum-containing target within a reaction zone of a deposition chamber. The magnetic field is generated from a magnetron including a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity. The first magnetic pole preferably has a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole.
In general, the following deposition process parameters can be used to conformably form the tantalum-containing layer using a PVD chamber such as the one depicted in FIG. 2. The process parameters range from a wafer temperature of about -40 °C to about 100 °C, a chamber pressure of greater than about 1.0 mtorr, a sputtering gas flow rate of about 5 seem to about 200 seem, a DC target power of greater than about 8 kilowatts, a target bias of about -400 volts to about -600 volts, and a substrate bias power of up to about 1000 watts. The above PVD process parameters provide a deposition rate for the one or more barrier layers in a range of about 100 A/min to about 600 A/min.
Nitrogen (N2) gas may be provided to the PVD deposition chamber when a tantalum nitride (TaNx) based barrier layer is to be formed. When TaNx is formed nitrogen (N2) gas with a flow rate in a range of about 5 seem to about 200 seem may be provided to the PVD chamber. The atomic percent of nitrogen in the as-deposited TaNx layer may be varied up to about 75 atomic % nitrogen.
The as-deposited tantalum-containing layers have good step coverage for high aspect ratio features without voids and/or discontinuities. In addition, such layers have a tensile stress of about 109 dynes/cm2, an order of magnitude lower than for PVD deposited layers deposited according to standard process conditions. Also, the resistance non-uniformity across the layer is less than about 5 % for a 200 mm substrate.
Formation of Tantalum-Containing Barrier Structures
1. Tantalum-Containing Barrier Layer Structure
FIGS. 6a-6c illustrate schematic cross-sectional views of a substrate 500 at different stages of an integrated circuit fabrication sequence incorporating a tantalum-containing layer as a barrier layer. In general, the substrate 500 refers to any workpiece on which layer processing is performed. Depending on the specific stage of processing, the substrate 500 may correspond to a silicon wafer, or other material layers, which have been formed on the substrate. FIG. 6a, for example, illustrates a cross-sectional view of a substrate structure in which the substrate 500 is a silicon wafer having a material layer 502 thereon.
The material layer 502 may be, for example, a dielectric layer, such as, for example, silicon oxide or other insulating material. Material layer 502 has at least one feature 504 formed therein. The feature 504 may be, for example, a metal interconnect. The feature 504 may comprise, for example, copper. Alternatively, material layer 502 may be, for example, a silicon layer, and the feature 504 may be a doped silicon region.
A dielectric layer 506 having an opening or via 508 therethrough is formed over the feature 504. The dielectric layer is typically an insulation material, such as, for example, an oxide. While FIG. 6a depicts a rectangular via 508, the via may have any other cross-sectional shape. Additionally, the via 508 may not extend entirely through dielectric layer 506.
The via 508 preferably has a width of about 0.25 μm or less. Furthermore, the thickness of the dielectric layer 508 is preferably at least 1.0 μm. Thus, the aspect ratio of the via, defined as the height of the via divided by its width, is typically about 4:1 or greater.
The material layer 502 and dielectric layer 506 may be silicon oxide formed by plasma-enhanced chemical vapor deposition (PECVD). However, either or both material layer 502 and dielectric layer 506 may comprise other dielectric materials, such as organosilicate materials and fluorinated silicate materials.
As shown in FIG. 6b, a tantalum-containing layer 510 is conformally deposited along the bottom 508b and sidewalls 508s of the via 508. The tantalum-containing layer 510 serves as a barrier layer and performs several functions. It acts as an adhesion layer between the dielectric layer 506 and conductive layers subsequently deposited thereon. The tantalum-containing layer 510 also functions as a barrier against the diffusion of conductive material into layer 506, as well as the diffusion of species within dielectric layer 506 into the conductive material.
Tantalum-containing layer 510 is formed on substrate 500 according to the process conditions described above. The thickness of the tantalum- containing layer 510 is variable depending on the specific stage of processing. Typically, the tantalum-containing layer 510 has a thickness of about 50 A to about 200 A.
After the tantalum-containing layer 510 is formed in the via 508, the interconnect structure is completed by filling the via 508 with a conductive layer 512, as shown in FIG. 6c. The conductive layer 512 may be, for example, aluminum, copper, or tungsten, among others.
The conductive layer 512 may be deposited on the tantalum-containing layer 510 by any of various deposition means known to the art. For example, conductive layer 512 may be deposited, for example, by chemical vapor deposition, physical vapor deposition, electroless plating, or electrochemical plating. Conductive layer 512 may optionally be sequentially deposited using combinations of these methods.
2. Integrated Tantalum-Containing Barrier Layer Structure
FIGS. 7a-7d illustrate schematic cross-sectional views of a substrate 600 at different stages of an integrated circuit fabrication sequence incorporating an integrated tantalum-containing barrier layer as a barrier layer structure. In general, substrate 600 refers to any workpiece upon which film processing is performed. Depending upon the specific stage of processing, the substrate 600 may correspond to a silicon wafer, or other material layers, which have been formed on the substrate. FIG. 7a, for example, illustrates a cross-sectional view of a substrate structure in which the substrate 600 is a silicon wafer having a material layer 602 thereon.
The material layer 602 may be, for example, a dielectric layer, such as, for example, silicon oxide or other insulating material. Material layer 602 has at least one feature 604 formed therein. The feature 604 may be, for example, a metal interconnect. The feature 604 may comprise for example, copper. Alternatively, material layer 602 may be, for example, a silicon layer and the feature 604 may be a doped silicon region.
A dielectric layer 606 having an opening or via 608 therethrough is formed over the feature 604. The dielectric layer is typically an insulation material, such as, for example, an oxide. While FIG. 7a depicts a rectangular via 608, the via may have any other cross-sectional shape. Additionally, the via 608 may not extend entirely through dielectric layer 606.
The via 608 preferably has a width of about 0.25 μm or less. Furthermore, the thickness of the dielectric layer 608 is preferably at least 1.0 μm. Thus, the aspect ratio of the via, defined as the height of the via divided by its width, is typically about 4:1 or greater.
The material layer 602 and dielectric layer 606 may be silicon oxide formed by plasma-enhanced chemical vapor deposition (PECVD). However, either or both material layer 602 and dielectric layer 606 may comprise other dielectric materials, such as organosilicate materials and fluorinated silicate materials.
As shown in FIG. 7b, a first tantalum-containing layer 610 is conformably deposited along the bottom 608b and sidewalls 608s of the via 608. The first tantalum-containing layer 610 serves as a barrier layer and performs several functions. It acts as an adhesion layer between the dielectric layer 606 and layers subsequently deposited thereon. The first tantalum-containing layer 610 also functions as a barrier against the diffusion of material into layer 606, as well as the diffusion of species within dielectric layer 606 into material layers formed thereon. The first tantalum-containing layer may be for example, a tantalum nitride (TaNx) layer.
The first tantalum-containing layer 610 is formed on substrate 600 according to the process conditions described above. The thickness of the first tantalum-containing layer 610 is variable depending on the specific stage of processing. Typically, the first tantalum-containing layer 610 has a thickness of about 50 A to about 200 A.
After the first tantalum containing layer 610 is formed on the substrate 600, a second tantalum-containing layer 611 is deposited thereon, as shown in FIG. 7c. The second tantalum-containing layer 611 is formed on substrate 600 according to the process conditions described above. The thickness of the second tantalum-containing layer 611 is variable depending on the specific stage of processing. Typically, the second tantalum-containing layer 611 has a thickness of about 50 A to about 300 A. The thickness ratio of the first tantalum- containing layer 610 to the second tantalum-containing layer 611 should preferably be about 1 :1 to about 1 :12.
The combination of the first tantalum-containing layer 610 and the second tantalum-containing layer 611 is advantageous in that the first tantalum layer 610 provides excellent adhesion to dielectric layer 606 and the second tantalum-containing layer 611 provides excellent adhesion to a subsequently deposited conductive material. The combination of first tantalum-containing layer 610 and the second tantalum-containing layer 611 further provides excellent barrier properties, particularly when the first tantalum-containing layer
610 comprises about 30% nitrogen and the second tantalum-containing layer
611 comprises essentially pure tantalum.
After the second tantalum-containing layer 611 is formed in the via 608, the interconnect structure is completed by filling the via 608 with a conductive layer 612, as shown in FIG. 7d. The conductive layer 612 may be, for example, aluminum, copper, or tungsten, among others.
The conductive layer 612 may be deposited on the second tantalum- containing layer 611 by any of various deposition means known to the art. For example, conductive layer 612 may be deposited, for example, by chemical vapor deposition, physical vapor deposition, electroless plating, or electrochemical plating. Conductive layer 612 may optionally be sequentially deposited using combinations of these methods.
Although various embodiments which incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims

What is claimed is:
1. A method of forming a tantalum-containing layer on a substrate, comprising: providing a chamber having a target comprising a tantalum- containing material and a magnetron, wherein the magnetron generates a magnetic field, and wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole; positioning a substrate within the chamber; providing a gas mixture to the chamber; and sputtering the tantalum-containing material from the target onto the substrate to form a tantalum-containing layer thereon by generating an electric field in conjunction with the magnetic field, wherein the magnetic field functions to confine sputtered tantalum-containing material from the target within a reaction zone of the chamber.
2. The method of claim 1 wherein the chamber is maintained at a pressure greater than about 1 millitorr.
3. The method of claim 1 wherein the electric field is generated by applying a power of at least 8 kWatts to the target.
4. The method of claim 1 wherein a bias power of up to about 1000 watts is applied to the substrate.
5. The method of claim 1 wherein the target is spaced apart from the substrate a distance greater than about 70% of the diameter of the substrate.
6. The method of claim 1 wherein the chamber further comprises one or more shields positioned along at least one chamber sidewall and adjacent to both the target and the substrate.
7. The method of claim 6 wherein a DC power is applied to at least one shield so as to form an anode grounding plane relative to the target.
8. The method of claim 1 wherein the gas mixture further comprises a nitrogen-containing gas.
9. The method of claim 6 wherein the gas mixture is provided to the chamber through one or more perforations in the one or more shields.
10. A method of forming a tantalum-containing layer on a substrate comprising: providing a chamber having a target comprising a tantalum- containing material, a magnetron and one or more shields having, wherein the one or more shields are positioned along at least one chamber sidewall and adjacent to both the target and a substrate support and at least one of the one or more shields have one or more perforations therethrough, wherein the magnetron generates a magnetic field, and wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least 30 % greater than a magnetic flux of the second magnetic pole; positioning a substrate within the chamber, wherein the target is spaced apart from the substrate a distance greater than about 70 % of the diameter of the substrate; providing a gas mixture to the chamber, wherein the gas mixture is provided to the chamber through the one or more perforations in the one or more shields; and sputtering the tantalum-containing material from the target onto the substrate to form a tantalum-containing layer thereon by generating an electric field in conjunction with the magnetic field, wherein the magnetic field functions to confine sputtered tantalum-containing material from the target within a reaction zone of the chamber.
11. The method of claim 10 wherein the chamber is maintained at a pressure greater than about 1 millitorr.
12. The method of claim 10 wherein the electric field is generated by applting a power of at least 8 kWatts to the target.
13. The method of claim 10 wherein a bias power of up to about 1000 watts is applied to the substrate.
14. The method of claim 10 wherein the gas mixture further comprises a nitrogen-containing gas.
15. A method of forming an interconnect structure comprising: providing a chamber having a target comprising a tantalum- containing material and a magnetron, wherein the magnetron generates a magnetic field, and wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole; positioning a substrate within the chamber; providing a gas mixture to the chamber; sputtering a tantalum-containing material from the target onto the substrate to form a first tantalum-containing layer thereon by generating an electric field in conjunction with the magnetic field, wherein the magnetic field functions to confine sputtered tantalum-containing material from the target within a reaction zone of the chamber; and depositing a conductive layer on the first tantalum-containing layer.
16. The method of claim 15 wherein the first tantalum-containing layer comprises tantalum nitride.
17. The method of claim 15 further comprising depositing a second tantalum- containing layer on the first tantalum-containing layer prior to depositing the conductive layer deposition.
18. The method of claim 15 wherein the chamber is maintained at a pressure greater than about 1 millitorr.
19. The method of claim 16 wherein the electric field is generated by applying a power of at least 8 kWatts to the target.
20. The method of claim 16 wherein a bias power of up to about 1000 watts is applied to the substrate.
21. The method of claim 15 wherein the target is spaced apart from the substrate a distance greater than about 70% of the diameter of the substrate.
22. The method of claim 15 wherein the chamber further comprises one or more shields positioned along at least one chamber sidewall and adjacent to both the target and the substrate.
23. The method of claim 22 wherein a DC power is applied to at least one shield so as to form an anode grounding plane relative to the target.
24. The method of claim 22 wherein the gas mixture is provided to the chamber through one or more perforations in the one or more shields.
25. The method of claim 15 wherein the conductive material comprises a metal selected from the group of copper, aluminum and tungsten.
26. A method of forming an interconnect structure comprising: providing a chamber having a target comprising a tantalum- containing material, a magnetron and one or more shields having, wherein the one or more shields are positioned along at least one chamber sidewall and adjacent to both the target and a substrate support and at least one of the one or more shields have one or more perforations therethrough, wherein the magnetron generates a magnetic field, and wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least 30 % greater than a magnetic flux of the second magnetic pole; positioning a substrate within the chamber, wherein the target is spaced apart from the substrate a distance greater than about 70 % of the diameter of the substrate; providing a gas mixture to the chamber, wherein the gas mixture is provided to the chamber through the one or more perforations in the one or more shields; sputtering the tantalum-containing material from the target onto the substrate to form a first tantalum-containing layer thereon by generating an electric field in conjunction with the magnetic field, wherein the magnetic field functions to confine sputtered tantalum-containing material from the target within a reaction zone of the chamber; and depositing a conductive layer on the first tantalum-containing layer.
27. The method of claim 26 wherein the first tantalum-containing layer comprises tantalum-nitride.
28. The method of claim 26 further comprising depositing a second tantalum- containing layer on the first tantalum-containing layer prior to conductive layer deposition.
29. The method of claim 26 wherein the chamber is maintained at a pressure greater than about 1 millitorr.
30. The method of claim 26 wherein the electric field is generated by applying a power of at least 8 kWatts to the target.
31. The method of claim 26 wherein a bias power of up to about 1000 watts is applied to the substrate.
32. The method of claim 26 wherein the gas mixture further comprises a nitrogen-containing gas.
33. A method of forming a tantalum-containing layer on a substrate comprising; providing a chamber having a target therein comprising a tantalum-containing material; positioning a substrate within the chamber; providing a gas mixture to the chamber; and sputtering a tantalum-containing material from the target onto the substrate to form a tantalum-containing layer thereon, wherein the tantalum- containing material is sputtered using a chamber pressure greater than about 1 millitorr, a target power of at least 8 kwatts, a substrate bias power of up to 1000 watts, and a temperature between about -40 °C to about 100 °C.
34. The method of claim 33 wherein the gas mixture further comprises a nitrogen-containing gas.
35. The method of claim 34 wherein the nitrogen-containing gas is provided to the chamber at a flow rate of about 5 seem to about 200 seem.
36. A method of forming an interconnect structure comprising; providing a chamber having a target therein comprising a tantalum-containing material; positioning a substrate within the chamber; providing a gas mixture to the chamber; sputtering a first tantalum-containing material from the target onto the substrate to form a tantalum-containing layer thereon, wherein the tantalum- containing material is sputtered using a chamber pressure greater than about 1 millitorr, a target power of at least 8 kwatts, a substrate bias power of up to 1000 watts, and a temperature between about -40 °C to about 100 °C; and depositing a conductive layer on the first tantalum-containing layer.
37. The method of claim 36 wherein the gas mixture further comprises a nitrogen-containing gas.
38. The method of claim 36 wherein the nitrogen-containing gas is provided to the chamber at a flow rate of about 5 seem to about 200 seem.
39. The method of claim 36 wherein the first tantalum-containing layer comprises tantalum nitride.
40. The method of claim 36 further comprising depositing a second tantalum- containing layer on the first tantalum-containing layer prior to depositing the conductive layer deposition.
41. A computer storage medium containing a software routine that, when executed, causes a general purpose computer to control a deposition chamber using a layer deposition method, comprising: providing a chamber having a target comprising a tantalum- containing material and a magnetron, wherein the magnetron generates a magnetic field, and wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole; positioning a substrate within the chamber; providing a gas mixture to the chamber; and sputtering a tantalum-containing material from the target onto the substrate to form a tantalum-containing layer thereon by generating an electric field in conjunction with the magnetic field, wherein the magnetic field functions to confine sputtered tantalum-containing material from the target within a reaction zone of the chamber
42. The method of claim 41 wherein the chamber is maintained at a pressure greater than about 1 millitorr.
43. The method of claim 41 wherein the electric field is generated by applying a power of at least 8 kWatts to the target.
44. The method of claim 41 wherein a bias power of up to about 1000 watts is applied to the substrate.
45. The method of claim 41 wherein the target is spaced apart from the substrate a distance greater than about 70% of the diameter of the substrate.
46. The method of claim 41 wherein the chamber further comprises one or more shields positioned along at least one chamber sidewall and adjacent to both the target and the substrate.
47. The method of claim 46 wherein a DC power is applied to at least one shield so as to form an anode grounding plane relative to the target.
48. The method of claim 41 wherein the gas mixture further comprises a nitrogen-containing gas.
49. The method of claim 46 wherein the gas mixture is provided to the chamber through one or more perforations in the one or more shields.
50. An deposition chamber for sputter depositing a material layer on a substrate comprising: a pedestal having a support surface for supporting a substrate; a target comprising a material to be sputtered onto the substrate, wherein the target is electrically isolated from the chamber; a first conductive shield comprising one or more perforations therethrough, wherein the first conductive shield is electrically coupled to the chamber; a second conductive shield disposed between the one or more perforations of the first conductive shield and the target, wherein the second conductive shield is electrically coupled to the chamber; and a gas inlet positioned adjacent to the first conductive shield such that reactive gases are provided to the chamber through the one or more perforations in the first conductive shield.
51. The deposition chamber of claim 50 further comprising: a backing plate coupled to and supporting the target; and a third conductive shield disposed between the target and the backing plate, wherein the third conductive shield limits contact between the reactive gases and the backing plate.
52 The deposition chamber of claim 51 wherein the third conductive shield is electrically isolated from the deposition chamber.
53. The deposition chamber of claim 50 wherein the first conductive shield is electrically coupled to the pedestal.
54. The deposition chamber of claim 50 wherein the target comprises a tantalum-containing material.
55. The deposition chamber of claim 50 further comprising a clamp for electrically coupling the first conductive shield and the second conductive shield to the deposition chamber.
56. The deposition chamber of claim 50 further comprising a magnetron positioned above the target, wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole.
57. An deposition chamber for sputter depositing a material layer on a substrate comprising: a pedestal having a support surface for supporting a substrate; a target comprising a material to be sputtered onto the substrate, wherein the target is electrically isolated from the chamber; a magnetron positioned above the target, wherein the magnetron has a first magnetic pole of a first magnetic polarity surrounded by a second magnetic pole of a second magnetic polarity opposite the first magnetic polarity, the first magnetic pole having a magnetic flux at least about 30% greater than a magnetic flux of the second magnetic pole; a first conductive shield comprising one or more perforations therethrough, wherein the first conductive shield is electrically coupled to the chamber and the pedestal; a second conductive shield disposed between the one or more perforations of the first conductive shield and the target, wherein the second conductive shield is electrically coupled to the chamber; a third conductive shield disposed between the target and a backing plate, wherein the third conductive shield limits contact between the reactive gases and the backing plate, and a gas inlet positioned adjacent to the first conductive shield such that reactive gases are provided to the chamber through the one or more perforations in the first conductive shield.
58. The deposition chamber of claim 57 wherein the third conductive shield is electrically isolated from the deposition chamber.
59. The deposition chamber of claim 57 wherein the target comprises a tantalum-containing material.
60. The deposition chamber of claim 57 further comprising a clamp for electrically coupling the first conductive shield and the second conductive shield to the deposition chamber.
PCT/US2001/023702 2000-07-28 2001-07-30 Method and apparatus for depositing a tantalum-containing layer on a substrate WO2002011187A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22159700P 2000-07-28 2000-07-28
US60/221,597 2000-07-28

Publications (2)

Publication Number Publication Date
WO2002011187A2 true WO2002011187A2 (en) 2002-02-07
WO2002011187A3 WO2002011187A3 (en) 2002-12-19

Family

ID=22828462

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/023702 WO2002011187A2 (en) 2000-07-28 2001-07-30 Method and apparatus for depositing a tantalum-containing layer on a substrate

Country Status (3)

Country Link
US (2) US6627050B2 (en)
TW (1) TW505987B (en)
WO (1) WO2002011187A2 (en)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60037462T2 (en) * 1999-10-22 2008-04-17 Archus Orthopedics Inc., Redmond Facettenarthroplastiegeräte
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
JP4009087B2 (en) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド Magnetic generator in semiconductor manufacturing apparatus, semiconductor manufacturing apparatus, and magnetic field intensity control method
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US20040182701A1 (en) * 2003-01-29 2004-09-23 Aashi Glass Company, Limited Sputtering apparatus, a mixed film produced by the sputtering apparatus and a multilayer film including the mixed film
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050205415A1 (en) * 2004-03-19 2005-09-22 Belousov Igor V Multi-component deposition
US20080264340A1 (en) * 2004-04-12 2008-10-30 Novellus Systems, Inc. Moving interleaved sputter chamber shields
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060096851A1 (en) * 2004-11-08 2006-05-11 Ilya Lavitsky Physical vapor deposition chamber having an adjustable target
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070125646A1 (en) * 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
US8273222B2 (en) * 2006-05-16 2012-09-25 Southwest Research Institute Apparatus and method for RF plasma enhanced magnetron sputter deposition
US20080014732A1 (en) * 2006-07-07 2008-01-17 Yanping Li Application of PVD W/WN bilayer barrier to aluminum bondpad in wire bonding
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080257263A1 (en) * 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US8277617B2 (en) * 2007-08-14 2012-10-02 Southwest Research Institute Conformal magnetron sputter deposition
US7901552B2 (en) * 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
US8156892B2 (en) * 2008-05-19 2012-04-17 Novellus Systems, Inc. Edge profiling for process chamber shields
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
WO2011019566A2 (en) * 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US20110217465A1 (en) * 2010-03-08 2011-09-08 Novellus Systems Inc. Shields for substrate processing systems
US8747631B2 (en) * 2010-03-15 2014-06-10 Southwest Research Institute Apparatus and method utilizing a double glow discharge plasma for sputter cleaning
US8591709B1 (en) * 2010-05-18 2013-11-26 WD Media, LLC Sputter deposition shield assembly to reduce cathode shorting
CN102939657B (en) * 2010-06-10 2016-08-10 应用材料公司 There is ionizing and the low-resistivity tungsten PVD of RF power coupling of enhancing
US8709537B2 (en) * 2010-10-22 2014-04-29 Applied Materials, Inc. Methods for enhancing tantalum filament life in hot wire chemical vapor deposition processes
US9865440B1 (en) * 2010-11-29 2018-01-09 Seagate Technology Llc Sputtering shield
CN103261477B (en) * 2010-12-08 2015-09-30 欧瑞康先进科技股份公司 For equipment and the method for basad upper settled layer
JP5611803B2 (en) * 2010-12-21 2014-10-22 キヤノンアネルバ株式会社 Reactive sputtering equipment
US9340866B2 (en) * 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
US11114288B2 (en) * 2019-02-08 2021-09-07 Applied Materials, Inc. Physical vapor deposition apparatus
CN111663106A (en) * 2020-07-07 2020-09-15 北京师范大学 Device for preparing high-shielding matrix coating
US11846013B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
US11948784B2 (en) 2021-10-21 2024-04-02 Applied Materials, Inc. Tilted PVD source with rotating pedestal

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770025A (en) * 1995-08-03 1998-06-23 Nihon Shinku Gijutsu Kabushiki Kaisha Magnetron sputtering apparatus
WO1998054377A2 (en) * 1997-05-27 1998-12-03 Applied Materials, Inc. Stress tuned tantalum and tantalum nitride films
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
WO2000048226A1 (en) * 1999-02-12 2000-08-17 Applied Materials, Inc. High-density plasma source for ionized metal deposition
EP1091016A2 (en) * 1999-10-08 2001-04-11 Applied Materials, Inc. Self ionized plasma for sputtering copper

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5856361A (en) 1981-09-29 1983-04-04 Fujitsu Ltd Forming method for alpha-tantalum film by magnetron- sputtering device
US5231306A (en) 1992-01-31 1993-07-27 Micron Technology, Inc. Titanium/aluminum/nitrogen material for semiconductor devices
US5378660A (en) 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
JP3868020B2 (en) * 1995-11-13 2007-01-17 キヤノンアネルバ株式会社 Long distance sputtering apparatus and long distance sputtering method
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5907220A (en) 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US5856236A (en) 1996-06-14 1999-01-05 Micron Technology, Inc. Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
US5760474A (en) 1996-07-09 1998-06-02 Micron Technology, Inc. Capacitor, integrated circuitry, diffusion barriers, and method for forming an electrically conductive diffusion barrier
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US5998225A (en) 1997-12-17 1999-12-07 Texas Instruments Incorporated Method of fabricating an oxygen-stable layer/diffusion barrier/poly bottom electrode structure for high-K DRAMs using disposable-oxide processing
US6002174A (en) 1997-12-31 1999-12-14 Micron Technology, Inc. Barrier materials for semiconductor devices
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
JP4021601B2 (en) * 1999-10-29 2007-12-12 株式会社東芝 Sputtering apparatus and film forming method
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6645357B2 (en) * 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770025A (en) * 1995-08-03 1998-06-23 Nihon Shinku Gijutsu Kabushiki Kaisha Magnetron sputtering apparatus
WO1998054377A2 (en) * 1997-05-27 1998-12-03 Applied Materials, Inc. Stress tuned tantalum and tantalum nitride films
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
WO2000048226A1 (en) * 1999-02-12 2000-08-17 Applied Materials, Inc. High-density plasma source for ionized metal deposition
EP1091016A2 (en) * 1999-10-08 2001-04-11 Applied Materials, Inc. Self ionized plasma for sputtering copper

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 007, no. 144 (E-183), 23 June 1983 (1983-06-23) -& JP 58 056361 A (FUJITSU KK), 4 April 1983 (1983-04-04) *

Also Published As

Publication number Publication date
US20020029093A1 (en) 2002-03-07
US20040031680A1 (en) 2004-02-19
TW505987B (en) 2002-10-11
US6627050B2 (en) 2003-09-30
WO2002011187A3 (en) 2002-12-19

Similar Documents

Publication Publication Date Title
US6627050B2 (en) Method and apparatus for depositing a tantalum-containing layer on a substrate
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6398929B1 (en) Plasma reactor and shields generating self-ionized plasma for sputtering
US8668816B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP6336945B2 (en) Self-ionized and inductively coupled plasmas for sputtering and resputtering.
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US20030116427A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8858763B1 (en) Apparatus and methods for deposition and/or etch selectivity
US7897516B1 (en) Use of ultra-high magnetic fields in resputter and plasma etching
US20180327893A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP2001192824A (en) Sputtering system and film deposition method
US6200433B1 (en) IMP technology with heavy gas sputtering
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP