WO2002044293A2 - Method and composition for the removal of residual materials during substrate planarization - Google Patents

Method and composition for the removal of residual materials during substrate planarization Download PDF

Info

Publication number
WO2002044293A2
WO2002044293A2 PCT/US2001/043267 US0143267W WO0244293A2 WO 2002044293 A2 WO2002044293 A2 WO 2002044293A2 US 0143267 W US0143267 W US 0143267W WO 0244293 A2 WO0244293 A2 WO 0244293A2
Authority
WO
WIPO (PCT)
Prior art keywords
composition
copper
substrate
combinations
chelating agents
Prior art date
Application number
PCT/US2001/043267
Other languages
French (fr)
Other versions
WO2002044293A3 (en
Inventor
Lizhong Sun
Stan Tsai
Shijian Li
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2002546644A priority Critical patent/JP2004526296A/en
Publication of WO2002044293A2 publication Critical patent/WO2002044293A2/en
Publication of WO2002044293A3 publication Critical patent/WO2002044293A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Definitions

  • the present invention relates generally to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.
  • PND physical vapor deposition
  • CVD chemical vapor deposition
  • PECND plasma-enhanced chemical vapor deposition
  • ECP now electro-chemical plating
  • Planarizing a surface is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material, such as copper containing material, used to fill the features and to provide an even surface for subsequent levels of metallization and processing.
  • CMP chemical mechanical planarization, or chemical mechanical polishing
  • a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad.
  • the pad is moved relative to the substrate by an external driving force.
  • the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition, or slurry, to effect both chemical activity and mechanical activity.
  • abrasive article such as a polishing composition, or slurry, containing abrasive particles in a reactive solution with a conventional polishing pad.
  • the abrasive article can be a fixed abrasive article, such as a fixed abrasive polishing pad, which may be used with a, CMP composition or slurry that does not contain abrasive particles, often referred to as an abrasive free composition.
  • a fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto.
  • the CMP processes can be performed in the absence of an abrasive article, such as by the use of an abrasive free composition with a conventional polishing pad.
  • polishing substrate features such as a dual damascenes features formed by the deposition of a barrier layer in an aperture and, for example, a copper containing material disposed on the barrier layer
  • excess copper containing material is polished to the barrier layer, and then the barrier layer is polished to the underlying dielectric layer to form the feature.
  • Excess copper containing material is broadly defined herein copper containing material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface. Generally, all of the excess copper containing material is removed from the surface of the barrier layer prior to polishing the barrier layer.
  • the interface between the copper containing material and the barrier layer is generally non-planar and is difficult to sufficiently remove all of the excess copper containing material.
  • the copper containing material polishing process often removes the excess copper containing material and the barrier materials at different rates which may result in the formation of topographical defects in the substrate surface while still not sufficiently removing excess copper containing material from the barrier layer.
  • some polishing compositions such as some abrasive free polishing compositions, have difficulty removing a satisfactory amount of excess copper containing material from the substrate surface.
  • many copper removal processes result in residual copper containing material being retained on the barrier layer and substrate surface. The retention of residual copper containing material has been observed to detrimentally affect subsequent polishing processes and detrimentally affect the polish quality of the substrate surface.
  • One method to ensure removal of all the excess copper containing material before removing the barrier material is to overpolish the deposited copper containing material. Overpolishing may result in dishing of the copper containing material in the features formed on the surface of the substrate. Dishing occurs when a portion of the surface of a metal deposited in an aperture or other substrate structure formed in a dielectric layer is excessively polished resulting in the formation topographical defects, such as concavities or depressions in the copper containing material on the substrate surface, and can further lead to non-uniform removal of the barrier layer disposed thereunder. Dishing performance is used to describe the ability of a CMP composition or process to polish and planarize a surface without dishing or with reduced dishing of the surface. Additionally, in some CMP composition, such as some abrasive free compositions, the application of overpolishing techniques have not been completely successful in removing all of the excess copper containing material from the surface of the substrate.
  • the invention generally provides a method, composition, and computer readable medium for planarizing a substrate surface to remove copper containing material from a substrate surface in order to have a substrate surface free or substantially free of residual copper containing material.
  • the invention provides a composition for planarizing a substrate, the composition comprising ions of at least one transition metal, one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.
  • the composition may further include an agent to adjust the pH of the composition and/or abrasive particles.
  • the invention provides a method for removing residual copper containing materials from a substrate surface, the method comprising planarizing the substrate surface using a composition including one or more chelating agents and ions of at least one transition metal.
  • the composition may further comprise one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.
  • the composition may further include an agent to adjust the pH of the composition and/or abrasive particles.
  • the invention provides a method for processing a substrate, comprising providing a substrate to a polishing apparatus, polishing the substrate to substantially remove copper containing material formed thereon, and polishing the substrate with a CMP composition including one or more chelating agents and one or more copper salts to remove residual copper containing material.
  • Another aspect of the invention provides a method for processing a substrate disposed on a polishing pad, comprising performing a first polishing process on a substrate to substantially remove the copper containing material formed thereon, performing a second polishing process to remove residual copper containing material, the second polishing process comprising delivering a CMP composition to the polishing pad, mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition, and removing residual copper containing materials from the substrate surface.
  • Another aspect of the invention provides a computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform polishing the substrate to substantially remove copper containing material formed thereon and polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material.
  • Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus
  • Figures 2-4 are schematic diagrams illustrating one embodiment of a process for forming a feature on a substrate.
  • Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus 20.
  • the polishing apparatus 20 includes a lower machine base 22 with a table top 28 mounted thereon and a removable outer cover (not shown).
  • the table top 28 supports a series of polishing stations, including a first polishing station 25a, a second polishing station 25b, a final polishing station 25c, and a transfer station 27.
  • the transfer station 27 serves multiple functions, including, for example, receiving individual substrates 10 from a loading apparatus (not shown), washing the substrates, loading the substrates into carrier heads 80, receiving the substrates 10 from the carrier heads 80, washing the substrates 10 again, and transferring the substrates 10 back to the loading apparatus.
  • Each polishing station 25a-25c includes a rotatable platen 30 having a polishing pad 100 or 110 disposed thereon.
  • Each platen 30 may be a rotatable aluminum or stainless steel plate connected to a platen drive motor (not shown).
  • the polishing stations 25a-25c may include a pad conditioner apparatus 40.
  • the pad conditioner apparatus 40 has a rotatable arm 42 holding an independently rotating conditioner head 44 and an associated washing basin 46.
  • the pad conditioner apparatus 40 maintains the condition of the polishing pad so that it will effectively polish the substrates.
  • Each polishing station may include a conditioning station if the CMP apparatus is used with other pad configurations.
  • the polishing stations 25a-25c may each have a slurry/rinse arm 52 that includes two or more supply tubes to provide one or more chemical compositions or slurries, chemical components, and/or water to the surface of the polishing pad.
  • the slurry/rinse arm 52 delivers the one or more chemical slurries in amounts sufficient to cover and wet the entire polishing pad.
  • Each slurry/rinse arm 52 also includes several spray nozzles (not shown) that can provide a high-pressure fluid rinse on to the polishing pad at the end of each polishing and conditioning cycle.
  • the slurry/rinse arm 52 includes a supply tube to provide a CMP composition to the polishing pad, and one or more additional supply tubes to provide additives or additional CMP composition components, such as one or more chelating agents or one or metal salts, to the polishing pad.
  • additional supply lines allows one or more chelating agents and/or one or metal salts to be mixed in situ with the CMP composition in the slurry/rinse arm 52 at the point of use or mixing of one or more chelating agents and/or one or metal salts with the CMP composition on the polishing pad during spraying of the CMP composition and additives through the spray nozzles.
  • additional supply lines allows individual components such as the one or more chelating agents and/or one or metal salts to be provided independently from one another to the polishing pad which provides control of the respective concentration of the additives in the CMP composition formed in situ at the point of use.
  • multiple supply lines allows the use of multiple CMP composition in serial, such as an initial composition being provided to the polishing pad and a second CMP composition having one or more additives as described herein provided to the polishing pad.
  • two or more intermediate washing stations 55a, 55b, and 55c may be positioned between adjacent polishing stations 25a, 25b, and 25c to clean the substrate as it passes from one station to the next.
  • multiple supply tubes can be connected to the slurry/rinse arm for mixing at the slurry/rinse prior to delivery to the polishing pad. This allows in situ mixing of various compounds at the point of use.
  • a rotatable multi-head carousel 60 is positioned above the lower machine base 22.
  • the carousel 60 includes four carrier head systems 70a, 70b, 70c, and 70d. Three of the carrier head systems receive or hold the substrates 10 by pressing them against the polishing pads 100 or 110 disposed on the polishing stations 25a-25c. One of the carrier head systems 70a-70d receives a substrate from and delivers a substrate 10 to the transfer station 27.
  • the carousel 60 is supported by a center post 62 and is rotated about a carousel axis 64 by a motor assembly (not shown) located within the machine base 22.
  • the center post 62 also supports a carousel support plate 66 and a cover 88.
  • the four carrier head systems 70a-70d are mounted on the carousel support plate 66 at equal angular intervals about the carousel axis 64.
  • the center post 62 allows the carousel motor to rotate the carousel support plate 66 and orbit the carrier head systems 70a-70d about the carousel axis 64.
  • Each carrier head system 70a-70d includes one carrier head 80.
  • a carrier drive shaft 78 connects a carrier head rotation motor 76 (shown by the removal of one quarter of the cover 68) to the carrier head 80 so that the carrier head 80 can independently rotate about its own axis.
  • each carrier head 80 independently oscillates laterally in a radial slot 72 formed in the carousel support plate 66.
  • the carrier head 80 performs several mechanical functions. Generally, the carrier head 80 holds the substrate 10 against the polishing pad 100 or 110, evenly distributes a downward pressure across the back surface of the substrate 10, transfers torque from the drive shaft 78 to the substrate 10, and ensures that the substrate 10 does not slip out from beneath the carrier head 80 during polishing operations.
  • a retaining ring (not shown) may be disposed on the carrier head to restrict lateral movement of the substrate as it is pressed against the polishing pad during polishing of the substrate on the polishing pad.
  • the retaining ring includes an inner surface which contacts the substrate and a lower face which is in intimate contact with the polishing pad and polishing composition. Contact with the polishing pad can results in erosion of the retaining ring's lower surface.
  • the retaining ring may comprise a ceramic, such as alumina, or comprise a metal, such as copper or a copper alloy. Chemical mechanical Polishing Process and Composition.
  • Planarizing processes and compositions are provided to reduce or remove residual copper containing materials from a substrate surface being processed.
  • one or more chelating agents and ions of at least one transition metal such as metal ions derived from one or more metal salts, e.g., copper salts, are added to a chemical mechanical polishing composition.
  • the one or more chelating agents and ions of at least one transition metal are added or mixed in situ with a CMP composition being applied to a polishing pad for polishing a substrate surface.
  • Such an in situ mixed CMP composition may include one or more chelating agents, ions of at least one transition metal, one or more surfactants, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, and deionized water.
  • the CMP composition may also further include a pH adjusting agent and/or abrasive particles. The CMP composition is used in one aspect of the invention to remove excess copper containing material deposited to fill features formed on a substrate surface.
  • the one or more chelating agents may include compounds having one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide.
  • the one or more chelating agents may also include amino acids or amino acid derivatives, such as glycine, and carboxylic acids having one or more acids groups, such as citric acid or maleic acid.
  • the one or more chelating agents can be present in an amount between about 0.02 volume percent (vol%) and about 4.0 vol% of the CMP composition.
  • the chelating agent comprises between about 0.2 wt.% and about 1.5 wt.% of the CMP composition.
  • the chelating agent chemically reacts with metal ions, such as from a metal salt, to form a metal complex which improves removal of material removed from the substrate surface.
  • the one or more chelating agents described above may be added to a CMP composition containing one or more chelating agents.
  • the one or more chelating agents contained in the CMP composition may include those described above for the one or more chelating agents added to the CMP composition.
  • the chelating agents added to the CMP composition may comprise the same chelating agent as in the CMP composition.
  • ethylenediamine may be added with a metal salt to a CMP composition already containing ethylenediamine as a chelating agent.
  • the one or more chelating agents of the composition may be different chelating agents than those added to the composition.
  • ethylenediamine and a metal salt may be added to a CMP composition having citric acid as a chelating agent.
  • the ions of at least one transition metal may be derived from metal salts, such as copper salts, and are added to the composition to form a complex with the one or more chelating agents.
  • the resulting complex improves removal of residual copper containing material from the substrate surface.
  • suitable copper salts include copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.
  • the copper salt can comprise a concentration between about 0.005 weight percent (wt.%) and about 1.0 wt.% of the CMP composition.
  • the copper salts may be present in the CMP composition at a concentration between about 0.05 wt.% and about 0.2 wt.% of the CMP composition.
  • the surfactant may include one or more surfactants including anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, and combinations thereof. Surfactants are added to reduce or minimize re-deposition of the metal residues. Zweitter-ionic surfactants are described broadly herein as surfactants having both anionic and cationic functional groups, and which may have anionic and cationic properties in solutions, such as CMP compositions. Multi-ionic surfactants are defined herein as compounds which have multiple ionic groups in one molecule and which reduce the surface tension of the composition. Multi-ionic surfactants, such as dispersers, may also promote uniform and maximum separation of solids, such as by-products of the CMP process and abrasive particles in a composition.
  • surfactants include sodium salts of poly acrylic acid, e.g., comprising molecular weights from about 1,000 to about 20,000, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.
  • sodium salts of poly acrylic acid e.g., comprising molecular weights from about 1,000 to about 20,000, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkylimino
  • the anionic surfactants include potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, and combinations thereof.
  • the Zweitter-ionic surfactants include sulfonated amines, sulfonated amides, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.
  • the dispersers include sodium salts of polyacrylic acid, e.g., comprising molecular weights from about 1,000 to about 20,000.
  • the one or more surfactants can comprise a concentration between about 0.001 vol% and about 10 vol% of the CMP composition. A concentration between about 0.05 vol% and about 3 vol% of the surfactants is used in one embodiment of the CMP composition. CMP composition having between about 0.1 vol% and about 1 vol% may also be used.
  • the oxidizers can be any of various conventional oxidizers employed in CMP compositions and processes, such as hydrogen peroxide, ferric nitrate, or other compounds such as iodates.
  • the oxidizers can be present in an amount between about 0.2 vol% and about 8.0 vol% of the CMP composition.
  • a concentration between about 0.2 wt.% and about 8 wt.% of the oxidizers is used in one embodiment of the CMP composition.
  • Examples of corrosion inhibitors include any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-l- benzotriazole.
  • the corrosion inhibitors can be present in an amount between about 0.02 vol% and about 1.0 vol% of the CMP composition.
  • the pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the CMP composition to a range between about 2.5 and about 11 and can comprise any of various bases, such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid.
  • bases such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid.
  • KOH potassium hydroxide
  • inorganic and/or organic acids such as acetic acid, phosphoric acid, or oxalic acid.
  • other chelating agents, oxidizers, corrosion inhibitors, and pH adjusting agents are contemplated for use with the invention.
  • the above specified components are illustrative and should not be construed as limiting the invention.
  • embodiments of the invention may include adding abrasive particles to polishing compositions containing the one or more surfactants described herein for planarizing a substrate surface.
  • the compositions containing abrasives particles may comprise an abrasive particle concentration of about 35 wt.% or less of the composition.
  • a concentration between about 2 wt.% or less of abrasive particles is included in CMP compositions containing the one or more surfactants described herein.
  • a CMP composition having abrasive particles includes a colloidal suspension of silica (silicon oxide) particles, with, for example, an average size between about 20 nm and about 100 nm.
  • silica may be used including fumed silica having a particle size between about 100 nm and about 300 nm.
  • abrasive components which may be used in CMP compositions include, but are not limited to, alumina, zirconium oxide, titanium oxide, cerium oxide, or any other abrasives known in the art and used in conventional CMP compositions.
  • one or more chelating agents and one or more metal salts are added to a CMP composition used to reduce or remove residual copper containing material during polishing of a substrate surface.
  • An example of a CMP composition described herein includes adding ethylenediamine to a concentration between about 0.2 vol% and about 1.5 vol% of the CMP composition performing the polishing process and adding copper sulfate to a concentration between about 0.05 wt.% and about 0.2 wt.% of the CMP composition performing the polishing process to a CMP composition including between about 0.05 vol% and about 0.4 vol% of sodium polymethacrylate, between about 0.2 vol% and about 1.5 vol% of ethylenediamine, between about 0.5 vol% and about 5.0 vol% hydrogen peroxide as the oxidizer, between about 0.02 vol% and about 0.3 vol% benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water.
  • One example of a CMP process employs a polishing pressure between about 1 and about 8 psi, and a platen speed of about 20 to 120 rpm for a polishing duration of about 30 seconds to 2,000 seconds in the apparatus described above and shown in Figure 1.
  • the above described embodiment of the composition may contain about 1 wt.% or less of abrasive particles and be considered an abrasive free composition.
  • the CMP compositions and processes described herein may remove layers and materials of aluminum, doped aluminum, nickel, doped nickel, tungsten, tungsten nitride, titanium, titanium nitride, and combinations thereof.
  • the invention contemplates the removal of conductive material, such as aluminum, by compositions including metal salts, such as the copper salts described herein, and chelating agents. Further, the invention contemplates polishing copper containing materials with a combination of copper salts and non-copper salts with the one or more chelating agents and compositions described herein.
  • Figures 2-4 are schematic diagrams illustrating one embodiment of a process for forming a feature on a substrate utilizing the compositions described herein.
  • a dielectric layer 310 such as a silicon oxide or a carbon- doped silicon oxide, is formed on a substrate 300.
  • a plurality of openings 311 are then patterned and etched into the dielectric in area A to form features for a dense array of conductive lines with area B remaining unetched.
  • the openings 311 are spaced apart by a distance C which can be less than about 1 micron, such as about 0.2 micron, or greater than 10 microns, such as 20 microns.
  • the openings 311 are formed in the dielectric layer 310 by conventional photolithographic and etching techniques.
  • a copper layer 313 is then deposited at a thickness (D) between about 8,OO ⁇ A and about 18,OO ⁇ A. The material deposited outside the features or overdeposited on the features to ensure fill of the features is referred to as excess material.
  • the substrate is exposed to a CMP process employing utilizing a polishing composition to substantially remove the copper layer 313 to the barrier layer 312.
  • a CMP composition with a high copper removal compared to removal rate of the barrier layer 312 allows for removal of substantially all of the copper layer while immunizing removal of the TaN layer.
  • the remaining residual copper 315 is illustrated by the dashed line.
  • compositions for copper removal are disclosed in co-pending U.S. Patent Application Serial No. 09/543,777, filed on April 5, 2000, U.S. Patent Application Serial No. 09/544,281, filed on April 6, 2000, and U.S. Patent Application Serial No. 09/608,078, filed on June 30, 2000, which are incorporated herein by reference to the extent not inconsistent with the invention.
  • An example of one composition suitable for copper removal comprises a chelating agent, an oxidizer, a corrosion inhibitor, and deionized water.
  • the composition may further include a surfactant, a pH adjusting agent, abrasive particles, and combinations thereof.
  • composition is between about 0.2 vol% and about 3.0 vol% of one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide as a chelating agent, between about 0.5 vol% and about 8.0 vol% of hydrogen peroxide, ferric nitrate, or other compounds as the oxidizer, between about 0.02 vol% and about 1.0 vol% of any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-l -benzotriazole as the corrosion inhibitor, a pH adjusting agent to provide a pH between about 2.5 and about 11, such as potassium hydroxide (KOH), acetic acid, phosphoric acid, or oxalic acid.
  • the bulk CMP composition may further comprise up to about 35 wt.% of abrasive particles, such as silica.
  • a second CMP process, or second CMP step within the CMP process is performed utilizing a composition containing the one or more chelating agents and the one or more metal salts described herein to remove the residual copper 315.
  • the one or more chelating agents and one or more metal salts may be added to the example composition used in reference to Figure 3 to polish the substrate surface to remove the residual copper containing material.
  • copper containing materials including residue material is polished in a two-step process.
  • a CMP composition is supplied to the polishing pad for a first period of time during polishing of the substrate to remove copper containing material, and then the one or more chelating agents and one or more metal salts, such as copper salts, are mixed with the CMP composition in situ at the point of use and used with the polishing pad for a second period of time during polishing of the substrate to remove residual copper containing material.
  • the CMP composition may comprise one or more surfactants including a anionic surfactant, a Zweitter-ionic surfactant, a multi-ionic surfactant, or combinations thereof, a chelating agent, an oxidizer, a corrosion inhibitor, and deionized water.
  • the composition may further include an agent to adjust the pH level, and may also include an abrasive particle concentration of about 35 wt.% or less.
  • An example of the initial composition is between about 0.1 vol% and about 0.2 vol% of sodium polymethacrylate as a surfactant, between about 0.3 vol% and about 3 vol% of ethylenediamine as a chelating agent, between about 0.5 vol% and about 5.0 vol% hydrogen peroxide as the oxidizer, between about 0.02 vol% and about 0.1 vol% benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water.
  • the first period of time is generally between about 30 seconds and about 300 seconds, and may vary upon the CMP composition or the CMP process utilized.
  • the one or more chelating agents for example ethylenediamine
  • the one or more metal salts for example copper sulfate
  • the composition and additives are used with the polishing pad to polish the substrate for a second period of time.
  • the second period time can be for a limited duration during the polishing process or can continue until the end of the copper polishing process.
  • the addition of the one or more chelating agents and the one or more metal salts may be discontinued before the end of the polishing process. Also, the addition of one or more chelating agents and the one or more metal salts can be discontinued and resupplied periodically during the polishing process if desired to control the polishing process.
  • the TaN barrier layer 312 is removed and the underlying dielectric layer 310 is exposed by a polishing process.
  • the substrate surface, including the dielectric layer, may then be buffed by techniques known in the art to remove or reduce scratching or defects formed on the substrate surface, thereby completing planarization.
  • the resulting copper features comprises a dense array (A) of copper lines 313 bordered by open field B and the planar surface 314 of the copper metallization and substrate 300.
  • compositions described herein exhibit reduced amounts or an absence of residual copper containing material on the barrier layer and on the copper lines, and improved planarization of the surface of the substrate after removal of the barrier layer.
  • the inventive composition including ions of at least one transition metal and one or more chelating agents facilitates removal of the residual copper containing material with minimal or no barrier layer removal.
  • the metal salts and chelating agents enhances the initiation of chemical reactions during CMP to enhance removal of copper containing material and allow removal of the residual copper containing material.
  • the metal salts disassociate in the CMP composition to form metal ions which react with the chelating agents to form complexes. These complexes then react with the residual material on the substrate surface to enhance remove the residual material from the substrate.
  • the invention contemplates the production of ions of at least one transition metal from sources other than metal salts.
  • ions of at least one transition metal may be generated in situ at the polishing pad.
  • a copper retaining ring is used to secure the substrate to the carrier head. During polishing, the retaining ring contacts the polishing pad and erodes under the friction from contacting the polishing pad to produce copper ions which form part of the CMP composition.
  • a copper sheet disposed in the polishing pad such as a copper sheet disposed between two layers forming the polishing pad.
  • the upper layer of the polishing pad may have grooves, perforations, or apertures which expose the underlying copper sheet to the composition. The composition may then react with the polishing sheet to disassociate copper ions from the copper sheet.
  • the invention further contemplates other methods and apparatus which provide for the production of ions of at least one transition metal in situ during a polishing process.
  • the CMP composition containing the one or more chelating agents and the ions of at least one transition metal also produced an improved polish quality without detrimentally affecting the performance of the CMP composition or subsequent CMP processes.
  • the compositions described herein have been advantageously used in removing conductive materials, such as copper, from barrier layers, such as tantalum nitride, deposited on substrate surfaces.
  • the invention described herein is applicable to planarizing a substrate surface during various stages of semiconductor manufacturing by any of various CMP techniques using any of various CMP systems and polishing articles, such as abrasive free compositions with conventional pads or abrasive free compositions with fixed abrasive or abrasive slurry-type pads or sheets.
  • the invention described herein enjoys particular applicability in the manufacture of high density semiconductor devices with metal features in the deep submicron range.

Abstract

A method, composition, and computer readable medium for planarizing a substrate. In one aspect, the composition includes one or more chelating agents and ions of at least one transition metal, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, and deionized water. The composition may further comprise one or more agents to adjust the pH and/or abrasive particles. The method comprises planarizing a substrate using a composition including one or more chelating agents and ions of at least one transition metal. In one aspect, the method comprises processing a substrate disposed on a polishing pad including performing a first polishing process to substantially remove the copper containing material, performing a second polishing process to remove residual copper containing material, the second polishing process comprising delivering a CMP composition to the polishing pad, mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition, and removing residual copper containing materials from the substrate surface. The invention also provides a computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform polishing the substrate to substantially remove copper containing material formed thereon and polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material.

Description

METHOD AND COMPOSITION FOR THE REMOVAL OF RESIDUAL
MATERIALS DURING SUBSTRATE PLANARIZATION
BACKGROUND OF THE INVENTION
Field of the Invention
The present invention relates generally to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.
Background of the Related Art
In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. Common deposition techniques in modern processing include physical vapor deposition (PND), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECND), and now electro-chemical plating (ECP).
As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or "polishing" a surface, is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material, such as copper containing material, used to fill the features and to provide an even surface for subsequent levels of metallization and processing.
Chemical mechanical planarization, or chemical mechanical polishing (CMP), is common technique used to planarize substrates. CMP utilizes a chemical composition, typically a slurry or other fluid medium, for selective removal of material from substrates. In conventional CMP techniques, a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition, or slurry, to effect both chemical activity and mechanical activity.
Conventional CMP processes are performed using an abrasive article, such as a polishing composition, or slurry, containing abrasive particles in a reactive solution with a conventional polishing pad. Alternatively, the abrasive article can be a fixed abrasive article, such as a fixed abrasive polishing pad, which may be used with a, CMP composition or slurry that does not contain abrasive particles, often referred to as an abrasive free composition. A fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto. Alternatively, the CMP processes can be performed in the absence of an abrasive article, such as by the use of an abrasive free composition with a conventional polishing pad. Conventionally, in polishing substrate features, such as a dual damascenes features formed by the deposition of a barrier layer in an aperture and, for example, a copper containing material disposed on the barrier layer, excess copper containing material is polished to the barrier layer, and then the barrier layer is polished to the underlying dielectric layer to form the feature. Excess copper containing material is broadly defined herein copper containing material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface. Generally, all of the excess copper containing material is removed from the surface of the barrier layer prior to polishing the barrier layer.
However, the interface between the copper containing material and the barrier layer is generally non-planar and is difficult to sufficiently remove all of the excess copper containing material. Additionally, the copper containing material polishing process often removes the excess copper containing material and the barrier materials at different rates which may result in the formation of topographical defects in the substrate surface while still not sufficiently removing excess copper containing material from the barrier layer. Further, some polishing compositions, such as some abrasive free polishing compositions, have difficulty removing a satisfactory amount of excess copper containing material from the substrate surface. As such, many copper removal processes result in residual copper containing material being retained on the barrier layer and substrate surface. The retention of residual copper containing material has been observed to detrimentally affect subsequent polishing processes and detrimentally affect the polish quality of the substrate surface. One method to ensure removal of all the excess copper containing material before removing the barrier material is to overpolish the deposited copper containing material. Overpolishing may result in dishing of the copper containing material in the features formed on the surface of the substrate. Dishing occurs when a portion of the surface of a metal deposited in an aperture or other substrate structure formed in a dielectric layer is excessively polished resulting in the formation topographical defects, such as concavities or depressions in the copper containing material on the substrate surface, and can further lead to non-uniform removal of the barrier layer disposed thereunder. Dishing performance is used to describe the ability of a CMP composition or process to polish and planarize a surface without dishing or with reduced dishing of the surface. Additionally, in some CMP composition, such as some abrasive free compositions, the application of overpolishing techniques have not been completely successful in removing all of the excess copper containing material from the surface of the substrate.
Therefore, there exists a need for a method and CMP composition that reduces or removes residual copper containing materials during CMP processing.
SUMMARY OF THE INVENTION
The invention generally provides a method, composition, and computer readable medium for planarizing a substrate surface to remove copper containing material from a substrate surface in order to have a substrate surface free or substantially free of residual copper containing material. In one aspect, the invention provides a composition for planarizing a substrate, the composition comprising ions of at least one transition metal, one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof. The composition may further include an agent to adjust the pH of the composition and/or abrasive particles. In another aspect, the invention provides a method for removing residual copper containing materials from a substrate surface, the method comprising planarizing the substrate surface using a composition including one or more chelating agents and ions of at least one transition metal. The composition may further comprise one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof. The composition may further include an agent to adjust the pH of the composition and/or abrasive particles. In another aspect, the invention provides a method for processing a substrate, comprising providing a substrate to a polishing apparatus, polishing the substrate to substantially remove copper containing material formed thereon, and polishing the substrate with a CMP composition including one or more chelating agents and one or more copper salts to remove residual copper containing material. Another aspect of the invention provides a method for processing a substrate disposed on a polishing pad, comprising performing a first polishing process on a substrate to substantially remove the copper containing material formed thereon, performing a second polishing process to remove residual copper containing material, the second polishing process comprising delivering a CMP composition to the polishing pad, mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition, and removing residual copper containing materials from the substrate surface.
Another aspect of the invention provides a computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform polishing the substrate to substantially remove copper containing material formed thereon and polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus;
Figures 2-4 are schematic diagrams illustrating one embodiment of a process for forming a feature on a substrate.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The invention will be described below in reference to a planarizing process and compositions that can be carried out using chemical mechanical polishing process equipment, such as the Mirra® CMP System available from Applied Materials, Inc., as shown and described in U.S. Patent No. 5,738,574, entitled, "Continuous Processing System for Chemical Mechanical Polishing," the entirety of which is incorporated herein by reference to the extent not inconsistent with the invention. Although, the CMP processes and compositions are illustrated utilizing the Mirra® CMP System, any system enabling polishing of substrates using the compositions and methods described herein can be used to advantage. The following apparatus description is illustrative and should not be construed or interpreted as limiting the scope of the invention. Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus 20. The polishing apparatus 20 includes a lower machine base 22 with a table top 28 mounted thereon and a removable outer cover (not shown). The table top 28 supports a series of polishing stations, including a first polishing station 25a, a second polishing station 25b, a final polishing station 25c, and a transfer station 27. The transfer station 27 serves multiple functions, including, for example, receiving individual substrates 10 from a loading apparatus (not shown), washing the substrates, loading the substrates into carrier heads 80, receiving the substrates 10 from the carrier heads 80, washing the substrates 10 again, and transferring the substrates 10 back to the loading apparatus. Each polishing station 25a-25c includes a rotatable platen 30 having a polishing pad 100 or 110 disposed thereon. Each platen 30 may be a rotatable aluminum or stainless steel plate connected to a platen drive motor (not shown). The polishing stations 25a-25c may include a pad conditioner apparatus 40. The pad conditioner apparatus 40 has a rotatable arm 42 holding an independently rotating conditioner head 44 and an associated washing basin 46. The pad conditioner apparatus 40 maintains the condition of the polishing pad so that it will effectively polish the substrates. Each polishing station may include a conditioning station if the CMP apparatus is used with other pad configurations. The polishing stations 25a-25c may each have a slurry/rinse arm 52 that includes two or more supply tubes to provide one or more chemical compositions or slurries, chemical components, and/or water to the surface of the polishing pad. The slurry/rinse arm 52 delivers the one or more chemical slurries in amounts sufficient to cover and wet the entire polishing pad. Each slurry/rinse arm 52 also includes several spray nozzles (not shown) that can provide a high-pressure fluid rinse on to the polishing pad at the end of each polishing and conditioning cycle.
In one aspect of the invention, the slurry/rinse arm 52 includes a supply tube to provide a CMP composition to the polishing pad, and one or more additional supply tubes to provide additives or additional CMP composition components, such as one or more chelating agents or one or metal salts, to the polishing pad. The use of additional supply lines allows one or more chelating agents and/or one or metal salts to be mixed in situ with the CMP composition in the slurry/rinse arm 52 at the point of use or mixing of one or more chelating agents and/or one or metal salts with the CMP composition on the polishing pad during spraying of the CMP composition and additives through the spray nozzles.
Further, the use of additional supply lines allows individual components such as the one or more chelating agents and/or one or metal salts to be provided independently from one another to the polishing pad which provides control of the respective concentration of the additives in the CMP composition formed in situ at the point of use. Further, the use of multiple supply lines allows the use of multiple CMP composition in serial, such as an initial composition being provided to the polishing pad and a second CMP composition having one or more additives as described herein provided to the polishing pad. Furthermore, two or more intermediate washing stations 55a, 55b, and 55c may be positioned between adjacent polishing stations 25a, 25b, and 25c to clean the substrate as it passes from one station to the next. While not shown, multiple supply tubes can be connected to the slurry/rinse arm for mixing at the slurry/rinse prior to delivery to the polishing pad. This allows in situ mixing of various compounds at the point of use.
A rotatable multi-head carousel 60 is positioned above the lower machine base 22. The carousel 60 includes four carrier head systems 70a, 70b, 70c, and 70d. Three of the carrier head systems receive or hold the substrates 10 by pressing them against the polishing pads 100 or 110 disposed on the polishing stations 25a-25c. One of the carrier head systems 70a-70d receives a substrate from and delivers a substrate 10 to the transfer station 27. The carousel 60 is supported by a center post 62 and is rotated about a carousel axis 64 by a motor assembly (not shown) located within the machine base 22. The center post 62 also supports a carousel support plate 66 and a cover 88.
The four carrier head systems 70a-70d are mounted on the carousel support plate 66 at equal angular intervals about the carousel axis 64. The center post 62 allows the carousel motor to rotate the carousel support plate 66 and orbit the carrier head systems 70a-70d about the carousel axis 64. Each carrier head system 70a-70d includes one carrier head 80. A carrier drive shaft 78 connects a carrier head rotation motor 76 (shown by the removal of one quarter of the cover 68) to the carrier head 80 so that the carrier head 80 can independently rotate about its own axis. There is one carrier drive shaft 78 and motor 76 for each head 80. In addition, each carrier head 80 independently oscillates laterally in a radial slot 72 formed in the carousel support plate 66.
The carrier head 80 performs several mechanical functions. Generally, the carrier head 80 holds the substrate 10 against the polishing pad 100 or 110, evenly distributes a downward pressure across the back surface of the substrate 10, transfers torque from the drive shaft 78 to the substrate 10, and ensures that the substrate 10 does not slip out from beneath the carrier head 80 during polishing operations. A retaining ring (not shown) may be disposed on the carrier head to restrict lateral movement of the substrate as it is pressed against the polishing pad during polishing of the substrate on the polishing pad. The retaining ring includes an inner surface which contacts the substrate and a lower face which is in intimate contact with the polishing pad and polishing composition. Contact with the polishing pad can results in erosion of the retaining ring's lower surface. The retaining ring may comprise a ceramic, such as alumina, or comprise a metal, such as copper or a copper alloy. Chemical mechanical Polishing Process and Composition.
Planarizing processes and compositions are provided to reduce or remove residual copper containing materials from a substrate surface being processed. In one aspect of the invention, one or more chelating agents and ions of at least one transition metal, such as metal ions derived from one or more metal salts, e.g., copper salts, are added to a chemical mechanical polishing composition. In one aspect of the invention, the one or more chelating agents and ions of at least one transition metal are added or mixed in situ with a CMP composition being applied to a polishing pad for polishing a substrate surface. Such an in situ mixed CMP composition may include one or more chelating agents, ions of at least one transition metal, one or more surfactants, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, and deionized water. The CMP composition may also further include a pH adjusting agent and/or abrasive particles. The CMP composition is used in one aspect of the invention to remove excess copper containing material deposited to fill features formed on a substrate surface.
The one or more chelating agents may include compounds having one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide. The one or more chelating agents may also include amino acids or amino acid derivatives, such as glycine, and carboxylic acids having one or more acids groups, such as citric acid or maleic acid. The one or more chelating agents can be present in an amount between about 0.02 volume percent (vol%) and about 4.0 vol% of the CMP composition. In one aspect of the invention, the chelating agent comprises between about 0.2 wt.% and about 1.5 wt.% of the CMP composition. The chelating agent chemically reacts with metal ions, such as from a metal salt, to form a metal complex which improves removal of material removed from the substrate surface.
In one aspect of the invention, the one or more chelating agents described above may be added to a CMP composition containing one or more chelating agents. The one or more chelating agents contained in the CMP composition may include those described above for the one or more chelating agents added to the CMP composition. The chelating agents added to the CMP composition may comprise the same chelating agent as in the CMP composition. For example, ethylenediamine may be added with a metal salt to a CMP composition already containing ethylenediamine as a chelating agent. Alternatively, the one or more chelating agents of the composition may be different chelating agents than those added to the composition. For example, ethylenediamine and a metal salt may be added to a CMP composition having citric acid as a chelating agent.
The ions of at least one transition metal may be derived from metal salts, such as copper salts, and are added to the composition to form a complex with the one or more chelating agents. The resulting complex improves removal of residual copper containing material from the substrate surface. Examples of suitable copper salts include copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof. The copper salt can comprise a concentration between about 0.005 weight percent (wt.%) and about 1.0 wt.% of the CMP composition. Alternatively, the copper salts may be present in the CMP composition at a concentration between about 0.05 wt.% and about 0.2 wt.% of the CMP composition.
The surfactant may include one or more surfactants including anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, and combinations thereof. Surfactants are added to reduce or minimize re-deposition of the metal residues. Zweitter-ionic surfactants are described broadly herein as surfactants having both anionic and cationic functional groups, and which may have anionic and cationic properties in solutions, such as CMP compositions. Multi-ionic surfactants are defined herein as compounds which have multiple ionic groups in one molecule and which reduce the surface tension of the composition. Multi-ionic surfactants, such as dispersers, may also promote uniform and maximum separation of solids, such as by-products of the CMP process and abrasive particles in a composition.
Examples of surfactants include sodium salts of poly acrylic acid, e.g., comprising molecular weights from about 1,000 to about 20,000, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof. The anionic surfactants include potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, and combinations thereof. The Zweitter-ionic surfactants include sulfonated amines, sulfonated amides, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof. The dispersers include sodium salts of polyacrylic acid, e.g., comprising molecular weights from about 1,000 to about 20,000. It is contemplated that other anionic surfactants, Zweitter surfactants, and multi- ionic surfactants may be used and the above described surfactants are illustrative and should not be construed or interpreted as limiting the scope of the invention. The one or more surfactants can comprise a concentration between about 0.001 vol% and about 10 vol% of the CMP composition. A concentration between about 0.05 vol% and about 3 vol% of the surfactants is used in one embodiment of the CMP composition. CMP composition having between about 0.1 vol% and about 1 vol% may also be used. The oxidizers can be any of various conventional oxidizers employed in CMP compositions and processes, such as hydrogen peroxide, ferric nitrate, or other compounds such as iodates. The oxidizers can be present in an amount between about 0.2 vol% and about 8.0 vol% of the CMP composition. A concentration between about 0.2 wt.% and about 8 wt.% of the oxidizers is used in one embodiment of the CMP composition. Examples of corrosion inhibitors include any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-l- benzotriazole. The corrosion inhibitors can be present in an amount between about 0.02 vol% and about 1.0 vol% of the CMP composition.
The pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the CMP composition to a range between about 2.5 and about 11 and can comprise any of various bases, such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid. However, other chelating agents, oxidizers, corrosion inhibitors, and pH adjusting agents are contemplated for use with the invention. The above specified components are illustrative and should not be construed as limiting the invention.
Alternatively, embodiments of the invention may include adding abrasive particles to polishing compositions containing the one or more surfactants described herein for planarizing a substrate surface. The compositions containing abrasives particles may comprise an abrasive particle concentration of about 35 wt.% or less of the composition. Alternatively, a concentration between about 2 wt.% or less of abrasive particles is included in CMP compositions containing the one or more surfactants described herein. One example of a CMP composition having abrasive particles includes a colloidal suspension of silica (silicon oxide) particles, with, for example, an average size between about 20 nm and about 100 nm. Other forms of silica may be used including fumed silica having a particle size between about 100 nm and about 300 nm. Other abrasive components which may be used in CMP compositions include, but are not limited to, alumina, zirconium oxide, titanium oxide, cerium oxide, or any other abrasives known in the art and used in conventional CMP compositions.
In one embodiment of the invention described above, one or more chelating agents and one or more metal salts are added to a CMP composition used to reduce or remove residual copper containing material during polishing of a substrate surface. An example of a CMP composition described herein includes adding ethylenediamine to a concentration between about 0.2 vol% and about 1.5 vol% of the CMP composition performing the polishing process and adding copper sulfate to a concentration between about 0.05 wt.% and about 0.2 wt.% of the CMP composition performing the polishing process to a CMP composition including between about 0.05 vol% and about 0.4 vol% of sodium polymethacrylate, between about 0.2 vol% and about 1.5 vol% of ethylenediamine, between about 0.5 vol% and about 5.0 vol% hydrogen peroxide as the oxidizer, between about 0.02 vol% and about 0.3 vol% benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water. One example of a CMP process employs a polishing pressure between about 1 and about 8 psi, and a platen speed of about 20 to 120 rpm for a polishing duration of about 30 seconds to 2,000 seconds in the apparatus described above and shown in Figure 1. The above described embodiment of the composition may contain about 1 wt.% or less of abrasive particles and be considered an abrasive free composition. Additionally, The CMP compositions and processes described herein may remove layers and materials of aluminum, doped aluminum, nickel, doped nickel, tungsten, tungsten nitride, titanium, titanium nitride, and combinations thereof. It is further contemplated that other materials, including titanium-tungsten (TiW), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), and silicon nitride used for forming barrier layers with conductive materials, such as copper, may be removed by aspects of the invention.
While the following description refers to the removal of copper containing materials with a composition containing the one or more chelating agents and one or more copper salts, the invention contemplates the removal of conductive material, such as aluminum, by compositions including metal salts, such as the copper salts described herein, and chelating agents. Further, the invention contemplates polishing copper containing materials with a combination of copper salts and non-copper salts with the one or more chelating agents and compositions described herein.
Figures 2-4 are schematic diagrams illustrating one embodiment of a process for forming a feature on a substrate utilizing the compositions described herein.
Referring to Figure 2, a dielectric layer 310, such as a silicon oxide or a carbon- doped silicon oxide, is formed on a substrate 300. A plurality of openings 311 are then patterned and etched into the dielectric in area A to form features for a dense array of conductive lines with area B remaining unetched. Typically, the openings 311 are spaced apart by a distance C which can be less than about 1 micron, such as about 0.2 micron, or greater than 10 microns, such as 20 microns. The openings 311 are formed in the dielectric layer 310 by conventional photolithographic and etching techniques. A barrier layer 312 of a tantalum containing material, such as tantalum (Ta) or tantalum nitride (TaN) for a copper metallization, is deposited conformally in openings 311 and on the upper surface of the dielectric layer 310. A copper layer 313 is then deposited at a thickness (D) between about 8,OOθA and about 18,OOθA. The material deposited outside the features or overdeposited on the features to ensure fill of the features is referred to as excess material.
Referring to Figure 3, the substrate is exposed to a CMP process employing utilizing a polishing composition to substantially remove the copper layer 313 to the barrier layer 312. A CMP composition with a high copper removal compared to removal rate of the barrier layer 312 allows for removal of substantially all of the copper layer while immunizing removal of the TaN layer. The remaining residual copper 315 is illustrated by the dashed line.
Suitable compositions for copper removal are disclosed in co-pending U.S. Patent Application Serial No. 09/543,777, filed on April 5, 2000, U.S. Patent Application Serial No. 09/544,281, filed on April 6, 2000, and U.S. Patent Application Serial No. 09/608,078, filed on June 30, 2000, which are incorporated herein by reference to the extent not inconsistent with the invention. An example of one composition suitable for copper removal comprises a chelating agent, an oxidizer, a corrosion inhibitor, and deionized water. The composition may further include a surfactant, a pH adjusting agent, abrasive particles, and combinations thereof. An example of the composition is between about 0.2 vol% and about 3.0 vol% of one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide as a chelating agent, between about 0.5 vol% and about 8.0 vol% of hydrogen peroxide, ferric nitrate, or other compounds as the oxidizer, between about 0.02 vol% and about 1.0 vol% of any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-l -benzotriazole as the corrosion inhibitor, a pH adjusting agent to provide a pH between about 2.5 and about 11, such as potassium hydroxide (KOH), acetic acid, phosphoric acid, or oxalic acid. The bulk CMP composition may further comprise up to about 35 wt.% of abrasive particles, such as silica.
A second CMP process, or second CMP step within the CMP process, is performed utilizing a composition containing the one or more chelating agents and the one or more metal salts described herein to remove the residual copper 315. For example, the one or more chelating agents and one or more metal salts may be added to the example composition used in reference to Figure 3 to polish the substrate surface to remove the residual copper containing material. In one aspect of the method described herein, copper containing materials including residue material is polished in a two-step process. A CMP composition is supplied to the polishing pad for a first period of time during polishing of the substrate to remove copper containing material, and then the one or more chelating agents and one or more metal salts, such as copper salts, are mixed with the CMP composition in situ at the point of use and used with the polishing pad for a second period of time during polishing of the substrate to remove residual copper containing material.
The CMP composition may comprise one or more surfactants including a anionic surfactant, a Zweitter-ionic surfactant, a multi-ionic surfactant, or combinations thereof, a chelating agent, an oxidizer, a corrosion inhibitor, and deionized water. The composition may further include an agent to adjust the pH level, and may also include an abrasive particle concentration of about 35 wt.% or less. An example of the initial composition is between about 0.1 vol% and about 0.2 vol% of sodium polymethacrylate as a surfactant, between about 0.3 vol% and about 3 vol% of ethylenediamine as a chelating agent, between about 0.5 vol% and about 5.0 vol% hydrogen peroxide as the oxidizer, between about 0.02 vol% and about 0.1 vol% benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water. The first period of time is generally between about 30 seconds and about 300 seconds, and may vary upon the CMP composition or the CMP process utilized.
After the first period of time, the one or more chelating agents, for example ethylenediamine, and the one or more metal salts, for example copper sulfate, is then added in situ with the CMP composition to have a concentration between about 0.01 vol% and about 2 vol% of ethylenediamine and a concentration between about 0.02 vol% and about 1.0 vol% of copper sulfate in the CMP composition used to treat the substrate surface. The composition and additives are used with the polishing pad to polish the substrate for a second period of time. The second period time can be for a limited duration during the polishing process or can continue until the end of the copper polishing process.
If desired, the addition of the one or more chelating agents and the one or more metal salts may be discontinued before the end of the polishing process. Also, the addition of one or more chelating agents and the one or more metal salts can be discontinued and resupplied periodically during the polishing process if desired to control the polishing process.
Referring to Figure 4, the TaN barrier layer 312 is removed and the underlying dielectric layer 310 is exposed by a polishing process. The substrate surface, including the dielectric layer, may then be buffed by techniques known in the art to remove or reduce scratching or defects formed on the substrate surface, thereby completing planarization. The resulting copper features comprises a dense array (A) of copper lines 313 bordered by open field B and the planar surface 314 of the copper metallization and substrate 300.
It has been observed that the resulting features formed with the compositions described herein exhibit reduced amounts or an absence of residual copper containing material on the barrier layer and on the copper lines, and improved planarization of the surface of the substrate after removal of the barrier layer.
The exact operative mechanism by which the inventive composition including ions of at least one transition metal and one or more chelating agents facilitates removal of the residual copper containing material with minimal or no barrier layer removal, is not known with certainty. However, it is believed that the metal salts and chelating agents enhances the initiation of chemical reactions during CMP to enhance removal of copper containing material and allow removal of the residual copper containing material. It is believed that the metal salts disassociate in the CMP composition to form metal ions which react with the chelating agents to form complexes. These complexes then react with the residual material on the substrate surface to enhance remove the residual material from the substrate. The invention contemplates the production of ions of at least one transition metal from sources other than metal salts. For example, ions of at least one transition metal may be generated in situ at the polishing pad. In one aspect of the invention a copper retaining ring is used to secure the substrate to the carrier head. During polishing, the retaining ring contacts the polishing pad and erodes under the friction from contacting the polishing pad to produce copper ions which form part of the CMP composition. Another aspect provides for a copper sheet disposed in the polishing pad, such as a copper sheet disposed between two layers forming the polishing pad. The upper layer of the polishing pad may have grooves, perforations, or apertures which expose the underlying copper sheet to the composition. The composition may then react with the polishing sheet to disassociate copper ions from the copper sheet. The invention further contemplates other methods and apparatus which provide for the production of ions of at least one transition metal in situ during a polishing process.
The CMP composition containing the one or more chelating agents and the ions of at least one transition metal also produced an improved polish quality without detrimentally affecting the performance of the CMP composition or subsequent CMP processes. The compositions described herein have been advantageously used in removing conductive materials, such as copper, from barrier layers, such as tantalum nitride, deposited on substrate surfaces.
The invention described herein is applicable to planarizing a substrate surface during various stages of semiconductor manufacturing by any of various CMP techniques using any of various CMP systems and polishing articles, such as abrasive free compositions with conventional pads or abrasive free compositions with fixed abrasive or abrasive slurry-type pads or sheets. The invention described herein enjoys particular applicability in the manufacture of high density semiconductor devices with metal features in the deep submicron range.
While the foregoing is directed to the one or more embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow including their equivalents.

Claims

What is Claimed is: 1. A composition for planarizing a substrate, comprising: ions of at least one transition metal; one or more chelating agents; one or more surfactants; one or more oxidizers; one or more corrosion inhibitors; and water.
2. The composition of claim 1, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.
3. The composition of claim 1, wherein the one or more chelating agents comprise between about 0.02 vol% and about 4 vol% of the composition.
4. The composition of claim 1, wherein the ions of at least one transition metal are derived from one or metal salts.
5. The composition of claim 4, wherein the one or more metal salts comprise a copper salt selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.
6. The composition of claim 5, wherein the copper salt comprises between about 0.005 wt.% and about 1.0 wt.% of the composition.
7. The composition of claim 1, wherein the surfactant comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof.
8. The composition of claim 7, wherein the surfactant is selected from the group of sodium salts of polyacrylic acid, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.
9. The composition of claim 1, wherein the surfactant comprises between about 0.001 vol% and about 10 vol% of the composition.
10. The composition of claim 1, further comprising an agent to adjust the pH.
11. The composition of claim 10, wherein the agent to adjust the pH is an acid selected from the group of acetic acid, phosphoric acid, oxalic acid, and combinations thereof.
12. The composition of claim 1, wherein the composition has a pH between about 2.5 and about 11.0.
13. The composition of claim 1, further comprising abrasive particles.
14. The composition of claim 13, wherein the abrasive particles comprise about 35 wt.% or less of the composition.
15. The composition of claim 13, wherein the abrasive particles comprise materials selected from the group of silica, alumina, titanium oxide, zirconium oxide, cerium oxide, and combinations thereof.
16. A method for removing residual copper containing materials from a substrate surface, the method comprising planarizing the substrate surface using a composition including one or more chelating agents and ions of at least one transition metal.
17. The method of claim 16, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.
18. The method of claim 16, wherein the one or more chelating agents comprise between about 0.02 vol% and about 4 vol% of the composition.
19. The method of claim 16, wherein the ions of at least one transition metal are derived from one or metal salts.
20. The method of claim 19, wherein the one or more metal salts comprise a copper salt selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.
21. The method of claim 20, wherein the copper salt comprises between about 0.005 wt.% and about 1.0 wt.% of the composition.
22. The method of claim 16, wherein the composition further comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.
23. The method of claim 22, wherein the anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants are selected from the group of sodium salts of polyacrylic acid, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.
24. The method of claim 22, wherein the surfactant comprises between about 0.001 vol% and about 10 vol% of the composition.
25. The method of claim 22, wherein the composition further comprises an agent to adjust the pH.
26. The method of claim 25, wherein the agent to adjust the pH is an acid selected from the group of acetic acid, phosphoric acid, oxalic acid, and combinations thereof.
27. The method of claim 22, wherein the composition further comprises abrasive particles.
28. The method of claim 27, wherein the abrasive particles comprises about 35 wt.% or less of the composition.
29. The method of claim 28, wherein the abrasive particles comprise materials selected from the group of silica, alumina, titanium oxide, zirconium oxide, cerium oxide, and combinations thereof.
30. A method for processing a substrate, comprising: providing a substrate to a polishing apparatus; polishing the substrate to substantially remove copper containing material formed thereon; and polishing the substrate with a CMP composition comprising one or more chelating agents and one or more copper salts to remove residual copper containing material.
31. The method of claim 30, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.
32. The method of claim 30, wherein the one or more chelating agents comprise between about 0.02 vol% and about 4 vol% of the CMP composition.
33. The method of claim 30, wherein the one or more copper salts are selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.
34. The method of claim 33, wherein the copper salt comprises between about 0.005 wt.% and about 1.0 wt.% of the CMP composition.
35. The method of claim 30, wherein the CMP composition further comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-iomc surfactants, or combinations thereof, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.
36. The method of claim 35, wherein the one or more anionic surfactants, Zweitter- ionic surfactants, multi-ionic surfactants, or combinations thereof are selected from the group of comprise sodium salts of polyacrylic acid, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.
37. The method of claim 35, wherein the one or more anionic surfactants, Zweitter- ionic surfactants, multi-iomc surfactants, or combinations thereof comprise between about 0.001 vol% and about 10 vol% of the CMP composition.
38. The method of claim 35, wherein the CMP composition further comprises an agent to adjust the pH.
39. The method of claim 38, wherein the agent to adjust the pH is an acid selected from the group of acetic acid, phosphoric acid, oxalic acid, and combinations thereof.
40. The method of claim 35, wherein the CMP composition further comprises abrasive particles.
41. The method of claim 40, wherein the abrasive particles comprises about 35 wt.% or less of the CMP composition.
42. The method of claim 40, wherein the abrasive particles comprise about 2 wt.% or less of the CMP composition.
43. The method of claim 40, wherein the abrasive particles comprise materials selected from the group of silica, alumina, titanium oxide, zirconium oxide, cerium oxide, and combinations thereof.
44. A method for processing a substrate disposed on a polishing pad, comprising: performing a first polishing process to substantially remove copper containing material from the substrate; performing a second polishing process to remove copper containing material residue from the substrate, the second polishing process comprising: delivering a CMP composition to the polishing pad; mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition; and removing copper containing material residue from the substrate.
45. The method of claim 44, wherein the CMP composition comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.
46. The method of claim 45, wherein the CMP composition further comprises abrasive particles.
47. The method of claim 44, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.
48. The method of claim 44, wherein the one or more chelating agents comprise between about 0.02 vol% and about 4 vol% of the CMP composition.
49. The method of claim 44, wherein the ions of at least one transition metal are derived from one or metal salts.
50. The method of claim 49, wherein the one or more metal salts comprise a copper salt selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.
51. The method of claim 50, wherein the copper salt comprises between about 0.005 wt.% and about 1.0 wt.% of the composition.
52. The method of claim 44, wherein mixing the one or more chelating agents and one or more metal salts in situ with the second CMP composition occurs between about 30 seconds and about 300 seconds after the first CMP composition is delivered to the polishing pad.
53. The method of claim 44, wherein the ions of at least one transition metal are derived from the erosion of a substrate retaining ring during the second polishing process.
54. The method of claim 44, wherein the ions of at least one transition metal are derived from the composition reacting with a copper source disposed in a polishing pad during the second polishing process.
55. A computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform: (a) polishing the substrate to substantially remove copper containing material formed thereon; and (b) polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material.
56. The computer readable medium of claim 55, wherein the instructions are arranged for polishing the substrate to substantially remove copper containing material formed thereon is conducted on a rotating or linear polishing pad mounted on a first platen.
57. The computer readable medium of claim 56, wherein the instructions are arranged for polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material is conducted on a rotating or linear polishing pad mounted on a first platen or on a second platen.
58. The computer readable medium of claim 55, wherein the instructions are further arranged for polishing the substrate with the CMP composition by mixing the one or more chelating agents and one or more metal salts in situ with other components of the CMP composition between about 30 seconds and about 300 seconds after the other components of the CMP composition are delivered to a polishing pad.
PCT/US2001/043267 2000-12-01 2001-11-20 Method and composition for the removal of residual materials during substrate planarization WO2002044293A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002546644A JP2004526296A (en) 2000-12-01 2001-11-20 Method and composition for removing residual material during planarization of a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/729,132 US20020068454A1 (en) 2000-12-01 2000-12-01 Method and composition for the removal of residual materials during substrate planarization
US09/729,132 2000-12-01

Publications (2)

Publication Number Publication Date
WO2002044293A2 true WO2002044293A2 (en) 2002-06-06
WO2002044293A3 WO2002044293A3 (en) 2003-02-13

Family

ID=24929722

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/043267 WO2002044293A2 (en) 2000-12-01 2001-11-20 Method and composition for the removal of residual materials during substrate planarization

Country Status (4)

Country Link
US (2) US20020068454A1 (en)
JP (1) JP2004526296A (en)
TW (1) TWI267111B (en)
WO (1) WO2002044293A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129822A (en) * 2003-10-27 2005-05-19 Hitachi Chem Co Ltd Polishing solution and polishing method
EP2426705A1 (en) * 2009-04-30 2012-03-07 Lion Corporation Method for cleaning of semiconductor substrate and acidic solution

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6579798B2 (en) * 2001-09-24 2003-06-17 Texas Instruments Incorporated Processes for chemical-mechanical polishing of a semiconductor wafer
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
JP2003277734A (en) * 2001-12-31 2003-10-02 Hynix Semiconductor Inc Cmp (chemical mechanical polishing) slurry for metal and method for forming metal wiring contact plug of semiconductor element using the same
US7906418B2 (en) * 2003-12-03 2011-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having substantially planar contacts and body
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
CN100472729C (en) * 2005-05-06 2009-03-25 旭硝子株式会社 Composition for copper wiring polishing and method of polishing surface of semiconductor integrated circuit
WO2007045267A1 (en) 2005-10-19 2007-04-26 Freescale Semiconductor, Inc. A system and method for cleaning a conditioning device
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
WO2007054125A1 (en) * 2005-11-08 2007-05-18 Freescale Semiconductor, Inc. A system and method for removing particles from a polishing pad
US20070219103A1 (en) * 2006-03-17 2007-09-20 Applied Materials, Inc. Novel rinse solution to remove cross-contamination
US20080153393A1 (en) * 2006-12-22 2008-06-26 Texas Instruments Inc. CMP related scratch and defect improvement
KR20080058860A (en) * 2006-12-22 2008-06-26 삼성전자주식회사 Chemical mechanical polishing method and fabrication method of semiconductor device using the same
JP5196102B2 (en) 2007-01-12 2013-05-15 上村工業株式会社 Aluminum oxide film removal solution and surface treatment method of aluminum or aluminum alloy
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
US8809247B2 (en) * 2008-02-15 2014-08-19 Lion Corporation Cleaning composition and method for cleaning substrate for electronic device
US20090215266A1 (en) * 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers
JP5207002B2 (en) * 2008-02-27 2013-06-12 Jsr株式会社 Chemical mechanical polishing aqueous dispersion, chemical mechanical polishing method using the same, and method of regenerating chemical mechanical polishing aqueous dispersion
JP5319968B2 (en) * 2008-06-18 2013-10-16 株式会社Adeka Polishing composition for CMP
WO2011054193A1 (en) * 2009-11-06 2011-05-12 Wang Chen Chemical-mechanical polishing liquid
US8921295B2 (en) 2010-07-23 2014-12-30 American Sterilizer Company Biodegradable concentrated neutral detergent composition
JP2012146973A (en) * 2010-12-24 2012-08-02 Hitachi Chem Co Ltd Polishing solution and substrate polishing method using polishing solution
EP2502969A1 (en) * 2011-03-22 2012-09-26 Basf Se A chemical mechanical polishing (cmp) composition comprising two types of corrosion inhibitors
CN103146307B (en) * 2013-03-28 2014-12-10 天津理工大学 Nano polishing solution for chemical/mechanical polishing
US20140308814A1 (en) * 2013-04-15 2014-10-16 Applied Materials, Inc Chemical mechanical polishing methods and systems including pre-treatment phase and pre-treatment compositions
CN106433482B (en) * 2016-06-29 2020-09-18 上海华明高纳稀土新材料有限公司 Aluminum oxide polishing powder and preparation method thereof
SG11201901590SA (en) * 2016-09-21 2019-03-28 Fujimi Inc Composition for surface treatment
CN111512425A (en) 2018-06-27 2020-08-07 应用材料公司 Temperature control for chemical mechanical polishing
CN109176303B (en) * 2018-11-01 2023-11-03 哈尔滨轴承集团有限公司 Bearing ring water remover
CN111863712A (en) * 2019-04-24 2020-10-30 台湾积体电路制造股份有限公司 Semiconductor structure and method of forming a semiconductor structure
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
WO2023183100A1 (en) * 2022-03-24 2023-09-28 Cmc Materials, Inc. Dual additive polishing composition for glass substrates

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0401147A2 (en) * 1989-03-07 1990-12-05 International Business Machines Corporation A method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
WO1999053532A1 (en) * 1998-04-10 1999-10-21 Ferro Corporation Slurry for chemical-mechanical polishing metal surfaces
WO2000053691A1 (en) * 1999-03-10 2000-09-14 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
WO2002020682A2 (en) * 2000-09-08 2002-03-14 Applied Materials, Inc. Method of initiating copper cmp process

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4169337A (en) * 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
US4588421A (en) * 1984-10-15 1986-05-13 Nalco Chemical Company Aqueous silica compositions for polishing silicon wafers
US4752628A (en) * 1987-05-15 1988-06-21 Nalco Chemical Company Concentrated lapping slurries
US4867757A (en) * 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5264010A (en) * 1992-04-27 1993-11-23 Rodel, Inc. Compositions and methods for polishing and planarizing surfaces
US5478435A (en) * 1994-12-16 1995-12-26 National Semiconductor Corp. Point of use slurry dispensing system
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
ES2231777T3 (en) * 1995-08-25 2005-05-16 GRACE GMBH & CO. KG ANTI-CORROSIVE PIGMENTS AND COMPOSITIONS FORMULATED WITH SUCH TYPES OF PIGMENTS.
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US5769689A (en) * 1996-02-28 1998-06-23 Rodel, Inc. Compositions and methods for polishing silica, silicates, and silicon nitride
US5863838A (en) * 1996-07-22 1999-01-26 Motorola, Inc. Method for chemically-mechanically polishing a metal layer
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5932486A (en) * 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US5738800A (en) * 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
TW426556B (en) * 1997-01-24 2001-03-21 United Microelectronics Corp Method of cleaning slurry remnants left on a chemical-mechanical polish machine
US5756398A (en) * 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
BR9809311A (en) 1997-04-30 2000-07-04 Minnesota Mining & Mfg Process of modifying a tablet surface suitable for the manufacture of a semiconductor device, and, tablet suitable for the manufacture of semiconductors
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
JP3371775B2 (en) 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6083838A (en) * 1998-05-20 2000-07-04 Lucent Technologies Inc. Method of planarizing a surface on a semiconductor wafer
US6063306A (en) 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
KR20010093086A (en) 1998-11-18 2001-10-27 갤반 마틴 Method to decrease dishing rate during CMP in metal semiconductor structures
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6077337A (en) * 1998-12-01 2000-06-20 Intel Corporation Chemical-mechanical polishing slurry
US6117779A (en) * 1998-12-15 2000-09-12 Lsi Logic Corporation Endpoint detection method and apparatus which utilize a chelating agent to detect a polishing endpoint
WO2000036037A1 (en) 1998-12-17 2000-06-22 Rodel Holdings, Inc. Compositions and methods for polishing semiconductor wafers
KR20010111261A (en) 1999-02-18 2001-12-17 갤반 마틴 Method for CMP of low dielectric constant polymer layers
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US7041599B1 (en) * 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
US6199933B1 (en) * 1999-12-22 2001-03-13 Visteon Global Technologies, Inc. Insulated window system for a vehicle
US6592433B2 (en) * 1999-12-31 2003-07-15 Intel Corporation Method for defect reduction
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6569349B1 (en) * 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) * 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0401147A2 (en) * 1989-03-07 1990-12-05 International Business Machines Corporation A method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
WO1999053532A1 (en) * 1998-04-10 1999-10-21 Ferro Corporation Slurry for chemical-mechanical polishing metal surfaces
WO2000053691A1 (en) * 1999-03-10 2000-09-14 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
WO2002020682A2 (en) * 2000-09-08 2002-03-14 Applied Materials, Inc. Method of initiating copper cmp process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129822A (en) * 2003-10-27 2005-05-19 Hitachi Chem Co Ltd Polishing solution and polishing method
EP2426705A1 (en) * 2009-04-30 2012-03-07 Lion Corporation Method for cleaning of semiconductor substrate and acidic solution
EP2426705A4 (en) * 2009-04-30 2012-09-26 Lion Corp Method for cleaning of semiconductor substrate and acidic solution

Also Published As

Publication number Publication date
US20030216049A1 (en) 2003-11-20
US7022608B2 (en) 2006-04-04
WO2002044293A3 (en) 2003-02-13
TWI267111B (en) 2006-11-21
JP2004526296A (en) 2004-08-26
US20020068454A1 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
US7022608B2 (en) Method and composition for the removal of residual materials during substrate planarization
US6524167B1 (en) Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6709316B1 (en) Method and apparatus for two-step barrier layer polishing
US6783432B2 (en) Additives for pressure sensitive polishing compositions
EP1163311B1 (en) Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US7375023B2 (en) Method and apparatus for chemical mechanical polishing of semiconductor substrates
EP0971993B1 (en) Planarization composition for removing metal films
US6569349B1 (en) Additives to CMP slurry to polish dielectric films
US7104869B2 (en) Barrier removal at low polish pressure
US20040248412A1 (en) Method and composition for fine copper slurry for low dishing in ECMP
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
US20080045021A1 (en) Dual reduced agents for barrier removal in chemical mechanical polishing
US6872329B2 (en) Chemical mechanical polishing composition and process
EP1111665A2 (en) Method of planarizing a substrate surface
JP2009503908A (en) Method and composition for polishing a substrate
KR100476484B1 (en) Semiconductor Device Formation Method
US20050092620A1 (en) Methods and apparatus for polishing a substrate
WO2002083804A1 (en) Polishing composition having a surfactant
WO2002020682A2 (en) Method of initiating copper cmp process
US6653242B1 (en) Solution to metal re-deposition during substrate planarization
TWI294456B (en)
US20020104269A1 (en) Photochemically enhanced chemical polish
US20020173221A1 (en) Method and apparatus for two-step polishing
WO2008022277A2 (en) Selective chemistry for fixed abrasive cmp
US6572453B1 (en) Multi-fluid polishing process

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2002546644

Country of ref document: JP

122 Ep: pct application non-entry in european phase