WO2002056349A2 - Chamber for uniform substrate heating - Google Patents

Chamber for uniform substrate heating Download PDF

Info

Publication number
WO2002056349A2
WO2002056349A2 PCT/US2001/050262 US0150262W WO02056349A2 WO 2002056349 A2 WO2002056349 A2 WO 2002056349A2 US 0150262 W US0150262 W US 0150262W WO 02056349 A2 WO02056349 A2 WO 02056349A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
heating
heat
substrate
substrates
Prior art date
Application number
PCT/US2001/050262
Other languages
French (fr)
Other versions
WO2002056349A3 (en
Inventor
Quanyuan Shang
Janine Kardokus
Akihiro Hosokawa
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP01998097A priority Critical patent/EP1346400A2/en
Priority to JP2002556921A priority patent/JP2004527900A/en
Priority to KR1020037008591A priority patent/KR100614327B1/en
Publication of WO2002056349A2 publication Critical patent/WO2002056349A2/en
Publication of WO2002056349A3 publication Critical patent/WO2002056349A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • aspects of the invention generally relate to an apparatus and method for heat processing substrates.
  • FPD flat panel displays
  • TFT thin film transistors
  • liquid crystal cells metal interconnects and other features are formed by depositing and removing multiple layers of conducting, semiconducting and dielectric materials from a glass substrate.
  • the various features formed are integrated into a system that collectively is used to create, for example, active matrix display screens in which display states are electrically created in individual pixels on the FPD.
  • Processing techniques used to create the FPD include plasma-enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), etching, and the like.
  • PECVD plasma-enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • etching and the like.
  • Plasma processing is particularly well suited for the production of flat panel displays because of the relatively lower processing temperatures required to deposit film and good film quality which results from plasma processes.
  • LTPS low temperature poly silicon
  • ⁇ -Si amorphous silicon
  • the film heating process is highly temperature sensitive as temperature non-uniformity may cause insufficient removal of unwanted contaminates, resulting in peeling and ablation of the film. To compensate for temperature non-uniformity heating process times must be extended. Unfortunately, extending the heating process times increases the production cost and often results in unusable films if the process is not completed.
  • substrates of 1 meter x 1 meter are contemplated.
  • more heating elements are used, thereby increasing the cost of the equipment, energy usage, and temperature non-uniformity.
  • copper heating elements are often employed to offset energy costs and provide efficient heating. Copper heaters are generally more energy efficient than other types of heating elements. Unfortunately, as the temperatures are increased, copper atoms from the copper heaters often escape into the heating chamber and contaminate the film. Thus, traditional heating chambers and heating processes do not provide acceptably uniform and contaminant-free substrate heating for an efficient and cost effective substrate heating process.
  • Embodiments of the invention generally provide for the uniform heating of substrates within a heating chamber for use with substrate processing systems.
  • substrates are uniformly heated within an insulated chamber having a body, a bottom portion, and a lid.
  • the chamber also includes a heat reflector disposed within the chamber, a heater disposed within the chamber adjacent to the heat reflector and a plurality of heated supports movably disposed within the chamber to support at least two substrates within the chamber.
  • a method for uniformly heating substrates comprising supporting a plurality of substrates on a plurality of heated supports within a chamber slightly larger than and shaped to conform to the shape of the substrate support, providing a process temperature between about 450°C and about 600°C, providing a vacuum within the chamber, and uniformly heating the substrates to a uniform temperature.
  • Figure 1 is a plan view of a processing system including the heating chamber of the invention.
  • Figure 2 is a perspective view of one embodiment of the heating chamber of Figure 1.
  • Figure 3 is a partial cross-sectional view of the heating chamber of Figure 1 illustrating an upper and lower bell jar configuration.
  • Figure 4 is a partial cross-sectional view of the heating chamber and transfer chamber of Figure 1.
  • Figure 5 is a partial cross-sectional view of the heating chamber of Figure 1 illustrating the body, heat reflector, and heater.
  • Figure 6 is a top cross-sectional view of the heating chamber of Figure 5.
  • Figure 7 is a side view of a heater used with the heating chamber of Figure 5.
  • Figure 8 is a partial cross-section of a heater used with the heating chamber of Figure 5.
  • Figure 9 is a perspective view of a heated substrate support used with the heating chamber of Figure 5.
  • Figure 10 is a top view of a heated substrate support used with the heating chamber of Figure 5.
  • Figure 11 is a temperature contour of a substrate undergoing heat treatment within the heating chamber of Figure 5.
  • Embodiments of the invention have particular advantages in a multi- chamber processing system also known as a cluster tool, commonly used in the semiconductor industry and well suited for supporting the substrate-heating chamber described herein.
  • a cluster tool is a modular system comprising multiple chambers that perform various functions including substrate heating, center-finding and orientation, annealing, deposition and/or etching.
  • the multiple chambers are mounted to a central transfer chamber which houses a robot adapted to shuttle substrates between the chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • FIG. 1 is a plan view of a typical processing system 100 for semiconductor processing wherein the invention may be used to advantage.
  • the processing system 100 generally comprises a plurality of chambers and robots and is preferably equipped with a process system controller 102 programmed to carry out the various processing methods performed in the processing system 100.
  • a front- end environment 104 is shown positioned in selective communication with a pair of load lock chambers 106.
  • Pod loaders 108A-B disposed in the front-end environment 104 are capable of linear, rotational, and vertical movement to shuttle substrates between the load locks 106 and a plurality of pods 105 which are mounted on the front-end environment 104.
  • the load locks 106 provide a first vacuum interface between the front-end environment 104 and a transfer chamber 110. Two load locks 106 are provided to increase throughput by alternatively communicating with the transfer chamber 110 and the front-end environment 104. Thus, while one load lock 106 communicates with the transfer chamber 110, a second load lock 106 communicates with the front- end environment 104.
  • a robot 113 is centrally disposed in the transfer chamber 110 to transfer substrates from the load locks 106 to one of the various processing chambers 114 or holding chambers 116.
  • the processing chambers 114 are adapted to perform any number of processes such as film deposition, annealing, etching, and the like while the holding chambers 116 adapted for, orientation, cool down and the like.
  • a heating chamber 140 used to heat substrates during a heat process such as hydrogen removal and annealing is disposed within processing system 100.
  • the heating chamber 140 is located typically disposed within the processing system 100 in the most efficient processing position but may be located anywhere within processing system 100. For example, the heating process step may follow a deposition process step. Therefore, to minimize the movement of the robot 113, the heating chamber 140 may be located adjacent to one of the processing chambers 114 used for a deposition process step.
  • FIG. 2 is a perspective view of the heating chamber 140 comprising an upper section 215 (e.g., upper bell jar) and a lower section 217 (e.g., lower bell jar) where the upper section 215 is separated from the lower section 217 by a connecting body 230 having a loading window 235.
  • the upper and lower sections 215, 217 are sealably attached to and generally symmetrical and coaxial about the connecting body 230.
  • the upper section 215 and lower section 217 may be sealed to connecting body 230 using frictional fit, using sealing materials such as gaskets or putty adapted to withstand high temperatures, or by using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like that are process resistant and free of contaminates such as copper.
  • the upper section 215 and lower section 217 may be connected to connecting body 230 by conventional means such as welding, or by using bolts, clamps or other fasteners as are known in the art.
  • the heating chamber 140 is mounted on a mounting frame 255 to provide support for the upper section 215 and lower section 217.
  • the mounting frame 255 may comprise rotatably mounted casters 245, 246, and 247 on a lower end for moving the heating chamber 140.
  • the mounting frame 255 may be attached to the heating chamber 140 and connecting body 230 by conventional means such as bolts, clamps or other fasteners as are known in the art. While the heating chamber 140 is preferably mounted on frame 255, the heating chamber 140 may be mounted to and supported by the transfer chamber 110 using fasteners such as screws, bolts, clips, and the like.
  • a motor 285 used with the transportation of substrates within the heating chamber 140 may be attached to the heating chamber 140 using fasteners such as screws, bolts, clips, and the like.
  • the motor 285 is rotatably coupled to a lead screw 288.
  • the lead screw 288 is rotatably coupled to a platform 287 slidably coupled to the frame 255. When the lead screw 288 is rotated by the motor 285, the platform 287 is vertically raised or lowered.
  • a thermal insulating layer may be used to enclose, or wrap, the heating chamber 140 to minimize heat loss from the heating chamber 140.
  • the thermal insulating layer may comprise insulators such as fiberglass, ceramic fiber, asbestos, or other materials adapted to provide insulation from heat loss.
  • the insulating layer comprises a flexible insulating ceramic fiber blanket having a thermal conductivity of less than about 0.035 watt/m°K and stabilizes at a surface temperature of about 30°C.
  • FIG 3 is a cross-section of one embodiment of a heating chamber 140 of the invention adapted for substrate heat processing.
  • the heating chamber 140 comprises a body 305, a lid 335 and bottom 316 disposed on the body 305 and defining a cavity 307 for heating a plurality of substrates 328 therein.
  • the body 305 is formed of process resistant materials such as aluminum, steel, nickel, and the like, adapted to withstand process temperatures and is generally free of contaminates such as copper.
  • the body 305 may comprise a gas inlet 360 extending into the cavity 307 for connecting the heating chamber 140 to a process gas supply (not shown) for delivery of processing gases therethrough.
  • a vacuum pump 390 may be coupled to the cavity 307 through a vacuum port 392 to maintain a vacuum within the cavity 307.
  • a substrate cassette 310 is moveably disposed within the cavity 307 and is coupled to an upper end of a movable member 330.
  • the moveable member 330 is comprised of process resistant materials such as aluminum, steel, nickel, and the like, adapted to withstand process temperatures and generally free of contaminates such as copper.
  • the movable member 330 enters the cavity 307 through the bottom 316.
  • the movable member 330 is slidably and sealably disposed through the bottom 316 and is raised and lowered by the platform 287.
  • the platform 287 supports a lower end of the movable member 330 such that the movable member 330 is vertically raised or lowered in conjunction with the raising or lowering of the platform 287.
  • the movable member 330 vertically raises and lowers the cassette 310 within the cavity 307 to move the substrates 328 across a substrate transfer plane 332 extending through the window 235.
  • the substrate transfer plane 332 is defined by the path along which substrates are moved into and out of the cassette 310 by the robot 113.
  • the cassette 310 comprises a plurality of substrate-heating shelves 336 supported by a frame 325.
  • Figure 3 illustrates twelve substrate-heating shelves 336 within cassette 310, it is contemplated that any number of shelves may be used.
  • Each substrate-heating shelf 336 comprises a heated substrate support 340 (e.g., heating plate) connected by brackets 317 to the frame 325.
  • the brackets 317 connect the edges of the heated substrate support 340 to the frame 325 and may be attached to both the frame 325 and heated substrate support 340 using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and are free of contaminates such as copper.
  • the frame 325 and brackets 317 are comprised of process resistant materials such as ceramics, aluminum, steel, nickel, and the like that are process resistant and are generally free of contaminates such as copper. While the frame 325 and brackets 317 may be separate items, it is contemplated that the brackets 317 may be integral to the frame 325 to form support members for the heated substrate supports 340. While, in one aspect, the heated substrate supports 340 are conformal to and slightly larger than the substrates 328 to maximize heating efficiency by applying a majority of the heat to the substrate 328, it is contemplated that the heated support 340 may be of any shape adapted to provide desired substrate heating. For example, in one embodiment the heated support 340 may be considerably larger than the substrate 328 to ensure that the, substrate 328 is fully exposed to the heat from the support 340. Alternatively, the heated support 340 may be formed to accommodate substrates 328 of various sizes.
  • the substrate-heating shelves 336 are spaced vertically apart and parallel within the cassette 310 to define a plurality of substrate-heating spaces 322. Each substrate-heating space 322 is adapted to heat at least one substrate 328 therein supported on a plurality of support pins 342.
  • the substrate-heating shelves 336 above and below each substrate 328 establish the upper and lower boundary of the substrate-heating space 322 such that the top and bottom sides of the substrate 328 are exposed to heat.
  • the upper and lower boundaries are equidistant from the substrate 328 in order to ensure uniform heating of both sides of the substrate 328.
  • the upper boundary for the top heating space 322 is established by an empty heated substrate support 340.
  • the spacing and substrate position may be adjusted to accommodate different heating requirements for different processes such as annealing, hydrogen removal, and the like.
  • the spacing between the upper and lower boundary of the heating space 322 may be adjusted to increase or decrease the rate of heating, and the amount of heat applied to each substrate side.
  • the spacing between the upper and lower boundary of the heating space 322 can be spaced more narrowly to increase the radiant energy from the heated substrate supports 340 to thereby increase the temperature and rate of heating, or spaced further apart to reduce the incident radiant energy, thereby lowering the substrate temperature and slowing the heating of the substrate 328.
  • the substrate 328 may be positioned closer to either the upper or the lower boundary to provided differing amounts of heating to either side of the substrate 328.
  • the spacing between the upper and lower boundary of the heating space 322 may be adjusted to heat the substrate 328 at a desired rate and temperature while allowing the cassette 310 to hold as many substrate-heating shelves 336 as possible.
  • the spacing between the upper and lower boundary is about 45mm. The inventors believe that the about 45mm spacing between the upper and lower boundary provides for adequate space to receive a substrate 328, uniform substrate heating, and efficient space utilization within the chamber 307 to maximize the number of substrate-heating shelves 336.
  • FIG 4 illustrates a cross-sectional view of the heating chamber 140 and transfer chamber 110.
  • the heating chamber 140 is positioned so that the window 235 is registered with an opening 109 formed in the sidewall of transfer chamber 110. In such a position, the transfer chamber opening 109 and the window 235 define a substrate transfer aperture 372 through which substrates 328 may be transferred by robot 113.
  • the substrate transfer aperture 372 is selectively sealed by a sealing apparatus such as a gate valve or slit valve (not shown).
  • the robot 113 receives a substrate 328 on a blade 118 supported on arms 111 from the processing system 100 via the transfer chamber 110 through the substrate transfer aperture 372.
  • the blade 118 is positioned to deliver the substrate 328 to the heating chamber 140 through the substrate transfer aperture 372.
  • the cassette 310 is moved vertically up or down to position an empty heating space 322 inline with the substrate transfer plane 332 to receive the substrate 328.
  • the arms 111 are extended through the substrate transfer aperture 372 to dispose the substrate 328 within the heating chamber 140 and subsequently dispose the substrate 328 within cassette 310.
  • the arms 111 extend the substrate 328 into the heating space 322 and position the substrate 328 above the pins 342.
  • the cassette 310 moves vertically until the pins 342 contact the substrate surface, lifting the substrate 328 off the blade 118. Subsequently the arms 111 and blade 118 are retracted back to the transfer chamber 110.
  • the arms 111 and blade 118 move vertically downwardly until the substrate 328 contacts the pins 342.
  • the arms 111 and blade 118 continue to move downwardly until the substrate 328 is fully supported by the pins 342.
  • FIG. 5 is a cross-section top view of the heating chamber 140 illustrating one embodiment of the invention.
  • the cavity 307 holds a plurality of substrates 328
  • the cavity 307 is typically larger in volume than chambers such as processing chambers 114 and holding chamber 116, which usually hold only one substrate 328. Because of the increased volume of the cavity 307, external atmospheric pressures on the chamber 140 under vacuum may be considerable.
  • the cavity 307 is preferably semi-round in shape and is conformal with and slightly larger than the cassette 310. In other embodiments, it is contemplated that the shape of the cavity 307 may be round, square, or any shape adapted to accommodate the substrate 328 and to have sufficient structural integrity to withstand the external atmospheric pressures.
  • FIG. 6 is a partial cross-sectional view of the heating chamber 140.
  • a heat reflector 320 is disposed within cavity 307 and spaced adjacent an inner surface 311 of body 305, forming a reflective surface within the cavity 307.
  • the heat reflector 320 is adapted to minimize conductive heat losses through the body 305 by providing radiant heat insulation between the cavity 307 and the inner surface 311.
  • the heat reflector 320 reflects radiated heat within the cavity 307 away from the inner surface 311 and toward the center of the cavity 307.
  • the heat reflector 320 may comprise a single layer. Alternatively, the heat reflector 320 may comprise multiple layers, or several pieces combined to form a unified body.
  • the heat reflector 320 typically comprises heat conductors such as aluminum, nickel, steel, and the like that are process resistant and generally free of contaminates such as copper.
  • the heat reflector 320 comprises insulators such as metal plated ceramics, glass, and the like that are process resistant and generally free of contaminates such as copper.
  • the heat reflector 320 comprises an inner heat reflective surface 327 plated with aluminum, nickel, gold, or other surfaces adapted to reflect heat and that are process resistant and generally free of contaminates such as copper.
  • the heat reflector 320 may be attached to the inner surface 311 using several methods such as bonding to the inner surface 311 using pressure sensitive adhesives, ceramic bonding, glue, and the like, or by fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper. Additionally, the heat reflector 320 can be deposited on the inner surface 311 using techniques such as electroplating, sputtering, anodizing, and the like. In one embodiment, the heat reflector 320 is spaced from the inner surface 311 using insulated fasteners such as insulated screws, bolts, clips, and the like, forming a gap therebetween the inner surface 311 and the heat reflector 320.
  • insulated fasteners such as insulated screws, bolts, clips, and the like
  • a heater 315 is disposed within the cavity 307 between the heat reflector 320 and the cassette 310.
  • the heater 315 is adapted to form a heating member conforming to and surrounding the cassette 310.
  • the heater 315 comprises one or more heating elements such as resistive heaters, heating lamps, and the like disposed within a layer, or layers, of heat conducting materials such as nickel, steel, aluminum, and the like that radiate heat.
  • the inside surface 331 of the heater 315 is preferably bead blasted or anodized to provided a higher heat emissivity to improve the transmission of radiated heat within the cavity 307, other types of surface conditioning adapted to provided greater surface emissivity may be used.
  • the outer surface 333 of the heater 315 is polished to provide a low emissivity, thereby minimizing the transmission of radiated heat to the chamber body 305.
  • the heater 315 is activated by a power source (not shown) and heated to a desired temperature.
  • a gap is established between the heater 315 and the heat reflector 320 to minimize heat transference via conduction to the heat reflector 320, the heater 315 may be in direct contact with heat reflector 320.
  • FIGs 7 and 8 illustrate one embodiment of the heater 315 that may be used to advantage.
  • the heater 315 comprises a jacket 319 comprising thermally conducting materials such as aluminum, nickel, steel, and the like adapted to uniformly radiate heat within the cavity 307 and that are process resistant and generally free of contaminates such as copper.
  • a continuous heating element 317 is disposed within slot 314 formed within the jacket 319. The continuous heating element 317 is adapted to radiate heat within the jacket 319.
  • the continuous heating element 317 may be secured within slot 314 by frictional fit, by welding, using fill materials 313 generally free of contaminates such as copper and/or silver, or by using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper.
  • the continuous heating element 317 has a higher coefficient of expansion than that of the jacket 319.
  • a pair of couplings 318 are connected to a power source (not shown), such as an external power supply, to provide power to the continuous heating element 317.
  • a power source such as an external power supply
  • the continuous heating element 317 be formed as a unified and homogenous heating member to provided uniform heating throughout the jacket 319
  • a plurality of individual heating elements such as restive heaters, lamps and the like, may be coupled together to form the continuous heating element 317.
  • the jacket 319 may be heated by a plurality of the individual heaters dispersed and coupled discretely throughout jacket 319.
  • the heater 315 may be secured within the cavity 307 using any of several methods.
  • the heater 315 may be attached to the inner surface 311 using attachment methods such as bonding using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper.
  • the heater 315 comprises an upper portion having a mounting flange 312 for mounting the heater 315 to the body 305.
  • the mounting flange 312 be integral to the heater 315, the mounting flange 312 may be a separate component.
  • the mounting flange 312 may be attached to the body 305 using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper.
  • adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper.
  • Figure 9 illustrates one embodiment of the invention where the heated substrate support 340 and the support pins 342 space and support the substrate 328 thereon forming a lower portion of the heating space 322.
  • the number of support pins 342 is at least six, having four support pins 342 spaced substantially uniformly on the substrate outer periphery to fully support the edges and two support pins 342 adjacent the middle of the substrate 328, as illustrated in Figure 5.
  • any number of support pins 342 may be used in any configuration adapted to support the substrate 328.
  • the supporting pins 342 preferably comprises insulators such as polymers, ceramics, and the like with a cross section adapted to minimize contact with the substrate 328 and to prevent conduction between the heated substrate support 340 and the substrate 328.
  • the supporting pins 342 may also comprise conductors such as steel, aluminum, nickel, and the like having a sufficiently small surface area to minimize conduction, that are process resistant, and generally free from contaminates such as copper.
  • the support pins 324 comprise a pointed tip to minimize contact with substrate 328
  • the support pins 328 may have any tip cross section and profile adapted to support the substrate 328 such as rounded tip, square tip, flat tip, and the like adapted to minimize heat conduction to the heated substrate support 340.
  • FIG 10 is a top view of the heated substrate support 340 comprising a plurality of plate heaters 347 disposed within a layer of thermally and electrically insulating material such as fiberglass, glass, ceramic, asbestos, and the like.
  • the plate heaters 347 may be resistive heaters, radiant lamps, and the like.
  • the plate heaters 347 may be activated by power supplied by a power source (not shown) such as an external power supply coupled through connectors 345.
  • a power source such as an external power supply coupled through connectors 345.
  • the temperature across the substrate surfaces varies as a function of the substrate body heat migration due to convection and conduction within the chamber 140, proximity to the heated substrate support 340, the support pins 342, the heater 315, and the overall thermal profile within the cavity 307.
  • the plate heaters 347 are patterned to provide a radiant heating profile to match and compensate for substrate thermal losses, i.e. the substrate heat loss profile.
  • the plate heaters 347 illustrated in Figure 10 are spaced closer together near the corners than the middle of the heated substrate support 340 to provide more concentrated heat to the corners and edges of the substrate 328 where a substantial amount of conductive and/or radiated heat loss occurs.
  • heat typically tends to radiate from the substrate edges it is contemplated that the patterned heating profile may be adapted to encompass any variation in the substrate heat loss profile.
  • the plate heaters 347 may be adapted to provide a variable amount of heat output by varying their size, spacing, resistivity, illumination, input power, and the like to more closely fit the substrate heat loss profile.
  • the heated substrate support 340 is spaced from the substrate 328 by the support pins 342 as shown in Figures 3, 4, and 6 to allow the radiated heat between the lower surface of the substrate 328 and upper surface of the heated support to intermix.
  • the spacing between the heated substrate support 340 and the substrate 328 is about 20mm, other spacings are contemplated.
  • the radiant heat from the heated substrate support 340 intermixes before heating the substrate 328, thereby minimizing hotspots defined by the plate heater configuration
  • the substrate 328 may be laid directly on a heated substrate support 340 with plate heaters adapted to substantially match the substrate heat loss profile.
  • the heating chamber 140 heating process is initiated by the robot 113 placing the substrate 328 via window 235 within cavity 307 on a heated substrate support 340.
  • An inert process gas such as nitrogen, is flowed into the cavity 307 through the gas inlet 360 and is maintained at a required chamber pressure by the vacuum pump 390.
  • the process gas may be an active process gas, such as fluorine, adapted for a particular process.
  • the cavity 307 is heated with radiant heat by the heater 315 and heated substrate support 340, or heater 315 alone, in cooperation with the heat reflector 320, to a desired ambient level sufficient to provide a uniform substrate heating profile.
  • the individual substrates 328 are uniformly heated to a substrate body temperature between about 350°C to about 600°C.
  • the temperature variation referenced to a temperature on the substrate body is about between +/- 5°C and about +/- 10°C.
  • the heating chamber 140 heating process is initiated by the robot 113 placing the substrate 328 via window 235 within cavity 307 on a heated substrate support 340.
  • a vacuum within the cavity 307 is provided by vacuum pump 390 at about 0 to about 0.5 Torr.
  • a process gas such as nitrogen is flowed into the cavity 307 through the gas inlet 360 and is maintained at chamber pressure at about 0.0 Torr to about 0.5 Torr by the vacuum pump 390.
  • Heat is applied to the substrates via heater 315 and heated supports 340 to heat each substrate uniformly to a temperature of about 450°C to about 600°C each.
  • Each substrate maintains a normalized heating profile of about +/- 5 °C at a substrate body temperature of about 450°C to about +/- 10°C at a substrate body temperature of about 600°C.
  • Figure 11 is an illustrative temperature contour map of a substrate 328 illustrating the normalized temperature variation across the body of the substrate 328, using the perimeter temperature as the normalizing value, during heat processing at about 500°C.
  • Region, 350A is the reference region and therefore has a zero temperature variance.
  • Region, 350B has about a +/- 1°C normalized temperature variation.
  • Region 350C has about a +/- 2°C normalized temperature variation.
  • Region 350D has about a +/- 3°C normalized temperature variation.
  • Region 350E has about a +/- 5°C normalized temperature variation.
  • the normalized temperature variation across the substrate 328 is about +/- 5°C.

Abstract

Embodiments of the invention generally provide an apparatus and a method for providing a uniform thermal profile to a plurality of substrates during heat processing. In one embodiment, a cassette containing one or more heated substrate supports is moveably disposed within a heating chamber having an about uniform thermal profile therein to more uniformly heat the substrates.

Description

CHAMBER FOR UNIFORM SUSBTRATE HEATING
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims benefit of United States provisional Patent Application Serial Number 60/259,035, filed December 29, 2000, which is herein incorporated by reference.
BACKGROUND OF THE INVENTION
Field of the Invention
[0002] Aspects of the invention generally relate to an apparatus and method for heat processing substrates.
Background of the Related Art
[0003] In the fabrication of flat panel displays (FPD), thin film transistors (TFT) and liquid crystal cells, metal interconnects and other features are formed by depositing and removing multiple layers of conducting, semiconducting and dielectric materials from a glass substrate. The various features formed are integrated into a system that collectively is used to create, for example, active matrix display screens in which display states are electrically created in individual pixels on the FPD. Processing techniques used to create the FPD include plasma-enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), etching, and the like. Plasma processing is particularly well suited for the production of flat panel displays because of the relatively lower processing temperatures required to deposit film and good film quality which results from plasma processes.
[0004] During FPD processing, proper heat processing of the film across the entire surface of the substrate is critical for the FPD to function properly. The heating temperature required varies depending on the type of film being processed, and process being performed. For example, one exemplary type of flat panel display film used in the construction of FPDs is low temperature poly silicon (LTPS). Part of the LTPS film processing requires the LTPS film be heated up to about 600°C to remove hydrogen from the film whereas a similar heat treatment for amorphous silicon (α-Si) film requires a substantially lower temperature of up to 450°C. [0005] Generally, the film heating process is highly temperature sensitive as temperature non-uniformity may cause insufficient removal of unwanted contaminates, resulting in peeling and ablation of the film. To compensate for temperature non-uniformity heating process times must be extended. Unfortunately, extending the heating process times increases the production cost and often results in unusable films if the process is not completed.
[0006] Conventional heating chambers provide heat processing by heating one or more substrates through a combination of gas conduction and heat radiation. Unfortunately, the chamber walls and other internal chamber components provide heat conduction paths within the chamber resulting in conductive heat losses. The conductive heat losses create a constantly fluctuating substrate-heating environment. As the temperatures are increased, conductive heat losses become more pronounced, exacerbating the heat non-uniformity within the substrate-heating environment. Moreover, conventional heating chambers are often very large to accommodate the substrate perimeter, further exacerbating the heating issues by increasing the area and volume to be heated. For example, as the demand for larger computer displays, monitors, flat-screen televisions, and the like increases a typical substrate may be 620mm x 750mm, or larger. For instance, substrates of 1 meter x 1 meter are contemplated. Typically, to compensate for the larger substrates, larger chamber volumes, and the subsequent increase in heat losses, more heating elements are used, thereby increasing the cost of the equipment, energy usage, and temperature non-uniformity. As temperatures increase, copper heating elements are often employed to offset energy costs and provide efficient heating. Copper heaters are generally more energy efficient than other types of heating elements. Unfortunately, as the temperatures are increased, copper atoms from the copper heaters often escape into the heating chamber and contaminate the film. Thus, traditional heating chambers and heating processes do not provide acceptably uniform and contaminant-free substrate heating for an efficient and cost effective substrate heating process.
[0007] Therefore, there is a need for a method and apparatus for uniformly heat processing a plurality of substrates in an efficient contaminate-free heat processing system. Summary Of The Invention
[0008] Embodiments of the invention generally provide for the uniform heating of substrates within a heating chamber for use with substrate processing systems. In one aspect of the invention, substrates are uniformly heated within an insulated chamber having a body, a bottom portion, and a lid. The chamber also includes a heat reflector disposed within the chamber, a heater disposed within the chamber adjacent to the heat reflector and a plurality of heated supports movably disposed within the chamber to support at least two substrates within the chamber.
[0009] In another aspect of the invention, a method is provided for uniformly heating substrates, comprising supporting a plurality of substrates on a plurality of heated supports within a chamber slightly larger than and shaped to conform to the shape of the substrate support, providing a process temperature between about 450°C and about 600°C, providing a vacuum within the chamber, and uniformly heating the substrates to a uniform temperature.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] So that the manner in which the recited embodiments of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
[0011] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0012] Figure 1 is a plan view of a processing system including the heating chamber of the invention.
[0013] Figure 2 is a perspective view of one embodiment of the heating chamber of Figure 1.
[0014] Figure 3 is a partial cross-sectional view of the heating chamber of Figure 1 illustrating an upper and lower bell jar configuration. [0015] Figure 4 is a partial cross-sectional view of the heating chamber and transfer chamber of Figure 1.
[0016] Figure 5 is a partial cross-sectional view of the heating chamber of Figure 1 illustrating the body, heat reflector, and heater.
[0017] Figure 6 is a top cross-sectional view of the heating chamber of Figure 5.
[0018] Figure 7 is a side view of a heater used with the heating chamber of Figure 5.
[0019] Figure 8 is a partial cross-section of a heater used with the heating chamber of Figure 5.
[0020] Figure 9 is a perspective view of a heated substrate support used with the heating chamber of Figure 5.
[0021] Figure 10 is a top view of a heated substrate support used with the heating chamber of Figure 5.
[0022] Figure 11 is a temperature contour of a substrate undergoing heat treatment within the heating chamber of Figure 5.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT [0023] Embodiments of the invention have particular advantages in a multi- chamber processing system also known as a cluster tool, commonly used in the semiconductor industry and well suited for supporting the substrate-heating chamber described herein. A cluster tool is a modular system comprising multiple chambers that perform various functions including substrate heating, center-finding and orientation, annealing, deposition and/or etching. The multiple chambers are mounted to a central transfer chamber which houses a robot adapted to shuttle substrates between the chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
[0024] Figure 1 is a plan view of a typical processing system 100 for semiconductor processing wherein the invention may be used to advantage. The processing system 100 generally comprises a plurality of chambers and robots and is preferably equipped with a process system controller 102 programmed to carry out the various processing methods performed in the processing system 100. A front- end environment 104 is shown positioned in selective communication with a pair of load lock chambers 106. Pod loaders 108A-B disposed in the front-end environment 104 are capable of linear, rotational, and vertical movement to shuttle substrates between the load locks 106 and a plurality of pods 105 which are mounted on the front-end environment 104.
[0025] The load locks 106 provide a first vacuum interface between the front-end environment 104 and a transfer chamber 110. Two load locks 106 are provided to increase throughput by alternatively communicating with the transfer chamber 110 and the front-end environment 104. Thus, while one load lock 106 communicates with the transfer chamber 110, a second load lock 106 communicates with the front- end environment 104.
[0026] A robot 113 is centrally disposed in the transfer chamber 110 to transfer substrates from the load locks 106 to one of the various processing chambers 114 or holding chambers 116. The processing chambers 114 are adapted to perform any number of processes such as film deposition, annealing, etching, and the like while the holding chambers 116 adapted for, orientation, cool down and the like. A heating chamber 140 used to heat substrates during a heat process such as hydrogen removal and annealing is disposed within processing system 100. The heating chamber 140 is located typically disposed within the processing system 100 in the most efficient processing position but may be located anywhere within processing system 100. For example, the heating process step may follow a deposition process step. Therefore, to minimize the movement of the robot 113, the heating chamber 140 may be located adjacent to one of the processing chambers 114 used for a deposition process step.
[0027] Figure 2 is a perspective view of the heating chamber 140 comprising an upper section 215 (e.g., upper bell jar) and a lower section 217 (e.g., lower bell jar) where the upper section 215 is separated from the lower section 217 by a connecting body 230 having a loading window 235. The upper and lower sections 215, 217 are sealably attached to and generally symmetrical and coaxial about the connecting body 230. The upper section 215 and lower section 217 may be sealed to connecting body 230 using frictional fit, using sealing materials such as gaskets or putty adapted to withstand high temperatures, or by using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like that are process resistant and free of contaminates such as copper. The upper section 215 and lower section 217 may be connected to connecting body 230 by conventional means such as welding, or by using bolts, clamps or other fasteners as are known in the art.
[0028] The heating chamber 140 is mounted on a mounting frame 255 to provide support for the upper section 215 and lower section 217. In one aspect, the mounting frame 255 may comprise rotatably mounted casters 245, 246, and 247 on a lower end for moving the heating chamber 140. The mounting frame 255 may be attached to the heating chamber 140 and connecting body 230 by conventional means such as bolts, clamps or other fasteners as are known in the art. While the heating chamber 140 is preferably mounted on frame 255, the heating chamber 140 may be mounted to and supported by the transfer chamber 110 using fasteners such as screws, bolts, clips, and the like.
[0029] A motor 285 used with the transportation of substrates within the heating chamber 140, may be attached to the heating chamber 140 using fasteners such as screws, bolts, clips, and the like. The motor 285 is rotatably coupled to a lead screw 288. The lead screw 288 is rotatably coupled to a platform 287 slidably coupled to the frame 255. When the lead screw 288 is rotated by the motor 285, the platform 287 is vertically raised or lowered.
[0030] In one embodiment, a thermal insulating layer (not shown) may be used to enclose, or wrap, the heating chamber 140 to minimize heat loss from the heating chamber 140. The thermal insulating layer may comprise insulators such as fiberglass, ceramic fiber, asbestos, or other materials adapted to provide insulation from heat loss. In one embodiment, the insulating layer comprises a flexible insulating ceramic fiber blanket having a thermal conductivity of less than about 0.035 watt/m°K and stabilizes at a surface temperature of about 30°C.
[0031] Figure 3 is a cross-section of one embodiment of a heating chamber 140 of the invention adapted for substrate heat processing. The heating chamber 140 comprises a body 305, a lid 335 and bottom 316 disposed on the body 305 and defining a cavity 307 for heating a plurality of substrates 328 therein. In one aspect, the body 305 is formed of process resistant materials such as aluminum, steel, nickel, and the like, adapted to withstand process temperatures and is generally free of contaminates such as copper. The body 305 may comprise a gas inlet 360 extending into the cavity 307 for connecting the heating chamber 140 to a process gas supply (not shown) for delivery of processing gases therethrough. In another aspect, a vacuum pump 390 may be coupled to the cavity 307 through a vacuum port 392 to maintain a vacuum within the cavity 307.
[0032] A substrate cassette 310 is moveably disposed within the cavity 307 and is coupled to an upper end of a movable member 330. The moveable member 330 is comprised of process resistant materials such as aluminum, steel, nickel, and the like, adapted to withstand process temperatures and generally free of contaminates such as copper. The movable member 330 enters the cavity 307 through the bottom 316. The movable member 330 is slidably and sealably disposed through the bottom 316 and is raised and lowered by the platform 287. The platform 287 supports a lower end of the movable member 330 such that the movable member 330 is vertically raised or lowered in conjunction with the raising or lowering of the platform 287. The movable member 330 vertically raises and lowers the cassette 310 within the cavity 307 to move the substrates 328 across a substrate transfer plane 332 extending through the window 235. The substrate transfer plane 332 is defined by the path along which substrates are moved into and out of the cassette 310 by the robot 113.
[0033] The cassette 310 comprises a plurality of substrate-heating shelves 336 supported by a frame 325. Although in one aspect, Figure 3 illustrates twelve substrate-heating shelves 336 within cassette 310, it is contemplated that any number of shelves may be used. Each substrate-heating shelf 336 comprises a heated substrate support 340 (e.g., heating plate) connected by brackets 317 to the frame 325. The brackets 317 connect the edges of the heated substrate support 340 to the frame 325 and may be attached to both the frame 325 and heated substrate support 340 using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and are free of contaminates such as copper. The frame 325 and brackets 317 are comprised of process resistant materials such as ceramics, aluminum, steel, nickel, and the like that are process resistant and are generally free of contaminates such as copper. While the frame 325 and brackets 317 may be separate items, it is contemplated that the brackets 317 may be integral to the frame 325 to form support members for the heated substrate supports 340. While, in one aspect, the heated substrate supports 340 are conformal to and slightly larger than the substrates 328 to maximize heating efficiency by applying a majority of the heat to the substrate 328, it is contemplated that the heated support 340 may be of any shape adapted to provide desired substrate heating. For example, in one embodiment the heated support 340 may be considerably larger than the substrate 328 to ensure that the, substrate 328 is fully exposed to the heat from the support 340. Alternatively, the heated support 340 may be formed to accommodate substrates 328 of various sizes.
[0034] The substrate-heating shelves 336 are spaced vertically apart and parallel within the cassette 310 to define a plurality of substrate-heating spaces 322. Each substrate-heating space 322 is adapted to heat at least one substrate 328 therein supported on a plurality of support pins 342. The substrate-heating shelves 336 above and below each substrate 328 establish the upper and lower boundary of the substrate-heating space 322 such that the top and bottom sides of the substrate 328 are exposed to heat. In one embodiment, the upper and lower boundaries are equidistant from the substrate 328 in order to ensure uniform heating of both sides of the substrate 328. To ensure heating of the top substrate 328 in the cassette 310, the upper boundary for the top heating space 322 is established by an empty heated substrate support 340. In another embodiment, the spacing and substrate position may be adjusted to accommodate different heating requirements for different processes such as annealing, hydrogen removal, and the like. The spacing between the upper and lower boundary of the heating space 322 may be adjusted to increase or decrease the rate of heating, and the amount of heat applied to each substrate side. For example, the spacing between the upper and lower boundary of the heating space 322 can be spaced more narrowly to increase the radiant energy from the heated substrate supports 340 to thereby increase the temperature and rate of heating, or spaced further apart to reduce the incident radiant energy, thereby lowering the substrate temperature and slowing the heating of the substrate 328. Moreover, the substrate 328 may be positioned closer to either the upper or the lower boundary to provided differing amounts of heating to either side of the substrate 328. In one aspect, to increase production efficiency, the spacing between the upper and lower boundary of the heating space 322 may be adjusted to heat the substrate 328 at a desired rate and temperature while allowing the cassette 310 to hold as many substrate-heating shelves 336 as possible. In one aspect, the spacing between the upper and lower boundary is about 45mm. The inventors believe that the about 45mm spacing between the upper and lower boundary provides for adequate space to receive a substrate 328, uniform substrate heating, and efficient space utilization within the chamber 307 to maximize the number of substrate-heating shelves 336.
[0035] Figure 4 illustrates a cross-sectional view of the heating chamber 140 and transfer chamber 110. The heating chamber 140 is positioned so that the window 235 is registered with an opening 109 formed in the sidewall of transfer chamber 110. In such a position, the transfer chamber opening 109 and the window 235 define a substrate transfer aperture 372 through which substrates 328 may be transferred by robot 113. The substrate transfer aperture 372 is selectively sealed by a sealing apparatus such as a gate valve or slit valve (not shown). During operation, the robot 113 receives a substrate 328 on a blade 118 supported on arms 111 from the processing system 100 via the transfer chamber 110 through the substrate transfer aperture 372. The blade 118 is positioned to deliver the substrate 328 to the heating chamber 140 through the substrate transfer aperture 372. The cassette 310 is moved vertically up or down to position an empty heating space 322 inline with the substrate transfer plane 332 to receive the substrate 328. The arms 111 are extended through the substrate transfer aperture 372 to dispose the substrate 328 within the heating chamber 140 and subsequently dispose the substrate 328 within cassette 310. The arms 111 extend the substrate 328 into the heating space 322 and position the substrate 328 above the pins 342. In one embodiment, the cassette 310 moves vertically until the pins 342 contact the substrate surface, lifting the substrate 328 off the blade 118. Subsequently the arms 111 and blade 118 are retracted back to the transfer chamber 110. In another embodiment, the arms 111 and blade 118 move vertically downwardly until the substrate 328 contacts the pins 342. The arms 111 and blade 118 continue to move downwardly until the substrate 328 is fully supported by the pins 342.
[0036] Figure 5 is a cross-section top view of the heating chamber 140 illustrating one embodiment of the invention. Because the cavity 307 holds a plurality of substrates 328, the cavity 307 is typically larger in volume than chambers such as processing chambers 114 and holding chamber 116, which usually hold only one substrate 328. Because of the increased volume of the cavity 307, external atmospheric pressures on the chamber 140 under vacuum may be considerable. To provide structural strength and to minimize the cavity volume, the cavity 307 is preferably semi-round in shape and is conformal with and slightly larger than the cassette 310. In other embodiments, it is contemplated that the shape of the cavity 307 may be round, square, or any shape adapted to accommodate the substrate 328 and to have sufficient structural integrity to withstand the external atmospheric pressures.
[0037] Figure 6 is a partial cross-sectional view of the heating chamber 140. A heat reflector 320 is disposed within cavity 307 and spaced adjacent an inner surface 311 of body 305, forming a reflective surface within the cavity 307. The heat reflector 320 is adapted to minimize conductive heat losses through the body 305 by providing radiant heat insulation between the cavity 307 and the inner surface 311. The heat reflector 320 reflects radiated heat within the cavity 307 away from the inner surface 311 and toward the center of the cavity 307. The heat reflector 320 may comprise a single layer. Alternatively, the heat reflector 320 may comprise multiple layers, or several pieces combined to form a unified body. The heat reflector 320 typically comprises heat conductors such as aluminum, nickel, steel, and the like that are process resistant and generally free of contaminates such as copper. When additional insulation is desired between the cavity 307 and the inner surface 311 , the heat reflector 320 comprises insulators such as metal plated ceramics, glass, and the like that are process resistant and generally free of contaminates such as copper. The heat reflector 320 comprises an inner heat reflective surface 327 plated with aluminum, nickel, gold, or other surfaces adapted to reflect heat and that are process resistant and generally free of contaminates such as copper. The heat reflector 320 may be attached to the inner surface 311 using several methods such as bonding to the inner surface 311 using pressure sensitive adhesives, ceramic bonding, glue, and the like, or by fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper. Additionally, the heat reflector 320 can be deposited on the inner surface 311 using techniques such as electroplating, sputtering, anodizing, and the like. In one embodiment, the heat reflector 320 is spaced from the inner surface 311 using insulated fasteners such as insulated screws, bolts, clips, and the like, forming a gap therebetween the inner surface 311 and the heat reflector 320.
[0038] A heater 315 is disposed within the cavity 307 between the heat reflector 320 and the cassette 310. The heater 315 is adapted to form a heating member conforming to and surrounding the cassette 310. The heater 315 comprises one or more heating elements such as resistive heaters, heating lamps, and the like disposed within a layer, or layers, of heat conducting materials such as nickel, steel, aluminum, and the like that radiate heat. Although, the inside surface 331 of the heater 315 is preferably bead blasted or anodized to provided a higher heat emissivity to improve the transmission of radiated heat within the cavity 307, other types of surface conditioning adapted to provided greater surface emissivity may be used. The outer surface 333 of the heater 315 is polished to provide a low emissivity, thereby minimizing the transmission of radiated heat to the chamber body 305. During substrate heat processing, the heater 315 is activated by a power source (not shown) and heated to a desired temperature. Although, in one aspect, a gap is established between the heater 315 and the heat reflector 320 to minimize heat transference via conduction to the heat reflector 320, the heater 315 may be in direct contact with heat reflector 320.
[0039] Figures 7 and 8 illustrate one embodiment of the heater 315 that may be used to advantage. The heater 315 comprises a jacket 319 comprising thermally conducting materials such as aluminum, nickel, steel, and the like adapted to uniformly radiate heat within the cavity 307 and that are process resistant and generally free of contaminates such as copper. A continuous heating element 317 is disposed within slot 314 formed within the jacket 319. The continuous heating element 317 is adapted to radiate heat within the jacket 319. The continuous heating element 317 may be secured within slot 314 by frictional fit, by welding, using fill materials 313 generally free of contaminates such as copper and/or silver, or by using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper. In one embodiment, to provide a tighter fit between the jacket 319 and the continuous heating element 317, the continuous heating element 317 has a higher coefficient of expansion than that of the jacket 319. Although, in one aspect, the thermal expansion coefficient for the continuous heating element 317 is about α=17, and the thermal expansion coefficient for the jacket 319 is about α=13 other thermal expansion coefficients may be used to advantage.
[0040] A pair of couplings 318 are connected to a power source (not shown), such as an external power supply, to provide power to the continuous heating element 317. Although it is preferred that the continuous heating element 317 be formed as a unified and homogenous heating member to provided uniform heating throughout the jacket 319, a plurality of individual heating elements such as restive heaters, lamps and the like, may be coupled together to form the continuous heating element 317. Additionally, the jacket 319 may be heated by a plurality of the individual heaters dispersed and coupled discretely throughout jacket 319. [0041] The heater 315 may be secured within the cavity 307 using any of several methods. For example, the heater 315 may be attached to the inner surface 311 using attachment methods such as bonding using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper. In a particular embodiment, the heater 315 comprises an upper portion having a mounting flange 312 for mounting the heater 315 to the body 305. Although it is preferred that the mounting flange 312 be integral to the heater 315, the mounting flange 312 may be a separate component. The mounting flange 312 may be attached to the body 305 using adhesives such as pressure sensitive adhesives, ceramic bonding, glue, and the like, or fasteners such as screws, bolts, clips, and the like that are process resistant and generally free of contaminates such as copper.
[0042] Figure 9 illustrates one embodiment of the invention where the heated substrate support 340 and the support pins 342 space and support the substrate 328 thereon forming a lower portion of the heating space 322. Although, in one aspect, the number of support pins 342 is at least six, having four support pins 342 spaced substantially uniformly on the substrate outer periphery to fully support the edges and two support pins 342 adjacent the middle of the substrate 328, as illustrated in Figure 5. Alternatively, any number of support pins 342 may be used in any configuration adapted to support the substrate 328. The supporting pins 342 preferably comprises insulators such as polymers, ceramics, and the like with a cross section adapted to minimize contact with the substrate 328 and to prevent conduction between the heated substrate support 340 and the substrate 328. For additional supporting strength the supporting pins 342 may also comprise conductors such as steel, aluminum, nickel, and the like having a sufficiently small surface area to minimize conduction, that are process resistant, and generally free from contaminates such as copper. While in one aspect the support pins 324 comprise a pointed tip to minimize contact with substrate 328, the support pins 328 may have any tip cross section and profile adapted to support the substrate 328 such as rounded tip, square tip, flat tip, and the like adapted to minimize heat conduction to the heated substrate support 340. [0043] Figure 10 is a top view of the heated substrate support 340 comprising a plurality of plate heaters 347 disposed within a layer of thermally and electrically insulating material such as fiberglass, glass, ceramic, asbestos, and the like. The plate heaters 347 may be resistive heaters, radiant lamps, and the like. The plate heaters 347 may be activated by power supplied by a power source (not shown) such as an external power supply coupled through connectors 345. Typically, the temperature across the substrate surfaces varies as a function of the substrate body heat migration due to convection and conduction within the chamber 140, proximity to the heated substrate support 340, the support pins 342, the heater 315, and the overall thermal profile within the cavity 307. In one embodiment, the plate heaters 347 are patterned to provide a radiant heating profile to match and compensate for substrate thermal losses, i.e. the substrate heat loss profile. For example, the plate heaters 347 illustrated in Figure 10 are spaced closer together near the corners than the middle of the heated substrate support 340 to provide more concentrated heat to the corners and edges of the substrate 328 where a substantial amount of conductive and/or radiated heat loss occurs. Although, heat typically tends to radiate from the substrate edges, it is contemplated that the patterned heating profile may be adapted to encompass any variation in the substrate heat loss profile. For example, the plate heaters 347 may be adapted to provide a variable amount of heat output by varying their size, spacing, resistivity, illumination, input power, and the like to more closely fit the substrate heat loss profile. Moreover, the heated substrate support 340 is spaced from the substrate 328 by the support pins 342 as shown in Figures 3, 4, and 6 to allow the radiated heat between the lower surface of the substrate 328 and upper surface of the heated support to intermix. Although, in one aspect the spacing between the heated substrate support 340 and the substrate 328 is about 20mm, other spacings are contemplated. Although it is believed that the radiant heat from the heated substrate support 340 intermixes before heating the substrate 328, thereby minimizing hotspots defined by the plate heater configuration, it is also contemplated that the substrate 328 may be laid directly on a heated substrate support 340 with plate heaters adapted to substantially match the substrate heat loss profile.
[0044] In operation, the heating chamber 140 heating process is initiated by the robot 113 placing the substrate 328 via window 235 within cavity 307 on a heated substrate support 340. An inert process gas, such as nitrogen, is flowed into the cavity 307 through the gas inlet 360 and is maintained at a required chamber pressure by the vacuum pump 390. Alternatively, the process gas may be an active process gas, such as fluorine, adapted for a particular process. The cavity 307 is heated with radiant heat by the heater 315 and heated substrate support 340, or heater 315 alone, in cooperation with the heat reflector 320, to a desired ambient level sufficient to provide a uniform substrate heating profile. The individual substrates 328 are uniformly heated to a substrate body temperature between about 350°C to about 600°C. The temperature variation referenced to a temperature on the substrate body (i.e., normalized temperature variation) is about between +/- 5°C and about +/- 10°C.
[0045] For example, in one method of operation in accordance with the invention the heating chamber 140 heating process is initiated by the robot 113 placing the substrate 328 via window 235 within cavity 307 on a heated substrate support 340. A vacuum within the cavity 307 is provided by vacuum pump 390 at about 0 to about 0.5 Torr. A process gas such as nitrogen is flowed into the cavity 307 through the gas inlet 360 and is maintained at chamber pressure at about 0.0 Torr to about 0.5 Torr by the vacuum pump 390. Heat is applied to the substrates via heater 315 and heated supports 340 to heat each substrate uniformly to a temperature of about 450°C to about 600°C each. Each substrate maintains a normalized heating profile of about +/- 5 °C at a substrate body temperature of about 450°C to about +/- 10°C at a substrate body temperature of about 600°C. For example, Figure 11 is an illustrative temperature contour map of a substrate 328 illustrating the normalized temperature variation across the body of the substrate 328, using the perimeter temperature as the normalizing value, during heat processing at about 500°C. Region, 350A, is the reference region and therefore has a zero temperature variance. Region, 350B, has about a +/- 1°C normalized temperature variation. Region 350C has about a +/- 2°C normalized temperature variation. Region 350D has about a +/- 3°C normalized temperature variation. Region 350E has about a +/- 5°C normalized temperature variation. Thus, the normalized temperature variation across the substrate 328 is about +/- 5°C. [0046] While foregoing is directed to the embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims
1. An apparatus for heating substrates, comprising: an insulated chamber having a body, a bottom portion, and a lid; a heat reflector disposed within the chamber; a heater disposed within the chamber adjacent to the heat reflector; and a plurality of heated supports movably disposed within the chamber to support at least two substrates thereon.
2. The apparatus of claim 1 , wherein the chamber, the heat reflector, and the heated supports comprise nickel.
3. The apparatus of claim 1 , wherein the chamber walls are slightly larger and conformal with the heated supports.
4. The apparatus of claim 1 , wherein the heater substantially surrounds the heated supports.
5. The apparatus of claim 1 , further comprising a pump to maintain a vacuum therein.
6. The apparatus of claim 1 , wherein the heater comprises an inner surface and outer surface wherein the heat emissivity value of the inner surface is greater than the heat emissivity value of the outer surface.
7. The apparatus of claim 1 , further comprising a plurality of heater elements disposed within the heater.
8. The apparatus of claim 7, wherein the heater elements are selected from the group of resistive heaters, radiant lamps, and combinations thereof.
9. The apparatus of claim 1 , wherein the heat reflector comprises a heat reflective surface thereon.
10. The apparatus of claim 9, wherein the heat reflector comprises materials selected from the group of glass, ceramics, and combinations thereof.
11. The apparatus of claim 9, wherein the heat reflector comprises materials selected from the group of aluminum, nickel, steel, and combinations thereof.
12. The apparatus of claim 9, wherein the heat reflective surface is selected from the group of aluminum, nickel, gold, and combinations thereof.
13. The apparatus of claim 1 , comprising at least one insulating layer disposed about the chamber.
14. The apparatus of claim 13, wherein the insulating layer is a flexible ceramic fiber blanket having thermal conductivity of less than about 0.053 watt/m°K.
15. The apparatus of claim 1 , wherein the heated support comprises a plurality of heating elements.
16. The apparatus of claim 15, wherein the heating elements are selected from the group of resistive heaters, radiant lamps, and combinations thereof.
17. A method for uniformly heating substrates, comprising: supporting a plurality of substrates on a plurality of heated supports within a chamber slightly larger than and shaped to conform to the shape of the substrate support; providing a process temperature of about between about 450°C and about
600°C; providing a vacuum within the chamber; and uniformly heating the substrates.
18. The method of claim 17, further comprising providing a nickel surface thereon the heated supports and chamber therein.
19. The method of claim 17, wherein uniformly heating the substrate comprises the step of heating the substrate with a heat pattern matching the substrate's heat loss profile.
20. The method of claim 17, further comprising maintaining a temperature profile within the chamber of about +/- 5°C at the process temperature of greater than about 450°C.
21. The method of claim 17, further comprising maintaining a temperature profile within the chamber of about +/- 10°C at a process temperature of about between about 450°C and about 600°C.
22. The method of claim 17, further comprising providing a radiant heat reflective surface therein to reflect radiant heat within the chamber.
23. The method of claim 17, further comprising providing a heater therein the chamber that substantially surrounds the chamber.
24. The method of claim 17, further comprising providing a normalized substrate temperature contour of less than about +/- 5°C at a substrate process temperature of about greater than 450°C.
25. The method of claim 17, further comprising providing a normalized substrate temperature contour of less than about +/- 10°C at a substrate process temperature of about between about 450°C and about 600°C.
26. The method of claim 17, further comprising providing a process gas within the chamber at a pressure of about between 0 and about 0.5 torr.
27. The method of claim 26, wherein the process gas is nitrogen.
28. An apparatus for heating substrates, comprising: a chamber having a cavity for holding a plurality of the substrates therein; at least one cassette having a plurality of heated supports moveably disposed within the cavity to support the plurality of substrates; a heating layer disposed within the cavity and positioned to provide radiant heat to the at least one cassette; and a heat reflector disposed within the cavity and surrounding at least a portion of the heated supports to form a reflective surface directed into the cavity.
29. The apparatus of claim 28, wherein the heating chamber, heated supports, heat reflector, and heating layer are about free of copper.
30. The apparatus of claim 28, wherein the cavity is about conformal with the heated supports.
31. The apparatus of claim 28, wherein the heating layer substantially surrounds the heated supports.
32. The apparatus of claim 28, wherein the heat reflector comprises a heat reflective surface thereon.
33. The apparatus of claim 28, wherein the heating layer comprises an inner surface and outer surface wherein the heat emissivity value of the inner surface is greater than the heat emissivity value of the outer surface.
34. The apparatus of claim 28, further comprising a pump to maintain a vacuum therein.
35. The apparatus of claim 28, wherein the heated supports are adapted to provide an about uniform heating to the substrates.
36. The apparatus of claim 35, wherein the heated supports comprises a plurality of heating elements arranged thereon to form a heating profile about consistent with a heat loss profile of one or more of the plurality of substrates being heated.
PCT/US2001/050262 2000-12-29 2001-12-20 Chamber for uniform substrate heating WO2002056349A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP01998097A EP1346400A2 (en) 2000-12-29 2001-12-20 Chamber for uniform substrate heating
JP2002556921A JP2004527900A (en) 2000-12-29 2001-12-20 Chamber for heating the substrate uniformly
KR1020037008591A KR100614327B1 (en) 2000-12-29 2001-12-20 Chamber for uniform substrate heating

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25903500P 2000-12-29 2000-12-29
US60/259,035 2000-12-29

Publications (2)

Publication Number Publication Date
WO2002056349A2 true WO2002056349A2 (en) 2002-07-18
WO2002056349A3 WO2002056349A3 (en) 2002-09-26

Family

ID=22983228

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/050262 WO2002056349A2 (en) 2000-12-29 2001-12-20 Chamber for uniform substrate heating

Country Status (7)

Country Link
US (2) US6765178B2 (en)
EP (1) EP1346400A2 (en)
JP (1) JP2004527900A (en)
KR (1) KR100614327B1 (en)
CN (1) CN1293600C (en)
TW (1) TW529066B (en)
WO (1) WO2002056349A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004025711A2 (en) * 2002-09-12 2004-03-25 Applied Materials, Inc. Large area substrate processing system
WO2004034444A1 (en) * 2002-10-04 2004-04-22 Applied Materials, Inc. Heated substrate support
WO2004061914A2 (en) * 2002-12-17 2004-07-22 Applied Materials, Inc. Chamber for uniform substrate heating
WO2024019857A1 (en) * 2022-07-19 2024-01-25 Applied Materials, Inc. Method and apparatus for lamp housing crack detection

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
NO20023605D0 (en) * 2002-07-29 2002-07-29 Sumit Roy Method and apparatus for interconnecting two tubular members
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
WO2005069361A1 (en) * 2004-01-20 2005-07-28 Hitachi Kokusai Electric Inc. Heat treatment device
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7666323B2 (en) * 2004-06-09 2010-02-23 Veeco Instruments Inc. System and method for increasing the emissivity of a material
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20060182530A1 (en) * 2005-01-05 2006-08-17 Min-Hsu Wang Wafer loadlock chamber and wafer holder
JP2006229040A (en) * 2005-02-18 2006-08-31 Matsushita Electric Ind Co Ltd Method and apparatus for heat treatment
DE102005040741B4 (en) * 2005-08-26 2007-06-14 Asys Automatic Systems Gmbh & Co. Kg Machining plant of modular construction for flat substrates
US7901662B2 (en) * 2005-11-01 2011-03-08 Celanese International Corporation Steam generation apparatus and method
KR100748176B1 (en) * 2005-11-02 2007-08-10 아프로시스템 주식회사 Heat treatment equipment
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7312422B2 (en) * 2006-03-17 2007-12-25 Momentive Performance Materials Inc. Semiconductor batch heating assembly
CN101389914B (en) * 2006-03-23 2012-07-25 株式会社村田制作所 Heat treating furnace
JP5105396B2 (en) * 2006-04-12 2012-12-26 東京応化工業株式会社 Heat treatment device
US8741096B2 (en) * 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8317449B2 (en) * 2007-03-05 2012-11-27 Applied Materials, Inc. Multiple substrate transfer robot
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US20090004405A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Thermal Batch Reactor with Removable Susceptors
US8709160B2 (en) * 2008-08-22 2014-04-29 United Technologies Corporation Deposition apparatus having thermal hood
JP5620090B2 (en) * 2008-12-15 2014-11-05 キヤノンアネルバ株式会社 Substrate processing apparatus, heat-treated substrate manufacturing method, and semiconductor device manufacturing method
WO2011128729A1 (en) * 2010-04-12 2011-10-20 Memc Electronic Materials, S.P.A. Bell jar for siemens reactor including thermal radiation shield
CN102222598B (en) * 2010-04-19 2015-04-08 圆益Ips股份有限公司 Substrate processing device
CN102300342A (en) * 2010-06-24 2011-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 Support plate heating device and plasma processor using same
KR101234358B1 (en) * 2010-11-02 2013-02-28 에이피시스템 주식회사 Support unit and substrate treating apparatus with it
CN103094149A (en) * 2011-10-27 2013-05-08 沈阳芯源微电子设备有限公司 Roasting device used for preventing chip from warping and roasting method thereof
US9799543B2 (en) 2012-02-16 2017-10-24 Saint-Gobain Glass France Process box, arrangements and methods for processing coated substrates
US9581042B2 (en) 2012-10-30 2017-02-28 United Technologies Corporation Composite article having metal-containing layer with phase-specific seed particles and method therefor
KR101391304B1 (en) * 2012-11-06 2014-05-02 주식회사 제우스 Cable for high temperature and heat treatment device which used this
CN104599999A (en) * 2013-10-30 2015-05-06 北京北方微电子基地设备工艺研究中心有限责任公司 Heating chamber
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
CN105624633B (en) * 2014-10-28 2018-08-24 北京北方华创微电子装备有限公司 A kind of heating chamber and Pvd equipment
TW201639063A (en) * 2015-01-22 2016-11-01 應用材料股份有限公司 Batch heating and cooling chamber or loadlock
CN204434500U (en) * 2015-03-05 2015-07-01 京东方科技集团股份有限公司 A kind of evaporation support plate and evaporation coating device
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
CN106555159B (en) * 2015-09-28 2018-12-11 北京北方华创微电子装备有限公司 A kind of heating equipment and heating means of substrate
KR102629526B1 (en) * 2015-09-30 2024-01-25 도쿄엘렉트론가부시키가이샤 Substrate processing device and substrate processing method
JP6748491B2 (en) * 2016-06-27 2020-09-02 東京エレクトロン株式会社 Method for performing pretreatment for forming copper wiring in recess formed in substrate and processing apparatus
KR101910801B1 (en) * 2016-10-26 2019-01-07 세메스 주식회사 Apparatus and method for treating substrate
US11621180B2 (en) 2016-10-31 2023-04-04 Nissin Ion Equipment Co., Ltd. Heating device
JP6296189B1 (en) * 2016-10-31 2018-03-20 日新イオン機器株式会社 Heating equipment, semiconductor manufacturing equipment
CN206157224U (en) * 2016-11-24 2017-05-10 合肥京东方显示技术有限公司 Vacuum heating device
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
CN108660301A (en) * 2018-06-28 2018-10-16 浙江俊荣五金工业有限公司 A kind of screw intelligence dehydrogenation device
JP7191678B2 (en) * 2018-12-27 2022-12-19 株式会社アルバック SUBSTRATE PROCESSING APPARATUS, CASSETTE REMOVAL METHOD OF SUBSTRATE PROCESSING APPARATUS
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
KR20210018762A (en) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Temperature-controlled chemical delivery system and reactor system including same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2298314A (en) * 1994-08-12 1996-08-28 Samsung Electronics Co Ltd Apparatus for rapid thermal processing
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
US5567152A (en) * 1994-04-12 1996-10-22 Tokyo Electron Limited Heat processing apparatus
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US6046439A (en) * 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
US6151447A (en) * 1993-01-21 2000-11-21 Moore Technologies Rapid thermal processing apparatus for processing semiconductor wafers

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
JPS6065712A (en) 1983-09-20 1985-04-15 Toshiba Corp Formation of silicon oxide coating film
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPH0766910B2 (en) 1984-07-26 1995-07-19 新技術事業団 Semiconductor single crystal growth equipment
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
JPS6291495A (en) 1985-10-15 1987-04-25 Nec Corp Vapor growth method for thin semiconductor film
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (en) 1986-08-26 1996-03-29 セイコー電子工業株式会社 Method for growing compound semiconductor thin film
JPH0810211B2 (en) 1986-09-05 1996-01-31 日本碍子株式会社 Gas sensor and manufacturing method thereof
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JP2587623B2 (en) * 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JP2929291B2 (en) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 Method of manufacturing insulated gate field effect transistor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
DE3704505A1 (en) 1987-02-13 1988-08-25 Leybold Ag INSERT UNIT FOR VACUUM SYSTEMS
JPH0812844B2 (en) 1987-03-27 1996-02-07 日本電気株式会社 (III) -Group V compound semiconductor and method for forming the same
JPH0727861B2 (en) 1987-03-27 1995-03-29 富士通株式会社 Method for growing group III compound semiconductor crystal
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
JPH0666274B2 (en) 1987-07-01 1994-08-24 日本電気株式会社 (III) -Method for forming group V compound semiconductor
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
FI81926C (en) 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
FR2626110A1 (en) 1988-01-19 1989-07-21 Thomson Csf Process for producing a layer of a superconductive material by epitaxy
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
EP0344352B1 (en) 1988-06-03 1994-09-28 International Business Machines Corporation Method for making artificial layered high-Tc superconductors
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP2926798B2 (en) 1989-11-20 1999-07-28 国際電気株式会社 Continuous processing etching method and apparatus
CA2031253A1 (en) 1989-12-01 1991-06-02 Kenji Aoki Method of producing bipolar transistor
FI84562C (en) 1990-01-16 1991-12-27 Neste Oy FARING EQUIPMENT FOR THE FRAME STATION OF HETEROGENE CATALYSTATORS.
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
FI87892C (en) 1991-07-16 1993-03-10 Neste Oy METHOD OF FREQUENCY CONTAINER WITH METAL HALF
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (en) 1990-01-31 1995-11-13 新技術事業団 Semiconductor device and manufacturing method thereof
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
JPH042699A (en) 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
DE4027628A1 (en) 1990-08-31 1992-03-05 Wolters Peter Fa DEVICE FOR CONTROLLING OR CONTROLLING LAEPP, HONING OR POLISHING MACHINES
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
JP2964713B2 (en) * 1991-07-24 1999-10-18 松下電器産業株式会社 Magnetic position detector
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (en) 1991-11-30 1999-12-06 科学技術振興事業団 Method for epitaxial growth of semiconductor crystal
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
AU4378893A (en) 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
FI91422C (en) 1992-06-18 1994-06-27 Mikrokemia Oy Process and apparatus for supplying liquid reagents to a chemical reactor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
JP3405466B2 (en) 1992-09-17 2003-05-12 富士通株式会社 Fluid switching valve and semiconductor device manufacturing apparatus
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (en) 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd High density dram and manufacture thereof
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JP3124861B2 (en) 1993-03-24 2001-01-15 富士通株式会社 Thin film growth method and semiconductor device manufacturing method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3008782B2 (en) 1994-07-15 2000-02-14 信越半導体株式会社 Vapor phase growth method and apparatus
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
TW295677B (en) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08148431A (en) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe apparatus and gas branch-piping apparatus
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
JP3288200B2 (en) 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
JPH0922896A (en) 1995-07-07 1997-01-21 Toshiba Corp Method of selective forming of metal film
KR100244041B1 (en) 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
FI107533B (en) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Functional surfaces for conducting chemical reactions and processes for their preparation
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (en) 1996-08-21 1999-07-26 工業技術院長 Method for forming group III atomic layer
KR100216542B1 (en) 1996-08-27 1999-08-16 정선종 Multi-target driving apparatus for pulse laser depositing system
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (en) 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US5882413A (en) 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (en) 1998-06-03 2000-07-14 Planar Systems Oy Process for the preparation of thin film electroluminescence structures
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100327105B1 (en) 1998-08-14 2002-03-09 오길록 High luminance-phosphor and method for fabricating the same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
FI105643B (en) 1998-08-21 2000-09-15 Planar Systems Oy Thin-film electroluminescent device and method for its manufacture
KR20000022003A (en) 1998-09-10 2000-04-25 이경수 Method for forming three-components compound comprising metal and silicon
FI108375B (en) 1998-09-11 2002-01-15 Asm Microchemistry Oy Still for producing insulating oxide thin films
KR100273474B1 (en) 1998-09-14 2000-12-15 이경수 Gas supply apparatus of chemical vapor deposition apparatus
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2995300B1 (en) 1999-02-03 1999-12-27 工業技術院長 Surface improvement method for machine element parts
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
JP2000340883A (en) 1999-05-27 2000-12-08 Fujitsu Ltd Multiwavelength oscillating optical semiconductor device
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (en) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd Semiconductor thin film and manufacture thereof
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
AU6336700A (en) 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
KR100737901B1 (en) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. Method for depositing nanolaminate thin films on sensitive surfaces
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
DE60028394T2 (en) 1999-10-15 2007-03-29 Asm International N.V. CONFORMAL COATING LAYERS FOR DAMASCUM METALLIZATION
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP3479020B2 (en) * 2000-01-28 2003-12-15 東京エレクトロン株式会社 Heat treatment equipment
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
JP4776054B2 (en) 2000-02-04 2011-09-21 株式会社デンソー Thin film formation method by atomic layer growth
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP4211185B2 (en) 2000-02-29 2009-01-21 株式会社デンソー Glass substrate storage jig for CVD and ALE equipment
DE60125338T2 (en) 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
JP4556282B2 (en) 2000-03-31 2010-10-06 株式会社デンソー Organic EL device and method for manufacturing the same
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
JP4680429B2 (en) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6151447A (en) * 1993-01-21 2000-11-21 Moore Technologies Rapid thermal processing apparatus for processing semiconductor wafers
US5567152A (en) * 1994-04-12 1996-10-22 Tokyo Electron Limited Heat processing apparatus
GB2298314A (en) * 1994-08-12 1996-08-28 Samsung Electronics Co Ltd Apparatus for rapid thermal processing
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US6046439A (en) * 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004025711A2 (en) * 2002-09-12 2004-03-25 Applied Materials, Inc. Large area substrate processing system
WO2004025711A3 (en) * 2002-09-12 2004-09-16 Applied Materials Inc Large area substrate processing system
US6896513B2 (en) 2002-09-12 2005-05-24 Applied Materials, Inc. Large area substrate processing system
CN100466160C (en) * 2002-09-12 2009-03-04 应用材料股份有限公司 Large area substrate processing system
WO2004034444A1 (en) * 2002-10-04 2004-04-22 Applied Materials, Inc. Heated substrate support
WO2004061914A2 (en) * 2002-12-17 2004-07-22 Applied Materials, Inc. Chamber for uniform substrate heating
WO2004061914A3 (en) * 2002-12-17 2008-01-17 Applied Materials Inc Chamber for uniform substrate heating
WO2024019857A1 (en) * 2022-07-19 2024-01-25 Applied Materials, Inc. Method and apparatus for lamp housing crack detection

Also Published As

Publication number Publication date
WO2002056349A3 (en) 2002-09-26
JP2004527900A (en) 2004-09-09
KR100614327B1 (en) 2006-08-18
CN1293600C (en) 2007-01-03
US7022948B2 (en) 2006-04-04
US20020086260A1 (en) 2002-07-04
CN1483218A (en) 2004-03-17
US20040255861A1 (en) 2004-12-23
US6765178B2 (en) 2004-07-20
TW529066B (en) 2003-04-21
KR20030066760A (en) 2003-08-09
EP1346400A2 (en) 2003-09-24

Similar Documents

Publication Publication Date Title
US6765178B2 (en) Chamber for uniform substrate heating
US7442900B2 (en) Chamber for uniform heating of large area substrates
US6825447B2 (en) Apparatus and method for uniform substrate heating and contaminate collection
JP4237939B2 (en) Vacuum processing equipment with improved substrate heating and cooling
US6949143B1 (en) Dual substrate loadlock process equipment
US5674786A (en) Method of heating and cooling large area glass substrates
US6998579B2 (en) Chamber for uniform substrate heating
US6933009B2 (en) Thin-film deposition method
KR101035828B1 (en) Chamber for uniform substrate heating
WO2005069359A1 (en) Substrate treating device and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

AK Designated states

Kind code of ref document: A3

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020037008591

Country of ref document: KR

Ref document number: 018213162

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2002556921

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2001998097

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037008591

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001998097

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2001998097

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1020037008591

Country of ref document: KR