WO2002063669A2 - Method and apparatus for two-step barrier layer polishing - Google Patents

Method and apparatus for two-step barrier layer polishing Download PDF

Info

Publication number
WO2002063669A2
WO2002063669A2 PCT/US2001/050150 US0150150W WO02063669A2 WO 2002063669 A2 WO2002063669 A2 WO 2002063669A2 US 0150150 W US0150150 W US 0150150W WO 02063669 A2 WO02063669 A2 WO 02063669A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chemical mechanical
mechanical polishing
composition
barrier layer
Prior art date
Application number
PCT/US2001/050150
Other languages
French (fr)
Other versions
WO2002063669A3 (en
Inventor
Lizhong Sun
Stan Tsai
Shijian Li
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2002063669A2 publication Critical patent/WO2002063669A2/en
Publication of WO2002063669A3 publication Critical patent/WO2002063669A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the present invention relates generally to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • the multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias, contacts, lines, and other interconnects. Reliable formation of these interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
  • copper and its alloys which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 ⁇ -cm compared to 3.1 ⁇ -cm for aluminum), and a higher current carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method.
  • one or more dielectric materials such as the low k dielectric materials
  • Conductive materials such as copper, and other materials, such as barrier layer materials used to prevent diffusion of conductive material into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess conductive material and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.
  • Barrier layer materials include, for example, tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and titanium nitride.
  • Planarizing a surface is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in damascene processes to remove excess deposited material and to provide an even surface for subsequent levels of metallization and processing. Planarization may also be used in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials.
  • CMP chemical mechanical planarization, or chemical mechanical polishing
  • a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad.
  • the pad is moved relative to the substrate by an external driving force.
  • the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition to effect both chemical activity and mechanical activity.
  • the copper material is polished to the barrier layer, and then the barrier layer is polished to the underlying dielectric layer.
  • One challenge which is presented in copper polishing is that the interface between copper and the barrier layer is generally non-planar. Further, the copper material and the barrier materials are often removed from the substrate surface at different rates. These challenges in copper removal often results in the retention of copper containing material, or residue, on the surface of the substrate. To ensure removal of all the copper material and residue before removing the barrier material, it is necessary to overpolish the copper and the interface. Overpolishing of copper and the interface can result in forming topographical defects, such as concavities or depressions, referred to as dishing, and can further lead to non-uniform removal of the barrier layer disposed thereunder.
  • FIG. 5 is a schematic view of a substrate illustrating the phenomenon of dishing.
  • Conductive lines 211 and 212 are formed by depositing conductive material, such as copper or copper alloy, in a feature definition formed in the dielectric layer 210, typically comprised of silicon oxides or other dielectric materials. After planarization, for example, a portion of the conductive material is depressed by an amount D, referred to as the amount of dishing, forming a concave copper surface. Dishing results in a non-planar surface that impairs the ability to print high resolution lines during subsequent photolithographic steps and detrimentally affects subsequent surface topography of the substrate and device formation. Dishing also detrimentally affects the performance of devices by lowering the conductance and increasing the resistance of the devices, contrary to the benefit of using higher conductive materials, such as copper.
  • the invention generally provides a method and composition for planarizing a substrate surface having a barrier layer disposed thereon.
  • the invention provides for planarizing a substrate surface, comprising providing a substrate comprising a dielectric layer with feature definitions formed therein, a barrier layer conformally deposited on the dielectric layer and in the feature definitions formed therein, and a copper containing material deposited on the barrier layer and filling the feature definitions formed therein, chemical mechanical polishing the substrate with a bulk CMP composition to substantially remove excess copper containing materials, chemical mechanical polishing the substrate with a first CMP composition to remove residual copper containing materials and at least a portion of the barrier layer, and chemical mechanical polishing the substrate with a second CMP composition to selectively remove residual barrier layer.
  • the invention provides a method for planarizing a substrate surface, comprising providing a substrate comprising a dielectric layer with feature definitions formed therein, a barrier layer conformally deposited on the dielectric layer and in the feature definitions formed therein, and a copper containing material deposited on the barrier layer and filling the feature definitions formed therein, supplying a bulk polishing composition to the substrate, removing substantially excess copper containing material at a ratio of copper containing material to barrier layer between about 1:0 and about 1:0.01 by a polishing technique, supplying a first polishing composition to the substrate, removing residual copper containing materials and removing a portion of the barrier layer from the substrate at a ratio of copper containing material to barrier layer between about 2: 1 and about 1 :1 by a polishing technique, supplying a second polishing composition to the substrate, and removing residual barrier layer from the surface of the substrate at a ratio of barrier layer to copper containing material to dielectric layer between about 1 :0:0 and about 1:0.2:0.2 by
  • Another aspect of the invention provides a method for planarizing a barrier layer comprising a tantalum containing material on a substrate surface, comprising chemical mechanical polishing the substrate to selectively remove residual copper containing material and a portion of the tantalum containing material therefrom, and then chemical mechanical polishing the substrate to selectively remove residual tantalum containing material therefrom.
  • Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus
  • Figures 2-4 are schematic diagrams of a substrate illustrating one embodiment of a process for planarizing a substrate surface described herein;
  • Figure 5 is a schematic view of a substrate illustrating the phenomenon of dishing.
  • aspects of the invention provide a method and polishing composition for removing conductive material and barrier layer materials while eliminating or substantially reducing dishing.
  • the invention will be described below in reference to the removal of copper and tantalum/tantalum nitride barrier layers from a substrate surface by a chemical mechanical polishing (CMP) technique.
  • CMP is broadly defined herein as polishing a substrate by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.
  • One apparatus for performing the planarizing process and composition described herein is a Mirra ® CMP System available from Applied Materials, Inc., as shown and described in U.S. Patent No. 5,738,574, entitled, "Continuous Processing System for Chemical Mechanical Polishing," the entirety of which is incorporated herein by reference to the extent not inconsistent with the invention.
  • the CMP process and composition is illustrated utilizing the Mirra ® CMP System, any system enabling chemical mechanical polishing using the composition described herein can be used to advantage.
  • suitable polishing apparatus include the Obsidian 8200C System available from Applied Materials, Inc., or a linear polishing system, using a sliding or circulating polishing belt or similar device.
  • FIG. 1 is a schematic perspective view of a chemical mechanical polishing apparatus 20.
  • the polishing apparatus 20 includes a lower machine base 22 with a table top 28 mounted thereon and a removable outer cover (not shown).
  • the table top 28 supports a series of polishing stations, including a first polishing station 25a, a second polishing station 25b, a final polishing station 25c, and a transfer station 27.
  • the transfer station 27 serves multiple functions, including, for example, receiving individual substrates 10 from a loading apparatus (not shown), washing the substrates, loading the substrates into carrier heads 80, receiving the substrates 10 from the carrier heads 80, washing the substrates 10 again, and transferring the substrates 10 back to the loading apparatus.
  • Each polishing station 25a-25c includes a rotatable platen 30 having a polishing pad 100 or 110 disposed thereon.
  • Each platen 30 may be a rotatable aluminum or stainless steel plate connected to a platen drive motor (not shown).
  • the polishing stations 25a-25c may include a pad conditioner apparatus 40.
  • the pad conditioner apparatus 40 has a rotatable arm 42 holding an independently rotating conditioner head 44 and an associated washing basin 46.
  • the pad conditioner apparatus 40 maintains the condition of the polishing pad so that it will effectively polish the substrates.
  • Each polishing station may include a conditioning station if the CMP apparatus is used with other pad configurations.
  • the polishing stations 25a-25c may each have a slurry/rinse arm 52 that includes two or more supply tubes to provide one or more chemical slurries and/or water to the surface of the polishing pad.
  • the slurry/rinse arm 52 delivers the one or more chemical slurries in amounts sufficient to cover and wet the entire polishing pad.
  • Each slurry/rinse arm 52 also includes several spray nozzles (not shown) that can provide a high-pressure fluid rinse on to the polishing pad at the end of each polishing and conditioning cycle.
  • two or more intermediate washing stations 55a, 55b, and 55c may be positioned between adjacent polishing stations 25a, 25b, and 25c to clean the substrate as it passes from one station to the next.
  • a rotatable multi-head carousel 60 is positioned above the lower machine base 22.
  • the carousel 60 includes four carrier head systems 70a, 70b, 70c, and 70d. Three of the carrier head systems receive or hold the substrates 10 by pressing them against the polishing pads 100 or 110 disposed on the polishing stations 25a-25c. One of the carrier head systems 70a-70d receives a substrate from and delivers a substrate 10 to the transfer station 27.
  • the carousel 60 is supported by a center post 62 and is rotated about a carousel axis 64 by a motor assembly (not shown) located within the machine base 22.
  • the center post 62 also supports a carousel support plate 66 and a cover 68.
  • the four carrier head systems 70a-70d are mounted on the carousel support plate 66 at equal angular intervals about the carousel axis 64.
  • the center post 62 allows the carousel motor to rotate the carousel support plate 66 and orbit the carrier head systems 70a-70d about the carousel axis 64.
  • Each carrier head system 70a-70d includes one carrier head 80.
  • a carrier drive shaft 78 connects a carrier head rotation motor 76 (shown by the removal of one quarter of the cover 68) to the carrier head 80 so that the carrier head 80 can independently rotate about its own axis.
  • each carrier head 80 independently oscillates laterally in a radial slot 72 formed in the carousel support plate 66.
  • the carrier head 80 performs several mechanical functions. Generally, the carrier head 80 holds the substrate 10 against the polishing pad 100 or 110, evenly distributes a downward pressure across the back surface of the substrate 10, transfers torque from the drive shaft 78 to the substrate 10, and ensures that the substrate 10 does not slip out from beneath the carrier head 80 during polishing operations. Chemical Mechanical Polishing Process and Composition.
  • CMP is broadly defined herein as polishing a substrate by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.
  • a substrate is polished on a pad in the presence of a polishing fluid, also known as a slurry, which may contain chemicals that pacify or oxidize the layer being polished and abrasives that abrasively remove or polish off the surface of the layer.
  • a polishing fluid also known as a slurry
  • the interaction of a polishing pad, the chemically reactive polishing fluid, and the abrasive polishing fluid with the surface of the substrate imparts a combination of chemical and mechanical forces to the substrate which planarizes the substrate surface and results in controlled polishing of the exposed layer.
  • a polishing pad called a fixed abrasive pad which does not require abrasive particles within the slurry.
  • a polishing fluid without abrasive particles is used in concert with the fixed abrasive pad to provide the chemical component of the polishing process.
  • a substrate surface processed by the methods and compositions described herein generally comprises a dielectric layer with feature definitions formed therein, a barrier layer deposited on the dielectric layer, and a copper containing material deposited on the barrier layer.
  • the copper containing material includes copper, copper alloys, or doped copper.
  • the phrase "copper containing material" and the symbol Cu are intended to encompass high purity elemental copper as well as doped copper, e.g. phosphorous doped copper and copper-based alloys, e.g., copper-based alloys containing at least about 80 wt.% copper.
  • the barrier layer material includes tantalum-containing materials, such as tantalum, tantalum nitride, or tantalum silicon nitride. Other barrier materials conventionally used in the art for aluminum, copper, and tungsten metallization processes are also contemplated by the invention.
  • the dielectric layer can comprise any of various dielectric materials conventionally employed in the manufacture of semiconductor devices.
  • dielectric materials such as silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD) can be employed.
  • the dielectric layer can also comprise low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polyamides, and carbon-containing silicon dioxide, such as Black DiamondTM, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • the openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques.
  • a two step planarizing process for removing copper containing residues and barrier layer materials from a substrate surface following bulk removal of excess copper containing material disposed thereon is provided.
  • a first composition is used to selectively remove residual copper containing material remaining from the bulk removal process and at least a portion of the underlying barrier layer material.
  • a second composition selectively removes residual barrier layer material, which advantageously stops on an underlying dielectric layer, thereby planarizing the surface of the substrate.
  • the bulk of the copper containing material can be selectively removed using a CMP composition comprising one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, and deionized water.
  • the CMP composition may also further include one or more pH adjusting agents and/or abrasive particles.
  • the CMP composition is well suited for removing copper from the substrate described above with minimal removal of the barrier layer material. Copper can be selectively removed at a ratio between about 1 :0 and about 1 :0.2 of copper to barrier material. Selectivity is defined broadly herein as the rate of removal of one material in comparison to the rate of removal of a second or additional materials in a CMP process. Selective to a "material” is broadly defined herein as removing the material at an equal or higher rate than other materials or adjacent materials in a CMP process.
  • the one or more chelating agents may include one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide.
  • the one or more chelating agents can be present in an amount between about 0.2 vol% to about 3.0 vol% of the CMP composition.
  • the oxidizers can be any of various conventional oxidizers employed in CMP compositions and processes, such as hydrogen peroxide, ferric nitride, or other compounds such as iodates.
  • the oxidizers can be present in an amount between about 0.5 vol% and about 8.0 vol% of the CMP composition.
  • corrosion inhibitors include any of various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-l-benzotriazole.
  • the corrosion inhibitors can be present in an amount between about 0.02 vol% and about 1.0 vol% of the CMP composition.
  • the pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the CMP composition to a range of about 2.5 to about 11 and can comprise any of various bases, such as potassium hydroxide (KOH), or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid.
  • KOH potassium hydroxide
  • the pH is adjusted based on the composition of the various components of the composition, for example if hydroxylamine is used as the reducing agent, potassium hydroxide is added to the composition to produce an alkaline pH, i.e., between a pH of about 7 and about 10.
  • the bulk CMP composition may further comprise up to about 35 wt.% of abrasive particles, such as silica.
  • abrasive particles such as silica.
  • Other chelating agents, oxidizers, corrosion inhibitors, and pH adjusting agents are contemplated for use with the invention.
  • the above-specified components are illustrative and should not be construed as limiting the invention.
  • the bulk CMP composition is more fully described in co-pending U.S. Patent Application No. 09/543,777, entitled, "Composition For Metal CMP With Low Dishing And Overpolish Insensitivity," filed on April 5, 2000, and incorporated herein by reference to the extent not inconsistent with the invention.
  • the first CMP composition used in the first step of the two step barrier layer material planarizing process may remove the residual copper containing material, or copper containing material residue, and a portion of the barrier layer material from the substrate at a ratio of copper containing material to barrier layer between about 1 :1 and about 2:1, thereby having a selectivity to copper containing materials between about 1:1 and about 2:1.
  • a selectivity of the copper containing material to the barrier layer material of about 1 : 1 is used to ensure that the copper containing material and the barrier layer material are removed at about the same rate.
  • the first CMP composition may comprise an abrasive-free CMP composition comprising one or more reducing agents, one or more pH adjusting agents, one or more corrosion inhibitors, one or more chelating agents, and deionized water.
  • the reducing agent can be selected -from the group of hydroxylamine, glucose, sulfothionate, potassium iodide, and combinations thereof.
  • the reducing agent can be present in an amount between about 0.005 wt. % to about 10 wt. % of the first CMP composition. In one aspect of the invention, a concentration of about 0.1 wt.% of reducing agent is used in the first composition.
  • the one or more chelating agents may include conventional chelating agents such as iminodiaetic acid or include one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine, or methylformamide, and can include one or more acids, such as oxalic acid and acetic acid.
  • the one or more chelating agents can also include compounds having one or more quinoline groups, such as 8-hydroxyquinoline.
  • the one or more chelating agents can be present in an amount between about 0.005 vol% to about 0.5 vol% of the CMP composition.
  • the chelating agent comprises about 0.05wt.% of the composition
  • the pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the first CMP composition to a range of about 2.5 to about 11 and can comprise any of various bases, such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, nitric acid, or oxalic acid.
  • bases such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, nitric acid, or oxalic acid.
  • Examples of corrosion inhibitors that may be included in the first CMP composition may be any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, 5-methyl benzotriazole, or 5-methyl-l-benzotriazole.
  • the one or more corrosion inhibitors can be present in an amount between about 0.01 vol% to about 0.2 vol% of the CMP composition.
  • abrasives may be added to the first CMP composition to improve polishing of the substrate surface.
  • the CMP composition may contain up to about 5 wt.% of abrasives.
  • One example of a CMP composition having abrasive particles includes a colloidal suspension of silicon oxide particles, with an average size of about 50 nm.
  • Other abrasive components which may be used in CMP compositions include, but are not limited to, alumina, zirconium oxide, titanium oxide, cerium oxide, or any other abrasives known in the art and used in conventional CMP compositions.
  • An example of the first CMP composition includes between about 0.005 wt.% and about 10 wt.% of a reducing agent, e.g., about 0.1 wt.%., such as hydroxylamine, between about 0.005 wt.% and about 0.5 wt.% e.g., about 0.05 wt.%, of a chelating agent, such as hydroxyquinoline, between about 0.01 wt.% and about 0.2 wt.% corrosion inhibitor, e.g., about 0.05 wt.%, such as 5-methyl benzotriazole, an amount of pH agent, such as acetic acid, to adjust the pH between about 7 and about 10, and the balance being deionized water.
  • a reducing agent e.g., about 0.1 wt.%., such as hydroxylamine
  • a chelating agent such as hydroxyquinoline
  • corrosion inhibitor e.g., about 0.05 wt.%, such as 5-methyl benzotri
  • the first CMP composition is believed to remove the residual copper containing material disposed on the barrier layer by oxidizing any copper containing material to produce oxidized copper material, such as Cu ions or copper oxide (CuO), during the CMP process.
  • oxidized copper containing residue is then mechanically abraded by the polishing pad from the substrate surface and may be entrained in the first CMP composition.
  • the barrier layer material is typically mechanically abraded by the polishing pad from the substrate surface.
  • the chelating agent in the first CMP composition chemically reacts with any metal ions produced during the CMP process to form soluble metal complexes to increase the removal of the copper containing residue from the substrate surface.
  • the second CMP composition used in the second step selectively polishes, i.e., removes, residual barrier layer material at a higher removal rate than removal of the dielectric material disposed adjacent thereto.
  • the second CMP composition used in the second step may remove the barrier layer material and the dielectric material from the substrate at a ratio of barrier layer material to the dielectric material between about 1:0 and about 1:0.2, thereby having a selectivity to barrier layer materials between about 1 :0 and about 1 :0.2.
  • the second CMP composition may be selected to polish the barrier layer material at a sufficient selectivity to minimize polishing of the dielectric layer or stop polishing on contact with the dielectric layer as well as reduce dishing in the copper containing features formed in the substrate surface.
  • the barrier layer, the copper containing material and the dielectric layer are removed from the substrate at a ratio of barrier layer to copper containing material to dielectric layer of about 1:0.2:0.2 and about 1:0:0, thereby having a selectivity of about 1:0.2:0.2 and about 1:0:0.
  • a higher barrier layer material removal rate in comparison to the removal rate of the copper containing material and dielectric material has been observed to reduce dishing of the copper containing material and erosion and oxide loss of the dielectric material.
  • the second CMP composition may comprise an abrasive-free CMP composition, or have a low abrasive particle concentration (i.e., ⁇ 1.0%), comprising at least one reducing agent for reducing ions of at least one transition metal to a lower valence state, ions of the at least one transition metal, at least one pH adjusting agent for providing the composition with a pH between about 4 and about 12, at least one metal corrosion inhibitor, and water.
  • the composition may further comprise abrasive particles, at least one pH buffering agent, at least one metal chelating agent, or combinations thereof.
  • Suitable transition metal ions for use in performing selective CMP of barrier layer materials include, but are not limited to, copper (Cu), iron (Fe) ions, and silver (Ag) ions.
  • the ions of the transition metal are either contained in the aqueous liquid composition when the composition is applied to the substrate surface or to the polishing pad, or are introduced into the composition subsequent to the application of the composition to the substrate surface or to the polishing pad.
  • the requisite Cu transition metal ions are formed in situ during polishing of the copper and tantalum layers and then supplied to the composition for use in polishing of the barrier layer materials in the second CMP step.
  • copper residue from an earlier processing step still present on the surface of the substrate may be the source of the metal ions.
  • the requisite transitional metal ions may also be supplied to the second composition by contacting a metal disk, such as a copper disk, with the polishing pad, or by addition of a solution of metal ions to the second composition.
  • the reducing agent can be selected from hydroxylamine, glucose, sulfothionate, potassium iodide, and combinations thereof at a concentration between about 0.005 wt. % to about 10 wt. % of the composition.
  • the at least one pH adjusting agent may be present at a concentration sufficient to provide the composition with a pH between about 4 and about 12, for example a pH between about 8 and about 12 when hydroxylamine is used as the reducing agent in the composition.
  • the metal corrosion inhibitor typically organic compounds comprising at least one azole group, such as benzotriazo, for moderating metal loss of copper containing materials during the selective CMP, can comprise about 2.0 wt. % or less of the CMP composition.
  • the composition may, if desired in order to enhance the removal rate, also contain a small amount of abrasive particles, e.g., up to about 10 wt. % of abrasive particles, typically about 0.3 to about 1.0 wt. % of abrasive particles, such as of silica (SiO 2 ), alumina (Al 2 O 3 ), or titania (TiO 2 ).
  • abrasive particles e.g., up to about 10 wt. % of abrasive particles, typically about 0.3 to about 1.0 wt. % of abrasive particles, such as of silica (SiO 2 ), alumina (Al 2 O 3 ), or titania (TiO 2 ).
  • the composition may further include about 0.1 to about 8 wt. % of at least one pH buffering agent, such as an alkali metal bicarbonate and tetraborate-tetrahydrate salts, about 0.01 to about 0.5 wt. % of at least one metal chelating agent comprising, for example, carboxylate and/or amino groups, or combinations thereof to improve polishing performance.
  • at least one pH buffering agent such as an alkali metal bicarbonate and tetraborate-tetrahydrate salts
  • at least one metal chelating agent comprising, for example, carboxylate and/or amino groups, or combinations thereof to improve polishing performance.
  • An example of the second CMP composition includes between about between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide, a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12, about 2.0 wt.% or less of 5-methyl benzotriazole, and deionized water.
  • the composition further includes between about 0.3 wt.% and about 1.0 wt.% of silica abrasive particle, between about 0.1 wt. % and about 8 wt.
  • % of an alkali metal bicarbonate and tetraborate-tetrahydrate salt as a buffering agent between about 0.01 wt. % and about 0.5 wt. % chelating agent having carboxylate and or amino groups.
  • first and second CMP compositions facilitates rapid and selective planarization of tantalum containing barrier layer materials.
  • problems attendant upon CMP of tantalum containing barrier layer materials stem from the chemically inert nature of tantalum and its compounds.
  • Conventional CMP is predicated upon a combination of chemical reaction and mechanical action (abrasion) for material removal. Since tantalum and its related compounds are relatively inert after oxidation, mechanical abrasion is the predominant mechanism for removing tantalum containing barrier layer materials.
  • the low valence state transition metal ions of the compositions facilitate CMP of the tantalum containing barrier layer materials, even in the absence of abrasive particles.
  • the two-step process reduces dishing of the copper containing material disposed in the feature and on the barrier layer materials during chemical mechanical polishing of the substrate surface when copper containing residue is removed prior to polishing the barrier layer material.
  • the two-step removal process with the second step having an increased selectivity to the barrier layer over the copper containing layer and the dielectric layer is observed to have reduced erosion and reduced dielectric material layer loss, particularly with SiO 2 layers and low metal (e.g., Cu) loss at relatively high tantalum removal rates (> 750 A/min.).
  • the process and CMP composition have been observed to produce a good uniformity of planarization on the substrate.
  • the ability to planarize using an abrasive-free process or a process utilizing a very low concentration of abrasives results in lower production and operation costs.
  • the two-step barrier layer removal process described herein can be performed on a single platen rather than having each step performed on two separate platens. This improves the processing efficiency by increasing the number of steps that can be performed on a polishing apparatus and improve equipment usage by minimizing the need for additional equipment.
  • the process is compatible with the requirements for manufacturing throughput on a large scale, and is fully compatible with all other aspects of conventional polishing technology utilized in the manufacture of high integration density semiconductor devices.
  • Figures 2-4 are series of schematic cross-sectional views of a substrate illustrating sequential phases of a process for forming an in-laid metallization pattern utilizing the two- step planarization process described herein.
  • the substrate includes a dielectric layer 110, such as a silicon oxide or a carbon-doped silicon oxide, formed on a substrate 100.
  • a dielectric layer 110 such as a silicon oxide or a carbon-doped silicon oxide
  • a plurality of openings 111 patterned and etched into the dielectric in area A forming features for a dense array of conductive lines with area B being unetched.
  • the openings 111 are spaced apart by a distance C which can be less than about 1 micron, such as about 0.2 micron, or greater than 10 microns, such as 20 microns.
  • the openings 111 were formed in the dielectric layer 110 by conventional photolithographic and etching techniques.
  • a copper layer 113 is disposed on the barrier layer at a thickness (D) between about 8,000A and about 18,OO ⁇ A.
  • the bulk of the copper layer 113 is removed using a CMP copper polishing process with the bulk CMP composition described herein.
  • the bulk CMP composition removes the copper layer 113 to the tantalum containing barrier layer 112. Removing the copper material by a bulk CMP composition having a selectivity of about 1 :0 between copper and tantalum containing allows for effective removal of the copper layer 113 to the tantalum containing layer 112, minimizes dishing of the copper later 113, and minimizes formation of a non-planar surface.
  • the two step planarization of the barrier layer is performed according to the process and CMP composition disclosed herein.
  • a first composition is used to remove all or substantially all of the residual copper containing material and a portion of the tantalum containing barrier layer 112 from the substrate 100, and a second CMP composition removes the residual tantalum containing barrier layer 112.
  • the second CMP composition typically stops on the dielectric layer to prevent excessive removal of the dielectric material, thereby completing planarization.
  • a first CMP composition having a selectivity of copper to barrier of about 1 : 1 ensures the removal of the residual copper prior to removal of the residual barrier layer 112.
  • a second CMP composition having a high selectivity to the tantalum containing barrier layer 112 in comparison to the copper layer 113 and the dielectric layer 110 of about 1:0.2:0.2 allows for removal of substantially all of the tantalum containing material while minimizing removal of the dielectric layer 110.
  • the dielectric layer 110 may be polished during the second CMP process to remove or reduce scratching or defects formed on the substrate surface.
  • the resulting copper features comprises a dense array (A) of copper lines 113 bordered by open field B and the planar surface 114 of the copper metallization and substrate 100.
  • a substrate including a low k dielectric layer with feature definitions formed therein, a tantalum containing barrier layer conformally deposited on the low k dielectric layer and in the feature definitions formed therein, and a copper layer deposited on the barrier layer and filling the feature definitions formed therein is provided to the CMP apparatus disclosed above.
  • the substrate is positioned over a first polishing pad of a first platen, and a bulk CMP composition having a selectivity of about 1:0 between the copper and the tantalum containing layer is delivered to the polishing pad.
  • An example of the bulk CMP composition includes between about 0.2 vol% to about 3.0 vol% ethylenediaminetetraacetic acid, between about 0.5 vol% and about 8.0 vol% hydrogen peroxide, between about 0.02 vol% and about 1.0 vol% benzotriazole, and a pH adjusting to adjust the pH of the CMP composition to a range between about 2.5 and about 11.
  • the substrate is then transferred to a second polishing pad on a second platen, and a first CMP composition having a selectivity of about 1 :0 between the tantalum containing layer and the dielectric material is delivered to the polishing pad.
  • a first CMP composition includes between about 0.005 wt.% and about 10 wt.% of a reducing agent, e.g., about 0.1 wt.%., such as hydroxylamine, between about 0.005 wt.% and about 0.5 wt.% e.g., about 0.05 wt.%, of a chelating agent, such as hydroxyquinoline, between about 0.01 wt.% and about 0.2 wt.% corrosion inhibitor, e.g., about 0.05 wt.%, such as 5-methyl benzotriazole, an amount of pH agent, such as acetic acid, to adjust the pH between about 7 and about 10, and the balance being deionized water.
  • a reducing agent e.g
  • the first CMP composition may also include up to about 5 wt.% of abrasives.
  • the pressure of the polishing pad pressure of between about 1 to about 8 psi.
  • the substrate is then polished for a requisite amount of time sufficient for complete or substantially complete removal of the copper containing material and a portion of the underlying barrier layer.
  • the second CMP composition having a selectivity of about 10:1:1 of the tantalum containing layer to the copper to the low k dielectric material described herein is delivered to the same polishing pad.
  • An example of the second CMP composition includes between about between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide, a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12, about 2.0 wt.% or less of 5-methyl benzotriazole, and deionized water.
  • the composition further includes between about 0.3 wt.% and about 1.0 wt.% of silica abrasive particle, between about 0.1 wt. % and about 8 wt. % of an alkali metal bicarbonate and tetraborate-tetrahydrate salt as a buffering agent, and between about 0.01 wt. % and about 0.5 wt. % chelating agent having carboxylate and/or amino groups.
  • the pressure of the polishing pad pressure of between about 1 to about 8 psi.
  • the substrate is then polished for a requisite amount of time sufficient to remove all or substantially all of the barrier layer to the dielectric layer.

Abstract

A method and composition for planarizing a substrate surface having a barrier layer disposed thereon. In one aspect, the invention provides for planarizing a substrate surface having a barrier layer and a copper containing material disposed thereon including chemical mechanical polishing the substrate to selectively remove excess copper containing material, chemical mechanical polishing the substrate to selectively remove residual copper containing material and a portion of the barrier layer, and chemical mechanical polishing the substrate to selectively remove residual barrier layer.

Description

METHOD AND APPARATUS FOR TWO-STEP BARRIER LAYER POLISHING
BACKGROUND OF THE INVENTION Field of the Invention
The present invention relates generally to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.
Background of the Related Art
Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology has placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias, contacts, lines, and other interconnects. Reliable formation of these interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
In order to further improve the current density of semiconductor devices on integrated circuits, it has become necessary to use conductive materials having low resistivity for conductors and materials having low dielectric constant (low k, defined herein as having dielectric constants, k, less than about 4.0) as insulating layers to reduce the capacitive coupling between adjacent interconnects. Increased capacitative coupling between layers can detrimentally affect the functioning of semiconductor devices.
One conductive material gaining acceptance is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum), and a higher current carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
One difficulty in using copper in semiconductor devices is that copper is difficult to etch and achieve a precise pattern. Etching with copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory.
Therefore, new methods of manufacturing interconnects having copper containing materials and low k dielectric materials are being developed. One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method. In the damascene method, one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, i.e. vias, and horizontal interconnects, i.e., lines. Conductive materials, such as copper, and other materials, such as barrier layer materials used to prevent diffusion of conductive material into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess conductive material and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed. Barrier layer materials include, for example, tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and titanium nitride.
As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or "polishing" a surface, is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in damascene processes to remove excess deposited material and to provide an even surface for subsequent levels of metallization and processing. Planarization may also be used in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials.
Chemical mechanical planarization, or chemical mechanical polishing (CMP), is a common technique used to planarize substrates. In conventional CMP techniques, a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition to effect both chemical activity and mechanical activity.
Conventionally, in polishing copper features, such as a dual damascenes, the copper material is polished to the barrier layer, and then the barrier layer is polished to the underlying dielectric layer. One challenge which is presented in copper polishing is that the interface between copper and the barrier layer is generally non-planar. Further, the copper material and the barrier materials are often removed from the substrate surface at different rates. These challenges in copper removal often results in the retention of copper containing material, or residue, on the surface of the substrate. To ensure removal of all the copper material and residue before removing the barrier material, it is necessary to overpolish the copper and the interface. Overpolishing of copper and the interface can result in forming topographical defects, such as concavities or depressions, referred to as dishing, and can further lead to non-uniform removal of the barrier layer disposed thereunder.
Figure 5 is a schematic view of a substrate illustrating the phenomenon of dishing. Conductive lines 211 and 212 are formed by depositing conductive material, such as copper or copper alloy, in a feature definition formed in the dielectric layer 210, typically comprised of silicon oxides or other dielectric materials. After planarization, for example, a portion of the conductive material is depressed by an amount D, referred to as the amount of dishing, forming a concave copper surface. Dishing results in a non-planar surface that impairs the ability to print high resolution lines during subsequent photolithographic steps and detrimentally affects subsequent surface topography of the substrate and device formation. Dishing also detrimentally affects the performance of devices by lowering the conductance and increasing the resistance of the devices, contrary to the benefit of using higher conductive materials, such as copper.
Therefore, there exists a need for a method and related CMP composition which facilitates the removal of copper containing material residue and the barrier layer, and provides selectivity therebetween and to the underlying dielectric layer.
SUMMARY OF THE INVENTION
The invention generally provides a method and composition for planarizing a substrate surface having a barrier layer disposed thereon. In one aspect, the invention provides for planarizing a substrate surface, comprising providing a substrate comprising a dielectric layer with feature definitions formed therein, a barrier layer conformally deposited on the dielectric layer and in the feature definitions formed therein, and a copper containing material deposited on the barrier layer and filling the feature definitions formed therein, chemical mechanical polishing the substrate with a bulk CMP composition to substantially remove excess copper containing materials, chemical mechanical polishing the substrate with a first CMP composition to remove residual copper containing materials and at least a portion of the barrier layer, and chemical mechanical polishing the substrate with a second CMP composition to selectively remove residual barrier layer.
In another aspect, the invention provides a method for planarizing a substrate surface, comprising providing a substrate comprising a dielectric layer with feature definitions formed therein, a barrier layer conformally deposited on the dielectric layer and in the feature definitions formed therein, and a copper containing material deposited on the barrier layer and filling the feature definitions formed therein, supplying a bulk polishing composition to the substrate, removing substantially excess copper containing material at a ratio of copper containing material to barrier layer between about 1:0 and about 1:0.01 by a polishing technique, supplying a first polishing composition to the substrate, removing residual copper containing materials and removing a portion of the barrier layer from the substrate at a ratio of copper containing material to barrier layer between about 2: 1 and about 1 :1 by a polishing technique, supplying a second polishing composition to the substrate, and removing residual barrier layer from the surface of the substrate at a ratio of barrier layer to copper containing material to dielectric layer between about 1 :0:0 and about 1:0.2:0.2 by a chemical mechanical polishing technique.
Another aspect of the invention provides a method for planarizing a barrier layer comprising a tantalum containing material on a substrate surface, comprising chemical mechanical polishing the substrate to selectively remove residual copper containing material and a portion of the tantalum containing material therefrom, and then chemical mechanical polishing the substrate to selectively remove residual tantalum containing material therefrom.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus;
Figures 2-4 are schematic diagrams of a substrate illustrating one embodiment of a process for planarizing a substrate surface described herein; and
Figure 5 is a schematic view of a substrate illustrating the phenomenon of dishing.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
In general, aspects of the invention provide a method and polishing composition for removing conductive material and barrier layer materials while eliminating or substantially reducing dishing. The invention will be described below in reference to the removal of copper and tantalum/tantalum nitride barrier layers from a substrate surface by a chemical mechanical polishing (CMP) technique. CMP is broadly defined herein as polishing a substrate by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.
One apparatus for performing the planarizing process and composition described herein is a Mirra® CMP System available from Applied Materials, Inc., as shown and described in U.S. Patent No. 5,738,574, entitled, "Continuous Processing System for Chemical Mechanical Polishing," the entirety of which is incorporated herein by reference to the extent not inconsistent with the invention. Although, the CMP process and composition is illustrated utilizing the Mirra® CMP System, any system enabling chemical mechanical polishing using the composition described herein can be used to advantage. Examples of other suitable polishing apparatus include the Obsidian 8200C System available from Applied Materials, Inc., or a linear polishing system, using a sliding or circulating polishing belt or similar device. An example of a linear polishing system is more fully described in co- pending U.S. Patent Application Serial No. 09/244,456, filed on February 4, 1999, and incorporated herein by reference to the extent not inconsistent with the invention. The following apparatus description is illustrative and should not be construed or interpreted as limiting the scope of the invention.
Figure 1 is a schematic perspective view of a chemical mechanical polishing apparatus 20. The polishing apparatus 20 includes a lower machine base 22 with a table top 28 mounted thereon and a removable outer cover (not shown). The table top 28 supports a series of polishing stations, including a first polishing station 25a, a second polishing station 25b, a final polishing station 25c, and a transfer station 27. The transfer station 27 serves multiple functions, including, for example, receiving individual substrates 10 from a loading apparatus (not shown), washing the substrates, loading the substrates into carrier heads 80, receiving the substrates 10 from the carrier heads 80, washing the substrates 10 again, and transferring the substrates 10 back to the loading apparatus.
Each polishing station 25a-25c includes a rotatable platen 30 having a polishing pad 100 or 110 disposed thereon. Each platen 30 may be a rotatable aluminum or stainless steel plate connected to a platen drive motor (not shown). The polishing stations 25a-25c may include a pad conditioner apparatus 40. The pad conditioner apparatus 40 has a rotatable arm 42 holding an independently rotating conditioner head 44 and an associated washing basin 46. The pad conditioner apparatus 40 maintains the condition of the polishing pad so that it will effectively polish the substrates. Each polishing station may include a conditioning station if the CMP apparatus is used with other pad configurations.
The polishing stations 25a-25c may each have a slurry/rinse arm 52 that includes two or more supply tubes to provide one or more chemical slurries and/or water to the surface of the polishing pad. The slurry/rinse arm 52 delivers the one or more chemical slurries in amounts sufficient to cover and wet the entire polishing pad. Each slurry/rinse arm 52 also includes several spray nozzles (not shown) that can provide a high-pressure fluid rinse on to the polishing pad at the end of each polishing and conditioning cycle. Furthermore, two or more intermediate washing stations 55a, 55b, and 55c may be positioned between adjacent polishing stations 25a, 25b, and 25c to clean the substrate as it passes from one station to the next.
A rotatable multi-head carousel 60 is positioned above the lower machine base 22. The carousel 60 includes four carrier head systems 70a, 70b, 70c, and 70d. Three of the carrier head systems receive or hold the substrates 10 by pressing them against the polishing pads 100 or 110 disposed on the polishing stations 25a-25c. One of the carrier head systems 70a-70d receives a substrate from and delivers a substrate 10 to the transfer station 27. The carousel 60 is supported by a center post 62 and is rotated about a carousel axis 64 by a motor assembly (not shown) located within the machine base 22. The center post 62 also supports a carousel support plate 66 and a cover 68.
The four carrier head systems 70a-70d are mounted on the carousel support plate 66 at equal angular intervals about the carousel axis 64. The center post 62 allows the carousel motor to rotate the carousel support plate 66 and orbit the carrier head systems 70a-70d about the carousel axis 64. Each carrier head system 70a-70d includes one carrier head 80. A carrier drive shaft 78 connects a carrier head rotation motor 76 (shown by the removal of one quarter of the cover 68) to the carrier head 80 so that the carrier head 80 can independently rotate about its own axis. There is one carrier drive shaft 78 and motor 76 for each head 80. In addition, each carrier head 80 independently oscillates laterally in a radial slot 72 formed in the carousel support plate 66.
The carrier head 80 performs several mechanical functions. Generally, the carrier head 80 holds the substrate 10 against the polishing pad 100 or 110, evenly distributes a downward pressure across the back surface of the substrate 10, transfers torque from the drive shaft 78 to the substrate 10, and ensures that the substrate 10 does not slip out from beneath the carrier head 80 during polishing operations. Chemical Mechanical Polishing Process and Composition.
CMP is broadly defined herein as polishing a substrate by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity. In some systems, a substrate is polished on a pad in the presence of a polishing fluid, also known as a slurry, which may contain chemicals that pacify or oxidize the layer being polished and abrasives that abrasively remove or polish off the surface of the layer. The interaction of a polishing pad, the chemically reactive polishing fluid, and the abrasive polishing fluid with the surface of the substrate imparts a combination of chemical and mechanical forces to the substrate which planarizes the substrate surface and results in controlled polishing of the exposed layer. In a fixed-abrasive system, a polishing pad called a fixed abrasive pad is used which does not require abrasive particles within the slurry. Typically, a polishing fluid without abrasive particles is used in concert with the fixed abrasive pad to provide the chemical component of the polishing process.
A substrate surface processed by the methods and compositions described herein generally comprises a dielectric layer with feature definitions formed therein, a barrier layer deposited on the dielectric layer, and a copper containing material deposited on the barrier layer. The copper containing material includes copper, copper alloys, or doped copper. As used throughout this disclosure, the phrase "copper containing material" and the symbol Cu are intended to encompass high purity elemental copper as well as doped copper, e.g. phosphorous doped copper and copper-based alloys, e.g., copper-based alloys containing at least about 80 wt.% copper. The barrier layer material includes tantalum-containing materials, such as tantalum, tantalum nitride, or tantalum silicon nitride. Other barrier materials conventionally used in the art for aluminum, copper, and tungsten metallization processes are also contemplated by the invention.
The dielectric layer can comprise any of various dielectric materials conventionally employed in the manufacture of semiconductor devices. For example, dielectric materials, such as silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD) can be employed. The dielectric layer can also comprise low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polyamides, and carbon-containing silicon dioxide, such as Black Diamond™, commercially available from Applied Materials, Inc., of Santa Clara, California. The openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques. In one embodiment of the invention, a two step planarizing process for removing copper containing residues and barrier layer materials from a substrate surface following bulk removal of excess copper containing material disposed thereon is provided. In the first step, a first composition is used to selectively remove residual copper containing material remaining from the bulk removal process and at least a portion of the underlying barrier layer material. A second composition selectively removes residual barrier layer material, which advantageously stops on an underlying dielectric layer, thereby planarizing the surface of the substrate.
The bulk of the copper containing material can be selectively removed using a CMP composition comprising one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, and deionized water. The CMP composition may also further include one or more pH adjusting agents and/or abrasive particles. The CMP composition is well suited for removing copper from the substrate described above with minimal removal of the barrier layer material. Copper can be selectively removed at a ratio between about 1 :0 and about 1 :0.2 of copper to barrier material. Selectivity is defined broadly herein as the rate of removal of one material in comparison to the rate of removal of a second or additional materials in a CMP process. Selective to a "material" is broadly defined herein as removing the material at an equal or higher rate than other materials or adjacent materials in a CMP process.
In the bulk CMP composition, the one or more chelating agents may include one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide. The one or more chelating agents can be present in an amount between about 0.2 vol% to about 3.0 vol% of the CMP composition. The oxidizers can be any of various conventional oxidizers employed in CMP compositions and processes, such as hydrogen peroxide, ferric nitride, or other compounds such as iodates. The oxidizers can be present in an amount between about 0.5 vol% and about 8.0 vol% of the CMP composition. Examples of corrosion inhibitors include any of various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-l-benzotriazole. The corrosion inhibitors can be present in an amount between about 0.02 vol% and about 1.0 vol% of the CMP composition.
The pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the CMP composition to a range of about 2.5 to about 11 and can comprise any of various bases, such as potassium hydroxide (KOH), or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid. The pH is adjusted based on the composition of the various components of the composition, for example if hydroxylamine is used as the reducing agent, potassium hydroxide is added to the composition to produce an alkaline pH, i.e., between a pH of about 7 and about 10.
The bulk CMP composition may further comprise up to about 35 wt.% of abrasive particles, such as silica. Other chelating agents, oxidizers, corrosion inhibitors, and pH adjusting agents are contemplated for use with the invention. The above-specified components are illustrative and should not be construed as limiting the invention. The bulk CMP composition is more fully described in co-pending U.S. Patent Application No. 09/543,777, entitled, "Composition For Metal CMP With Low Dishing And Overpolish Insensitivity," filed on April 5, 2000, and incorporated herein by reference to the extent not inconsistent with the invention.
The first CMP composition used in the first step of the two step barrier layer material planarizing process may remove the residual copper containing material, or copper containing material residue, and a portion of the barrier layer material from the substrate at a ratio of copper containing material to barrier layer between about 1 :1 and about 2:1, thereby having a selectivity to copper containing materials between about 1:1 and about 2:1. A selectivity of the copper containing material to the barrier layer material of about 1 : 1 is used to ensure that the copper containing material and the barrier layer material are removed at about the same rate.
The first CMP composition may comprise an abrasive-free CMP composition comprising one or more reducing agents, one or more pH adjusting agents, one or more corrosion inhibitors, one or more chelating agents, and deionized water. The reducing agent can be selected -from the group of hydroxylamine, glucose, sulfothionate, potassium iodide, and combinations thereof. The reducing agent can be present in an amount between about 0.005 wt. % to about 10 wt. % of the first CMP composition. In one aspect of the invention, a concentration of about 0.1 wt.% of reducing agent is used in the first composition.
The one or more chelating agents may include conventional chelating agents such as iminodiaetic acid or include one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine, or methylformamide, and can include one or more acids, such as oxalic acid and acetic acid. The one or more chelating agents can also include compounds having one or more quinoline groups, such as 8-hydroxyquinoline. The one or more chelating agents can be present in an amount between about 0.005 vol% to about 0.5 vol% of the CMP composition. In one aspect of the invention, the chelating agent comprises about 0.05wt.% of the composition The pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the first CMP composition to a range of about 2.5 to about 11 and can comprise any of various bases, such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, nitric acid, or oxalic acid.
Examples of corrosion inhibitors that may be included in the first CMP composition may be any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, 5-methyl benzotriazole, or 5-methyl-l-benzotriazole. The one or more corrosion inhibitors can be present in an amount between about 0.01 vol% to about 0.2 vol% of the CMP composition.
In one aspect of the first CMP composition, abrasives may be added to the first CMP composition to improve polishing of the substrate surface. The CMP composition may contain up to about 5 wt.% of abrasives. One example of a CMP composition having abrasive particles includes a colloidal suspension of silicon oxide particles, with an average size of about 50 nm. Other abrasive components which may be used in CMP compositions include, but are not limited to, alumina, zirconium oxide, titanium oxide, cerium oxide, or any other abrasives known in the art and used in conventional CMP compositions.
An example of the first CMP composition includes between about 0.005 wt.% and about 10 wt.% of a reducing agent, e.g., about 0.1 wt.%., such as hydroxylamine, between about 0.005 wt.% and about 0.5 wt.% e.g., about 0.05 wt.%, of a chelating agent, such as hydroxyquinoline, between about 0.01 wt.% and about 0.2 wt.% corrosion inhibitor, e.g., about 0.05 wt.%, such as 5-methyl benzotriazole, an amount of pH agent, such as acetic acid, to adjust the pH between about 7 and about 10, and the balance being deionized water.
The first CMP composition is believed to remove the residual copper containing material disposed on the barrier layer by oxidizing any copper containing material to produce oxidized copper material, such as Cu ions or copper oxide (CuO), during the CMP process. The oxidized copper containing residue is then mechanically abraded by the polishing pad from the substrate surface and may be entrained in the first CMP composition. The barrier layer material is typically mechanically abraded by the polishing pad from the substrate surface. The chelating agent in the first CMP composition chemically reacts with any metal ions produced during the CMP process to form soluble metal complexes to increase the removal of the copper containing residue from the substrate surface. In this manner, all or substantially all of the residual copper containing material is removed from the surface of the substrate and removal of the barrier layer to the dielectric materials on the substrate surface can be performed. The second CMP composition used in the second step selectively polishes, i.e., removes, residual barrier layer material at a higher removal rate than removal of the dielectric material disposed adjacent thereto. The second CMP composition used in the second step may remove the barrier layer material and the dielectric material from the substrate at a ratio of barrier layer material to the dielectric material between about 1:0 and about 1:0.2, thereby having a selectivity to barrier layer materials between about 1 :0 and about 1 :0.2.
The second CMP composition may be selected to polish the barrier layer material at a sufficient selectivity to minimize polishing of the dielectric layer or stop polishing on contact with the dielectric layer as well as reduce dishing in the copper containing features formed in the substrate surface. The barrier layer, the copper containing material and the dielectric layer are removed from the substrate at a ratio of barrier layer to copper containing material to dielectric layer of about 1:0.2:0.2 and about 1:0:0, thereby having a selectivity of about 1:0.2:0.2 and about 1:0:0. A higher barrier layer material removal rate in comparison to the removal rate of the copper containing material and dielectric material has been observed to reduce dishing of the copper containing material and erosion and oxide loss of the dielectric material.
The second CMP composition may comprise an abrasive-free CMP composition, or have a low abrasive particle concentration (i.e., <1.0%), comprising at least one reducing agent for reducing ions of at least one transition metal to a lower valence state, ions of the at least one transition metal, at least one pH adjusting agent for providing the composition with a pH between about 4 and about 12, at least one metal corrosion inhibitor, and water. The composition may further comprise abrasive particles, at least one pH buffering agent, at least one metal chelating agent, or combinations thereof.
Suitable transition metal ions for use in performing selective CMP of barrier layer materials include, but are not limited to, copper (Cu), iron (Fe) ions, and silver (Ag) ions. The ions of the transition metal are either contained in the aqueous liquid composition when the composition is applied to the substrate surface or to the polishing pad, or are introduced into the composition subsequent to the application of the composition to the substrate surface or to the polishing pad. For example, the requisite Cu transition metal ions are formed in situ during polishing of the copper and tantalum layers and then supplied to the composition for use in polishing of the barrier layer materials in the second CMP step. Additionally, copper residue from an earlier processing step still present on the surface of the substrate may be the source of the metal ions. The requisite transitional metal ions may also be supplied to the second composition by contacting a metal disk, such as a copper disk, with the polishing pad, or by addition of a solution of metal ions to the second composition.
The reducing agent can be selected from hydroxylamine, glucose, sulfothionate, potassium iodide, and combinations thereof at a concentration between about 0.005 wt. % to about 10 wt. % of the composition. The at least one pH adjusting agent may be present at a concentration sufficient to provide the composition with a pH between about 4 and about 12, for example a pH between about 8 and about 12 when hydroxylamine is used as the reducing agent in the composition. The metal corrosion inhibitor, typically organic compounds comprising at least one azole group, such as benzotriazo, for moderating metal loss of copper containing materials during the selective CMP, can comprise about 2.0 wt. % or less of the CMP composition.
The composition may, if desired in order to enhance the removal rate, also contain a small amount of abrasive particles, e.g., up to about 10 wt. % of abrasive particles, typically about 0.3 to about 1.0 wt. % of abrasive particles, such as of silica (SiO2), alumina (Al2O3), or titania (TiO2).
The composition may further include about 0.1 to about 8 wt. % of at least one pH buffering agent, such as an alkali metal bicarbonate and tetraborate-tetrahydrate salts, about 0.01 to about 0.5 wt. % of at least one metal chelating agent comprising, for example, carboxylate and/or amino groups, or combinations thereof to improve polishing performance.
An example of the second CMP composition includes between about between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide, a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12, about 2.0 wt.% or less of 5-methyl benzotriazole, and deionized water. The composition further includes between about 0.3 wt.% and about 1.0 wt.% of silica abrasive particle, between about 0.1 wt. % and about 8 wt. % of an alkali metal bicarbonate and tetraborate-tetrahydrate salt as a buffering agent, and between about 0.01 wt. % and about 0.5 wt. % chelating agent having carboxylate and or amino groups.
The operative mechanism by which the first and second CMP compositions facilitates rapid and selective planarization of tantalum containing barrier layer materials is not known with certainty. However, it is believed that the problems attendant upon CMP of tantalum containing barrier layer materials stem from the chemically inert nature of tantalum and its compounds. Conventional CMP is predicated upon a combination of chemical reaction and mechanical action (abrasion) for material removal. Since tantalum and its related compounds are relatively inert after oxidation, mechanical abrasion is the predominant mechanism for removing tantalum containing barrier layer materials. However, it is believed that the low valence state transition metal ions of the compositions facilitate CMP of the tantalum containing barrier layer materials, even in the absence of abrasive particles.
It is believed that the two-step process reduces dishing of the copper containing material disposed in the feature and on the barrier layer materials during chemical mechanical polishing of the substrate surface when copper containing residue is removed prior to polishing the barrier layer material. The two-step removal process with the second step having an increased selectivity to the barrier layer over the copper containing layer and the dielectric layer is observed to have reduced erosion and reduced dielectric material layer loss, particularly with SiO2 layers and low metal (e.g., Cu) loss at relatively high tantalum removal rates (> 750 A/min.). The process and CMP composition have been observed to produce a good uniformity of planarization on the substrate. Finally, the ability to planarize using an abrasive-free process or a process utilizing a very low concentration of abrasives results in lower production and operation costs.
Moreover, the two-step barrier layer removal process described herein can be performed on a single platen rather than having each step performed on two separate platens. This improves the processing efficiency by increasing the number of steps that can be performed on a polishing apparatus and improve equipment usage by minimizing the need for additional equipment. The process is compatible with the requirements for manufacturing throughput on a large scale, and is fully compatible with all other aspects of conventional polishing technology utilized in the manufacture of high integration density semiconductor devices.
Figures 2-4 are series of schematic cross-sectional views of a substrate illustrating sequential phases of a process for forming an in-laid metallization pattern utilizing the two- step planarization process described herein.
Referring to Figure 2, the substrate includes a dielectric layer 110, such as a silicon oxide or a carbon-doped silicon oxide, formed on a substrate 100. A plurality of openings 111 patterned and etched into the dielectric in area A forming features for a dense array of conductive lines with area B being unetched. Typically, the openings 111 are spaced apart by a distance C which can be less than about 1 micron, such as about 0.2 micron, or greater than 10 microns, such as 20 microns. The openings 111 were formed in the dielectric layer 110 by conventional photolithographic and etching techniques. A barrier layer 112 of a conductive material, such as Ta or TaN for a copper metallization, is disposed conformally in openings 111 and on the upper surface of the dielectric layer 110. A copper layer 113 is disposed on the barrier layer at a thickness (D) between about 8,000A and about 18,OOθA.
Referring to Figure 3, the bulk of the copper layer 113 is removed using a CMP copper polishing process with the bulk CMP composition described herein. The bulk CMP composition removes the copper layer 113 to the tantalum containing barrier layer 112. Removing the copper material by a bulk CMP composition having a selectivity of about 1 :0 between copper and tantalum containing allows for effective removal of the copper layer 113 to the tantalum containing layer 112, minimizes dishing of the copper later 113, and minimizes formation of a non-planar surface.
Referring to Figure 4, the two step planarization of the barrier layer is performed according to the process and CMP composition disclosed herein. A first composition is used to remove all or substantially all of the residual copper containing material and a portion of the tantalum containing barrier layer 112 from the substrate 100, and a second CMP composition removes the residual tantalum containing barrier layer 112. The second CMP composition typically stops on the dielectric layer to prevent excessive removal of the dielectric material, thereby completing planarization. A first CMP composition having a selectivity of copper to barrier of about 1 : 1 ensures the removal of the residual copper prior to removal of the residual barrier layer 112. A second CMP composition having a high selectivity to the tantalum containing barrier layer 112 in comparison to the copper layer 113 and the dielectric layer 110 of about 1:0.2:0.2 allows for removal of substantially all of the tantalum containing material while minimizing removal of the dielectric layer 110. However, the dielectric layer 110 may be polished during the second CMP process to remove or reduce scratching or defects formed on the substrate surface. The resulting copper features comprises a dense array (A) of copper lines 113 bordered by open field B and the planar surface 114 of the copper metallization and substrate 100.
Example:
An example of a two-step polishing process according to aspects of the invention described herein is as follows. A substrate including a low k dielectric layer with feature definitions formed therein, a tantalum containing barrier layer conformally deposited on the low k dielectric layer and in the feature definitions formed therein, and a copper layer deposited on the barrier layer and filling the feature definitions formed therein is provided to the CMP apparatus disclosed above. The substrate is positioned over a first polishing pad of a first platen, and a bulk CMP composition having a selectivity of about 1:0 between the copper and the tantalum containing layer is delivered to the polishing pad. An example of the bulk CMP composition includes between about 0.2 vol% to about 3.0 vol% ethylenediaminetetraacetic acid, between about 0.5 vol% and about 8.0 vol% hydrogen peroxide, between about 0.02 vol% and about 1.0 vol% benzotriazole, and a pH adjusting to adjust the pH of the CMP composition to a range between about 2.5 and about 11.
The substrate is then transferred to a second polishing pad on a second platen, and a first CMP composition having a selectivity of about 1 :0 between the tantalum containing layer and the dielectric material is delivered to the polishing pad. An example of the first CMP composition includes between about 0.005 wt.% and about 10 wt.% of a reducing agent, e.g., about 0.1 wt.%., such as hydroxylamine, between about 0.005 wt.% and about 0.5 wt.% e.g., about 0.05 wt.%, of a chelating agent, such as hydroxyquinoline, between about 0.01 wt.% and about 0.2 wt.% corrosion inhibitor, e.g., about 0.05 wt.%, such as 5-methyl benzotriazole, an amount of pH agent, such as acetic acid, to adjust the pH between about 7 and about 10, and the balance being deionized water. The first CMP composition may also include up to about 5 wt.% of abrasives. The pressure of the polishing pad pressure of between about 1 to about 8 psi. The substrate is then polished for a requisite amount of time sufficient for complete or substantially complete removal of the copper containing material and a portion of the underlying barrier layer.
Then, the second CMP composition having a selectivity of about 10:1:1 of the tantalum containing layer to the copper to the low k dielectric material described herein is delivered to the same polishing pad. An example of the second CMP composition includes between about between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide, a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12, about 2.0 wt.% or less of 5-methyl benzotriazole, and deionized water. The composition further includes between about 0.3 wt.% and about 1.0 wt.% of silica abrasive particle, between about 0.1 wt. % and about 8 wt. % of an alkali metal bicarbonate and tetraborate-tetrahydrate salt as a buffering agent, and between about 0.01 wt. % and about 0.5 wt. % chelating agent having carboxylate and/or amino groups. The pressure of the polishing pad pressure of between about 1 to about 8 psi. The substrate is then polished for a requisite amount of time sufficient to remove all or substantially all of the barrier layer to the dielectric layer. While the foregoing is directed to the one or more embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow including their equivalents.

Claims

What is Claimed is:
1. A method for planarizing a substrate surface, comprising: providing a substrate comprising a dielectric layer with feature definitions formed therein, a barrier layer conformally deposited on the dielectric layer and in the feature definitions formed therein, and a copper containing material deposited on the barrier layer and filling the feature definitions formed therein; chemical mechanical polishing the substrate with a bulk CMP composition to substantially remove excess copper containing materials; chemical mechanical polishing the substrate with a first CMP composition to remove residual copper containing materials and at least a portion of the barrier layer; and chemical mechanical polishing the substrate with a second CMP composition to selectively remove residual barrier layer.
2. The method of claim 1, wherein the barrier layer comprises tantalum or tantalum nitride.
3. The method of claim 1, wherein the first CMP composition is an abrasive-free chemical mechanical polishing composition comprising: a) one or more chelating agents; b) one or more reducing agents; c) one or more corrosion inhibitors; d) one or more pH adjusting agents; and e) deionized water.
4. The method of claim 1, wherein the first CMP composition is an abrasive-free chemical mechanical polishing composition comprising: a) between about 0.005 wt.% and about 0.5 wt.% of hydroxyquinoline as a chelating agent; b) between about 0.005 wt.% and about 10 wt.% of hydroxylamine as a reducing agent; c) between about 0.01 wt.% and about 0.20 wt.% of 5-methyl benzotriazole as a corrosion inhibitor; d) one or more pH adjusting agents to generate a pH between about 7 and about 10; and e) deionized water.
5. The method of claim 1, wherein the second CMP composition removes the barrier layer from the substrate at a ratio of barrier layer to copper containing material to dielectric material between about 1 :0:0 and about 1 :0.2:0.2.
6. The method of claim 1, wherein the first CMP composition removes the copper containing material and the barrier layer from the substrate at a ratio of copper containing material to barrier layer between about 1 : 1 and about 2: 1
7. The method of claim 1, wherein the second CMP composition is a chemical mechanical polishing composition comprising: a) at least one reducing agent for reducing ions of at least one transition metal to a lower valence state, wherein the ions of the at least one transition metal are contained in the composition prior to chemical mechanical polishing of the substrate or are introduced into the composition during chemical mechanical polishing of the substrate; b) at least one pH adjusting agent for providing the composition a pH between about 4 and about 12; c) at least one metal corrosion inhibitor; and d) deionized water.
8. The method of claim 7, wherein the second CMP composition further comprises an amount of abrasive particles, at least one pH buffering agent, at least one metal chelating agent, or combinations thereof.
9. The method of claim 1, wherein the second CMP composition comprises: a) between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide as a reducing agent for reducing ions of at least one transition metal to a lower valence state, wherein the ions of the at least one transition metal comprise a material selected from the group of copper (Cu), iron (Fe) ions, silver (Ag) ions, and combinations thereof; b) a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12; c) about 2.0 wt.% or less of 5-methyl benzotriazole as a corrosion inhibitor; and d) deionized water.
10. The method of claim 9, wherein the second CMP composition further comprises between about 0.03 wt.% and about 1.0 wt.% of silica abrasive particles, between about 0.1 wt. % and about 8 wt. % of an alkali metal bicarbonate and tetraborate-tetrahydrate salt as a pH buffering agent, between about 0.01 wt. % and about 2.0 wt. % chelating agent having carboxylate and/or amino groups, or combinations thereof.
11. A method for planarizing a substrate surface, comprising: providing a substrate comprising a dielectric layer with feature definitions formed therein, a barrier layer conformally deposited on the dielectric layer and in the feature definitions formed therein, and a copper containing material deposited on the barrier layer and filling the feature definitions formed therein; supplying a bulk chemical mechanical polishing composition to the substrate; removing substantially excess copper containing material at a ratio of copper containing material to barrier layer between about 1:0 and about 1:0.2 by a chemical mechanical polishing technique; supplying a first chemical mechanical polishing composition to the substrate; removing residual copper containing material and removing at least a portion of the barrier layer from the substrate at a ratio of copper containing material to barrier layer between about 1 : 1 and about 2: 1 by a chemical mechanical polishing technique; supplying a second chemical mechanical polishing composition to the substrate; and removing residual barrier layer from the substrate at a ratio of barrier layer to copper containing material to dielectric layer between about 1 :0:0 and about 1 :0.2:0.2 by a chemical mechanical polishing technique.
12. The method of claim 11, wherein the barrier layer comprises a material selected from the group of tantalum or tantalum nitride.
13. The method of claim 11, wherein first chemical mechanical polishing composition is an abrasive-free chemical mechanical polishing composition comprising: a) one or more chelating agents; b) one or more reducing agents; c) one or more corrosion inhibitors; d) one or more pH adjusting agents; and e) deionized water.
14. The method of claim 11, wherein the first chemical mechanical polishing composition comprises: a) between about 0.005 wt.% and about 0.5 wt.% of hydroxyquinoline as a chelating agent; b) between about 0.005 wt.% and about 10 wt.% of hydroxylamine as a reducing agent; c) between about 0.01 wt.% and about 0.20 wt.% of 5-methyl benzotriazole as a corrosion inhibitor; d) one or more pH adjusting agents to generate a pH between about 7 and about 10; and e) deionized water.
15. The method of claim 11, wherein the second chemical mechanical polishing composition comprises: a) at least one reducing agent for reducing ions of at least one transition metal to a lower valence state, wherein the ions of the at least one transition metal are contained in the composition prior to chemical mechanical polishing of the substrate or are introduced into the composition during chemical mechanical polishing of the substrate; b) at least one pH adjusting agent for providing the composition a pH between about 4 and about 12; c) at least one metal corrosion inhibitor; and d) deionized water.
16. The method of claim 15, wherein the second chemical mechanical polishing composition further comprises an amount of abrasive particles, at least one pH buffering agent, at least one metal chelating agent, or combinations thereof.
17. The method of claim 11, wherein the second chemical mechanical polishing composition comprises: a) between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide as a reducing agent for reducing ions of at least one transition metal to a lower valence state, wherein the ions of the at least one transition metal comprise a material selected from the group of copper (Cu), iron (Fe) ions, silver (Ag) ions, and combinations thereof; b) a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12; c) about 2.0 wt.% or less of 5-methyl benzotriazole as a corrosion inhibitor; and d) deionized water.
18. The method of claim 17, wherein the second chemical mechanical polishing composition further comprises between about 0.03 wt.% and about 1.0 wt.% of silica abrasive particles, between about 0.1 wt. % and about 8 wt. % of an alkali metal bicarbonate and tetraborate-tetrahydrate salt as a pH buffering agent, between about 0.01 wt. % and about 2.0 wt. % chelating agent having carboxylate and/or amino groups, or combinations thereof.
19. A method for planarizing a barrier layer comprising a tantalum containing material on a substrate surface, comprising: chemical mechanical polishing the substrate to selectively remove copper containing residues and a portion of the tantalum containing material therefrom; and then chemical mechanical polishing the substrate to selectively remove the tantalum containing material therefrom.
20. The method of claim 19, wherein the tantalum containing material comprises tantalum, tantalum nitride, or combinations thereof.
21. The method of claim 19, wherein selectively removing the copper containing residues and the portion of the tantalum containing material comprises removing the copper containing residues and the tantalum containing material from the substrate at a ratio of copper containing residues to tantalum containing material between about 1 : 1 and about 2:1.
22. The method of claim 19, wherein selectively removing the tantalum containing material comprises removing the tantalum containing material and the copper containing residues from the substrate at a ratio of tantalum containing material to copper containing residues to dielectric material between about 1 :0:0 and about 1 :0.2:0.2.
23. The method of claim 19, wherein the copper containing residue and the tantalum containing material are removed from the substrate using an abrasive-free chemical mechanical polishing composition comprising: a) one or more chelating agents; b) one or more reducing agents; c) one or more corrosion inhibitors; d) one or more pH adjusting agents; and e) deionized water.
24. The method of claim 23, wherein the composition comprises: a) between about 0.005 wt.% and about 0.5 wt.% of hydroxyquinoline as a chelating agent; b) between about 0.005 wt.% and about 10 wt.% of hydroxylamine as a reducing agent; c) between about 0.01 wt.% and about 0.20 wt.% of 5-methyl benzotriazole as a corrosion inhibitor; d) one or more pH adjusting agents to generate a pH between about 7 and about 10; and e) deionized water.
25. The method of claim 19, wherein the copper containing residue comprises copper, doped copper, or copper alloys.
26. The method of claim 19, wherein the tantalum containing material is removed from the substrate using a chemical mechanical polishing composition comprising: a) at least one reducing agent for reducing ions of at least one transition metal to a lower valence state, wherein the ions of the at least one transition metal are contained in the composition prior to chemical mechanical polishing of the substrate or are introduced into the composition during chemical mechanical polishing of the substrate; b) at least one pH adjusting agent for providing the composition a pH between about 4 and about 12; c) at least one metal corrosion inhibitor; and d) deionized water.
27. The method of claim 26, wherein the chemical mechanical polishing composition further comprises an amount of abrasive particles, at least one pH buffering agent, at least one metal chelating agent, or combinations thereof.
28. The method of claim 19, wherein the chemical mechanical polishing composition comprises: a) between about 0.005 wt. % and about 10 wt. % of hydroxylamine, glucose, sulfothionate, or potassium iodide as a reducing agent for reducing ions of at least one transition metal to a lower valence state, wherein the ions of the at least one transition metal comprise a material selected from the group of copper (Cu), iron (Fe) ions, silver (Ag) ions, and combinations thereof; b) a pH adjusting agent at a concentration sufficient to provide a pH between about 8 and about 12; c) about 2.0 wt.% or less of 5-methyl benzotriazole as a corrosion inhibitor; and d) deionized water.
29. The method of claim 28, wherein the chemical mechanical polishing composition further comprises between about 0.03 wt.% and about 1.0 wt.% of silica abrasive particles, between about 0.1 wt. % and about 8 wt. % of an alkali metal bicarbonate and tetraborate-
tetrahydrate salt as a pH buffering agent, between about 0.01 wt. % and about 2.0 wt. % chelating agent having carboxylate and/or amino groups, or combinations thereof.
PCT/US2001/050150 2000-10-27 2001-10-26 Method and apparatus for two-step barrier layer polishing WO2002063669A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/698,864 US6709316B1 (en) 2000-10-27 2000-10-27 Method and apparatus for two-step barrier layer polishing
US09/698,864 2000-10-27

Publications (2)

Publication Number Publication Date
WO2002063669A2 true WO2002063669A2 (en) 2002-08-15
WO2002063669A3 WO2002063669A3 (en) 2002-12-05

Family

ID=24806963

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/050150 WO2002063669A2 (en) 2000-10-27 2001-10-26 Method and apparatus for two-step barrier layer polishing

Country Status (2)

Country Link
US (1) US6709316B1 (en)
WO (1) WO2002063669A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005042658A1 (en) * 2003-10-21 2005-05-12 Dupont Air Products Nanomaterials Llc Abrasive-free che.mical mechanical polishing composition and polishing process containing same
WO2006132905A2 (en) * 2005-06-08 2006-12-14 Cabot Microelectronics Corporation Polishing composition and method for defect improvement by reduced particle stiction on copper surface
CN1295762C (en) * 2003-07-25 2007-01-17 台湾积体电路制造股份有限公司 Process for grinding metal layer
WO2007021716A2 (en) * 2005-08-17 2007-02-22 Cabot Microelectronics Corporation Abrasive-free polishing system
US7288021B2 (en) 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432823B1 (en) * 1999-11-04 2002-08-13 International Business Machines Corporation Off-concentric polishing system design
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
KR100396883B1 (en) * 2000-11-23 2003-09-02 삼성전자주식회사 Slurry for chemical mechanical polishing and manufacturing method of copper metal interconnection layer using the same
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US7063597B2 (en) * 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20040154931A1 (en) * 2003-02-12 2004-08-12 Akihisa Hongo Polishing liquid, polishing method and polishing apparatus
IL154782A0 (en) * 2003-03-06 2003-10-31 J G Systems Inc Chemical-mechanical polishing composition containing organic nitro compounds
US7300603B2 (en) * 2003-08-05 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical planarization compositions for reducing erosion in semiconductor wafers
US20050097825A1 (en) * 2003-11-06 2005-05-12 Jinru Bian Compositions and methods for a barrier removal
US20050148289A1 (en) * 2004-01-06 2005-07-07 Cabot Microelectronics Corp. Micromachining by chemical mechanical polishing
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
DE102005004384A1 (en) * 2005-01-31 2006-08-10 Advanced Micro Devices, Inc., Sunnyvale A method of making a defined recess in a damascene structure using a CMP process and a damascene structure
TWI434957B (en) * 2005-06-06 2014-04-21 Advanced Tech Materials Integrated chemical mechanical polishing composition and process for single platen processing
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
US20070117497A1 (en) * 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
KR100744273B1 (en) * 2005-12-28 2007-07-30 동부일렉트로닉스 주식회사 Method for manufacturing phase-change memory element
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20070249167A1 (en) * 2006-04-21 2007-10-25 Cabot Microelectronics Corporation CMP method for copper-containing substrates
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
JP2009194134A (en) * 2008-02-14 2009-08-27 Ebara Corp Polishing method and polishing apparatus
JP2010226089A (en) * 2009-01-14 2010-10-07 Rohm & Haas Electronic Materials Llc Method of cleaning semiconductor wafers
TWI490290B (en) * 2010-12-23 2015-07-01 Anji Microelectronics Co Ltd Chemical mechanical polishing solution
CN102615584A (en) * 2011-01-31 2012-08-01 中芯国际集成电路制造(上海)有限公司 Chemical mechanical grinding method
WO2023027972A1 (en) * 2021-08-25 2023-03-02 Corning Incorporated Methods for etching glass-based substrates

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
WO1998036045A1 (en) * 1997-02-14 1998-08-20 Ekc Technology, Inc. Post clean treatment
WO1998049723A1 (en) * 1997-04-30 1998-11-05 Minnesota Mining And Manufacturing Company Method of planarizing the upper surface of a semiconductor wafer
WO2000000561A1 (en) * 1998-06-26 2000-01-06 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
EP1006166A1 (en) * 1998-12-01 2000-06-07 Fujimi Incorporated Polishing composition and polishing method employing it
EP1011131A1 (en) * 1998-12-17 2000-06-21 Siemens Aktiengesellschaft Methods for enhancing the metal removal rate during the chemical-mechanical polishing process of a semiconductor
EP1085067A1 (en) * 1999-09-20 2001-03-21 Fujimi Incorporated Polishing composition and polishing process
US6258721B1 (en) * 1999-12-27 2001-07-10 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0690445B2 (en) 1987-06-19 1994-11-14 富士写真フイルム株式会社 Silver halide photographic light-sensitive material
US6099394A (en) 1998-02-10 2000-08-08 Rodel Holdings, Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5783489A (en) 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5735963A (en) 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5842910A (en) 1997-03-10 1998-12-01 International Business Machines Corporation Off-center grooved polish pad for CMP
US5756398A (en) 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
JP3371775B2 (en) 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
US5985748A (en) 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
TW430656B (en) 1997-12-03 2001-04-21 Dainippon Ink & Chemicals Quinolinone derivative, method for preparing the same, and anti-allergic agent
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6143656A (en) 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
US6074949A (en) 1998-11-25 2000-06-13 Advanced Micro Devices, Inc. Method of preventing copper dendrite formation and growth
US6218290B1 (en) 1998-11-25 2001-04-17 Advanced Micro Devices, Inc. Copper dendrite prevention by chemical removal of dielectric
US6083840A (en) 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
WO1998036045A1 (en) * 1997-02-14 1998-08-20 Ekc Technology, Inc. Post clean treatment
WO1998049723A1 (en) * 1997-04-30 1998-11-05 Minnesota Mining And Manufacturing Company Method of planarizing the upper surface of a semiconductor wafer
WO2000000561A1 (en) * 1998-06-26 2000-01-06 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
EP1006166A1 (en) * 1998-12-01 2000-06-07 Fujimi Incorporated Polishing composition and polishing method employing it
EP1011131A1 (en) * 1998-12-17 2000-06-21 Siemens Aktiengesellschaft Methods for enhancing the metal removal rate during the chemical-mechanical polishing process of a semiconductor
EP1085067A1 (en) * 1999-09-20 2001-03-21 Fujimi Incorporated Polishing composition and polishing process
US6258721B1 (en) * 1999-12-27 2001-07-10 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1295762C (en) * 2003-07-25 2007-01-17 台湾积体电路制造股份有限公司 Process for grinding metal layer
WO2005042658A1 (en) * 2003-10-21 2005-05-12 Dupont Air Products Nanomaterials Llc Abrasive-free che.mical mechanical polishing composition and polishing process containing same
US7288021B2 (en) 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form
WO2006132905A2 (en) * 2005-06-08 2006-12-14 Cabot Microelectronics Corporation Polishing composition and method for defect improvement by reduced particle stiction on copper surface
WO2006132905A3 (en) * 2005-06-08 2007-08-09 Cabot Microelectronics Corp Polishing composition and method for defect improvement by reduced particle stiction on copper surface
WO2007021716A2 (en) * 2005-08-17 2007-02-22 Cabot Microelectronics Corporation Abrasive-free polishing system
WO2007021716A3 (en) * 2005-08-17 2007-06-07 Cabot Microelectronics Corp Abrasive-free polishing system
CN101263209B (en) * 2005-08-17 2011-07-13 卡伯特微电子公司 Abrasive-free polishing system

Also Published As

Publication number Publication date
US6709316B1 (en) 2004-03-23
WO2002063669A3 (en) 2002-12-05

Similar Documents

Publication Publication Date Title
US6709316B1 (en) Method and apparatus for two-step barrier layer polishing
US6569349B1 (en) Additives to CMP slurry to polish dielectric films
US7104869B2 (en) Barrier removal at low polish pressure
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
US6524167B1 (en) Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20080045021A1 (en) Dual reduced agents for barrier removal in chemical mechanical polishing
US6780773B2 (en) Method of chemical mechanical polishing with high throughput and low dishing
US7022608B2 (en) Method and composition for the removal of residual materials during substrate planarization
US7375023B2 (en) Method and apparatus for chemical mechanical polishing of semiconductor substrates
US6783432B2 (en) Additives for pressure sensitive polishing compositions
US7319072B2 (en) Polishing medium for chemical-mechanical polishing, and method of polishing substrate member
EP0971993B1 (en) Planarization composition for removing metal films
JP4831858B2 (en) CMP slurry for planarizing metal
US6872329B2 (en) Chemical mechanical polishing composition and process
US6858540B2 (en) Selective removal of tantalum-containing barrier layer during metal CMP
US20020104269A1 (en) Photochemically enhanced chemical polish
US20020173221A1 (en) Method and apparatus for two-step polishing
US6653242B1 (en) Solution to metal re-deposition during substrate planarization
US6620027B2 (en) Method and apparatus for hard pad polishing
US20060084271A1 (en) Systems, methods and slurries for chemical mechanical polishing
WO2000030154A2 (en) Method to control film removal rates for improved polishing in metal cmp

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP