WO2002064853A2 - Thin films and methods of making them using trisilane - Google Patents

Thin films and methods of making them using trisilane Download PDF

Info

Publication number
WO2002064853A2
WO2002064853A2 PCT/US2002/004751 US0204751W WO02064853A2 WO 2002064853 A2 WO2002064853 A2 WO 2002064853A2 US 0204751 W US0204751 W US 0204751W WO 02064853 A2 WO02064853 A2 WO 02064853A2
Authority
WO
WIPO (PCT)
Prior art keywords
film
thickness
containing film
less
trisilane
Prior art date
Application number
PCT/US2002/004751
Other languages
French (fr)
Other versions
WO2002064853A3 (en
Inventor
Michael A. Todd
Ivo Raaijmakers
Original Assignee
Asm America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm America, Inc. filed Critical Asm America, Inc.
Priority to JP2002564165A priority Critical patent/JP2004523903A/en
Publication of WO2002064853A2 publication Critical patent/WO2002064853A2/en
Publication of WO2002064853A3 publication Critical patent/WO2002064853A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/127Quantum box structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Definitions

  • This invention relates generally to the deposition of silicon-containing films, and more particularly to the use of trisilane, SisHs, in chemical vapor deposition processes for the deposition of thin silicon-containing films on various substrates, Description of the Related Art
  • Siiane is widely used in the semiconductor manufacturing industry to deposit silicon-containing (“Si-containing”) films by chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • very thin Si-containing films deposited using siiane are often not continuous, due to an island-like film nucleation process, or have very rough surfaces due to the coalescence of island-like nuclei.
  • the elemental composition of doped thin films is often not homogeneous in the cross-film and/or through-film directions because of differences in relative incorporation rates of the dopant elements.
  • the resulting films do not exhibit uniform elemental concentrations and, therefore, do not exhibit uniform film physical properties across the surface and/or through the thickness of the film.
  • U.S. Patent No. 6,194,237 discloses depositing a conductive layer of Sio.7Geo. 3 on SiO 2j depositing another layer of SiO 2 over the conductive layer, and then annealing so that the conductive layer forms quantum dots.
  • the conductive layer is stated to have a thickness of 30 A, but the wide variation in size and distribution for the resulting quantum dots indicates that the conductive layer was not deposited uniformly.
  • Attempts to provide quantum dots of more uniform size and distribution have been disclosed, but typically involve high temperatures and/or more complicated deposition schemes, see, e.g., U.S. Patent No. 6,235,618.
  • Japanese Patent Application Disclosure No. H3-187215 discloses the use of pure disilane (free of siiane and trisilane) in a thermal CVD device to deposit a film having a thickness of 180 A; see also Japanese Publication No. 03187215 A.
  • U.S. Patent No. 5,789,030 discloses a low pressure CVD ("LPCVD") method for depositing an in-situ doped silicon thin film that involves first depositing a very thin layer of silicon before introducing a dopant gas species to form the doped film, While the initial undoped layer is stated to be only several monolayers thick, the overall thickness of the layer is 500 A to 2,000 A, including the in situ doped portion.
  • LPCVD low pressure CVD
  • a method for depositing a thin film comprising: introducing a gas comprising trisilane to a chamber, wherein the chamber contains a substrate having a substrate surface roughness; establishing trisilane chemical vapor deposition conditions in the chamber; and depositing a Si-containing film onto the substrate, the Si-containing film having a thickness in the range of 1 ⁇ A to 150 A and a film surface roughness that is greater than the substrate surface roughness by an amount of about 5 A rms or less, over a surface area of about one square micron or greater.
  • a method for depositing a thin film comprising: introducing trisilane to a chamber, wherein the chamber contains a substrate; and depositing a continuous amorphous Si-containing film having a thickness of less than about
  • a method of increasing semiconductor manufacturing device yield comprising: identifying a semiconductor device manufacturing process that comprises depositing a Si- containing film onto a substrate using siiane to produce a number NT of semiconductor devices, of which a number NA of the devices are acceptable and a number Nu of the devices are unacceptable; wherein the Si-containing film has an average thickness of about 2000 A or less; wherein the substrate has a surface area of about 300 cm 2 or greater; and wherein the process has a device yield equal to NA/NT; and replacing the siiane with trisilane in the semiconductor device manufacturing process to increase the device yield.
  • an integrated circuit comprising a continuous amorphous Si-containing film having a thickness that is 15 A or greater and that is 150 A or less, a surface area of about one square micron or greater, and a thickness non-uniformity of about 10% or less for a mean film thickness in the range of 100 A to 150 A, a thickness non-uniformity of about 15% or less for a mean film thickness in the range of 50 A to 99 A, and a thickness non-uniformity of about 20% or less for a mean film thickness of less than 50 A.
  • Figures 1-11 are non-limiting illustrations (not to scale) of various preferred embodiments.
  • Figure 1 is a schematic cross-sectional view illustrating a thin Si-containing film deposited onto a semiconductor substrate in accordance with a preferred embodiment of the present invention.
  • Figure 2 is a schematic cross-sectional view illustrating thickness variation in a thin Si- containing film deposited onto a semiconductor substrate.
  • Figure 3 is a schematic cross-sectional view illustrating a thin Si-containing film deposited over a step formed from a semiconductor and a dielectric material.
  • Figure 4A is a schematic cross-sectional view illustrating a thin Si-containing film deposited over a trench formed in a semiconductor.
  • Figure 4B illustrates HSG silicon formed by annealing the thin Si-containing film.
  • Figure 5 is a schematic cross-sectional view illustrating the use of a thin Si-containing film as a wetting layer prior to further deposition over a gate dielectric in a transistor gate electrode.
  • Figures 6A to 6C are schematic cross-sectional views illustrating a sequence for depositing a thin Si-containing film as a wetting layer in a transistor gate electrode deposition process.
  • Figures 7A to 7C are schematic cross-sectional views illustrating a sequence for depositing a thin Si-containing film and annealing to form quantum dots.
  • Figure 8 is a schematic cross-sectional view illustrating an apparatus for depositing thin
  • Figure 9 is a reproduction of a cross-sectional photomicrograph showing a silicon film deposited onto a silicon dioxide substrate.
  • Figure 10 is a reproduction of a cross-sectional photomicrograph showing a silicon film deposited onto a silicon dioxide substrate.
  • FIG. 11 is a reproduction of a cross-sectional photomicrograph showing a Si-N film deposited onto a silicon substrate.
  • SiH siiane
  • CVD chemical vapor deposition
  • temperature control systems can be dependent upon the exposed surface of the substrate, which changes as deposition progresses. Imperfect control over other process parameters, including gas flow rates and total pressure, are also believed to contribute to non-uniformities in film physical properties. Temperature variations of SiC- coated graphite components (e.g., pre-heat ring and susceptor) in single wafer, horizontal gas flow reactors can also contribute to film non-uniformities.
  • the rate of deposition at any particular instant varies as a function of position on the film, resulting in thickness variations across the surface of the film.
  • the composition of the film that is being deposited at any particular instant in time varies from place to place across the surface of the substrate for multi-component films. Without being limited by theory, such variation may be a direct consequence of the difference in dissociative absorption activation energy that exists between the precursors (including dopant precursors) used to introduce each of the elemental components of the multi-component film, This means that the averaging-out/tuning approaches discussed below do not necessarily solve the problem of compositional non-uniformity.
  • manufacturing involves depositing Si-containing films during the process of making dozens or even hundreds of devices simultaneously on a wafer that is 200 millimeters (mm) in diameter.
  • the industry is currently transitioning to 300 mm wafers, and may use even larger wafers in the future.
  • Significant variations in the thickness and/or composition of the Si-containing films during the manufacturing process can lead to lower manufacturing yields when the affected devices do not meet the required performance specifications or standards.
  • variations across the film within a particular device can reduce device performance and/or reliability.
  • Thickness non-uniformity can be mitigated to a certain extent by depositing relatively thick films, This approach relies on the fact that non-uniformities tend to average out over the deposition time of any particular layer.
  • Reactor process variables such as temperature and placement of heating lamps, gas flow rate, gas pressure, gas composition, etc. can be tuned to average out the total film thickness, particularly in single-wafer systems with rotating wafer support.
  • Tuning involves depositing a large number of films, each under a different pre-selected set of deposition conditions. The thickness variations within each film are then measured and the results analyzed to identify conditions that reduce or eliminate the thickness variations.
  • the inventor has realized, however, that tuning does not necessarily achieve uniform temperature distributions throughout the process; rather, the result of the tuning process is to time-average the thickness variations produced by the temperature variations for a specific reaction temperature set point.
  • tuning does not necessarily produce uniform temperatures across the substrate throughout the deposition process. This, in turn, raises the issue of compositional variation because compositional homogeneity is desired in three dimensions, both across the film surface and through the film thickness. This is because many films contain dopants and the level of these dopants influences the electronic properties of the film. Non-uniform temperatures can result in non-uniform incorporation of dopants into the film. Similarly, other non-uniformities can result.
  • the problem of deposition non-uniformity is particularly acute when depositing very thin Si-containing films.
  • the ability to produce thin films is becoming more important as circuit dimensions shrink and the resulting devices become more compact.
  • the averaging-out/tuning approaches described above are becoming increasingly inadequate because the deposition process time for a thin film is generally shorter than for a thick film, allowing less time for film thickness to average-out.
  • highly compact devices are more sensitive to compositional non-uniformities, a problem that is not adequately addressed by averaging-out/reactor tuning.
  • Thin, continuous Si-containing films are generally very difficult to prepare by existing siiane deposition processes because the film may reach the desired thickness in the regions near the peaks of the islands before the islands have grown together to form a continuous film. These problems are exacerbated for thinner films and by surface mobility of atoms in amorphous films. Continuity problems are typically observed when using a siiane deposition process to make films having a thickness of about 200 A or less, and even more so for films having a thickness of less than about 100 A. These problems are also exacerbated as the surface area of the film increases.
  • Si-containing films described herein can be made by a variety of methods.
  • deposition is conducted under trisilane deposition conditions that are in or near the mass transport limited regime for trisilane.
  • mass transport limited regime deposition rates are essentially independent of temperature. This means that small temperature variations across the surface of the substrate have little or no effect on deposition rate. It has been found that this greatly minimizes thickness and compositional variations and enables the production of the preferred Si-containing films described herein.
  • Trisilane deposition conditions are thus preferably created by supplying sufficient energy to enable the trisilane to deposit at a rate that is controlled primarily by the rate at which it is delivered to the substrate surface, more preferably by heating the substrate as described below.
  • a preferred deposition method involves establishing trisilane deposition conditions in a suitable chamber in the presence of trisilane and depositing a Si-containing film onto a substrate contained within the chamber.
  • Deposition of trisilane may be suitably conducted according to the various CVD methods known to those skilled in the art, but the greatest benefits are obtained when deposition is conducted according to the CVD methods taught herein.
  • the disclosed methods may be suitably practiced by employing CVD, including plasma-enhanced chemical vapor deposition (PECVD) or thermal CVD, utilizing gaseous trisilane to deposit a Si-containing film onto a substrate contained within a CVD chamber.
  • CVD including plasma-enhanced chemical vapor deposition (PECVD) or thermal CVD, utilizing gaseous trisilane to deposit a Si-containing film onto a substrate contained within a CVD chamber.
  • PECVD plasma-enhanced chemical vapor deposition
  • thermal CVD i.e., without plasma assistance
  • trisilane is introduced to the chamber as a component of a feed gas.
  • a suitable manifold may be used to supply feed gas(es) to the CVD chamber.
  • the gas flow in the CVD chamber is horizontal, most preferably the chamber is a single-wafer, horizontal gas flow reactor, preferably radiatively heated.
  • Suitable reactors of this type are commercially available, and preferred models include the EpsilonTM series of single wafer reactors commercially available from ASM America, Inc. of Phoenix, Arizona.
  • CVD may be conducted by introducing a plasma to the chamber, but deposition in the absence of a plasma within the chamber is preferred, and thermal CVD is most preferred.
  • Trisilane is preferably introduced to the CVD chamber in the form of a feed gas or as a component of a feed gas.
  • the total pressure in the CVD chamber is preferably in the range of about 0.001 torr to about 780 torr, more preferably in the range of about 0.1 torr to about 760 torr, most preferably in the range of about 1 torr to about 700 torr.
  • the partial pressure of trisilane is preferably in the range of about 0.0001 % to about 100% of the total pressure, more preferably about 0.001 % to about 50 % of the total pressure.
  • deposition in the pressure range of 1 Torr to 100 Torr has been found to result in excellent uniformity. Such results are surprising due to the generally held belief that such conditions using conventional precursors result in gas phase reactions, which would reduce film conformality.
  • the feed gas can also include gases other than trisilane, such as inert carrier gases.
  • trisilane is introduced to the chamber by way of a bubbler used with a carrier gas to entrain trisilane vapor, more preferably a temperature controlled bubbler.
  • the feed gas may also contain other materials known by those skilled in the art to be useful for doping or alloying Si-containing films, as desired.
  • the gas is further comprised of an element selected from the group consisting of germanium, carbon, boron, indium, arsenic, phosphorous, antimony, nitrogen and oxygen.
  • the gas is further comprised of one or more compounds selected from the group consisting of the following non-limiting examples: siiane, disilane, tetrasilane, germane, digermane, trigermane, NF 3 , monosilylmethane, disilylmethane, trisilylmethane, tetrasilylmethane, hydrocarbons (e.g., methane, ethane, propane, etc.), carbon monoxide, carbon dioxide, HCN (hydrogen cyanide), ammonia, atomic nitrogen, hydrazine, N2O, N0 2 , and a dopant precursor,
  • Incorporation of dopants into Si-containing films by CVD using trisilane is preferably accomplished by in situ doping using gas phase dopant precursors.
  • Precursors for electrical dopants include diborane, deuterated diborane, phosphine, arsenic vapor, and arsine.
  • SbH 3 and trimethylindium are preferred sources of antimony and indium, respectively.
  • Such dopant precursors are useful for the preparation of preferred semiconductor films as described below, preferably boron-, phosphorous-, antimony-, indium-, and arsenic-doped silicon, Si-C, Si-Ge and Si-Ge-C films and alloys.
  • the amount of dopant precursor in the feed gas may be adjusted to provide the desired level of dopant in the Si-containing film.
  • Typical concentrations in the feed gas can be in the range of about 1 part per million (ppm) to about 1% by weight based on total feed gas weight, although higher or lower amounts are sometimes preferred in order to achieve the desired property in the resulting film.
  • dilute mixtures of dopant precursor in a carrier gas can be delivered to the reactor via a mass flow controller with set points ranging from about 10 to about 200 standard cubic centimeters per minute (seem), depending on desired dopant concentration and dopant gas concentration.
  • the dilute mixture is preferably further diluted by mixing with trisilane and any trisilane carrier gas. Since typical total flow rates for deposition in the preferred EpsilonTM series reactors often range from about 20 standard liters per minute (slm) to about 180 slm, the concentration of the dopant precursor used in such a method is usually very small.
  • the relative partial pressures of trisilane and other components of the gas are preferably held relatively constant over the course of depositing the Si-containing film.
  • Film thickness may be varied according to the intended application as known in the art, by varying the deposition time and/or gas flow rates for a given set of deposition parameters (e.g., total pressure and temperature).
  • the component (or its precursor) and trisilane are preferably mixed together to form a homogenous gaseous mixture prior to deposition.
  • deposition is preferably conducted at a substrate temperature of about 400°C or greater, more preferably about 450°C or greater, even more preferably about 500°C or greater.
  • deposition of amorphous films takes place at a temperature of about 750°C or less, more preferably about 650°C or less, most preferably about 600°C or less.
  • Such temperatures are preferably achieved by heating the substrate to the indicated temperature.
  • surface roughness tends to increase due to the transition to microcrystalline and polycrystalline structures and deposition rates tend to be higher.
  • Epitaxial films may be obtained by deposition at sufficiently high temperatures onto properly prepared substrates.
  • deposition temperatures in the range of about 450°C to about 525°C are preferred for the deposition of extremely thin (e.g., about 10 A to about 50 A) amorphous Si-containing films onto an oxide substrate using trisilane, Preferred deposition temperatures thus depend on the desired application, but are typically in the range of about 400°C to about 750°C, preferably about 425°C to about 700°C, more preferably about 450°C to about 650°C.
  • Deposition of the films described herein is preferably conducted at a rate of about 5 A per minute or higher, more preferably about 10 A per minute or higher, most preferably about 20 A per minute or higher, Better thickness uniformity is generally achieved at relatively lower deposition rates, particularly for relatively thin films.
  • Preferred trisilane deposition methods enable the production of thin, continuous, Si- containing films over various substrates
  • a schematic cross-sectional view (not to scale) illustrating such a preferred structure 100 is shown in Figure 1, showing a Si-containing film 110 deposited directly on a substrate 120.
  • a preferred substrate comprises a non-single crystal material, more preferably comprises a dielectric material. Examples of preferred dielectric materials include various silicon oxides and metal oxides, metal silicates, silicon oxynitrides and silicon nitrides.
  • the Si-containing film is preferably amorphous, polycrystalline, or single crystalline.
  • the Si-containing film can comprise one or more other elements in addition to silicon such as germanium, nitrogen, carbon, boron, indium arsenic, phosphorous, and antimony.
  • Preferred dopants for Si-containing films are arsenic, boron and phosphorous.
  • the dopant concentration in Si-containing films, when doped, is preferably in the range of from about 1 x 10 14 to about 1 x 10 22 atoms/cm 3 .
  • the one or more other elements are distributed more uniformly throughout the Si-containing film than when siiane is used as the silicon source in an optimized process.
  • Compositional uniformity can be determined by using electrical measurements (e.g., 4-point probe), SIMS (Secondary Ion Mass Spectrometry), RBS (Rutherford Backscattering Spectroscopy), Spectroscopic Ellipsometry and/or high resolution X-ray diffractometry (HR-XRD).
  • compositional uniformity is measured using SIMS across a circular wafer substrate onto which the Si-containing has been deposited.
  • SIMS measurements are made at three locations: one at the center of the wafer, one at a point midway between the center and the edge ("r/2"), and one at a point 3 millimeters from the edge ("3 mm edge exclusion").
  • the amount of that element at each location is then determined from the SIMS data, and the resulting value expressed in atomic % based on total. The three values are then averaged, and the standard deviation determined.
  • the compositional non-uniformity for the Si-containing film is preferably about 25% or less, more preferably about 20% or less, even more preferably about 15% or less, most preferably about 10% or less.
  • Ge content in SiGe films will typically represent greater than about 1 atomic % of such films, such that the above preferences apply to SiGe films.
  • the amount of element is in the range of 0.001 atomic percent up to 1 atomic %, the compositional non-uniformity for the Si-containing film is preferably about 100% or less, more preferably about 75% or less, even more preferably about 50% or less, most preferably about 25% or less.
  • the compositional non-uniformity for the Si-containing film is preferably in the range of about 400% or less, more preferably about 300% or less, even more preferably about 200% or less, most preferably about 100% or less.
  • Ge content in graded SiGe films, for example, may vary over a broad range, and thus more than one of the above ranges may apply depending on the profile.
  • the deposition methods described herein can be used to deposit thicker films, but particularly advantageous aspects of these methods are realized when the thickness of the Si-containing film is about 500 A or less.
  • the methods described herein tend to become progressively more useful, as compared to conventional processes, as film thickness decreases and thus are preferably used to deposit preferred Si-containing films having a thickness of about 150 A or less, more preferably about 125 A or less, most preferably less than about 100 A.
  • Preferred Si-containing films have a thickness of about 10 A or more, more preferably about 20 A or more, most preferably about 25 A or more, in order to better ensure continuity of the deposited film.
  • the methods described herein thus enable the deposition of preferred Si-containing films having thicknesses that are in the range of about 10 A to about 150 A, more preferably about 20 A to about 125 A, most preferably about 25 A to less than about 100 A.
  • Suitable methods for measuring film thickness include multiple-point ellipsometric methods, Instruments for measuring film thickness are well known and commercially available. Preferred instruments include the NanoSpec® series of instruments from Nanometrics, Inc., Sunnyvale, California.
  • the thickness of a Si-containing film can also be determined by cross-sectioning the substrate and measuring the thickness by an appropriate microscopy technique, most preferably by electron microscopy. For example, Figure 1 illustrates the cross-sectional measurement of thickness 130.
  • the span over which a thickness is measured can be any span in the range of from about 10 times the thickness of the film to the entire span of the Si-containing film.
  • the thickness is considered to be the average thickness, i.e., the numerical average of the thickest and thinnest dimensions of the film over a given span.
  • the Si-containing film 210 has an average thickness over the span 220 that is equal to one-half of the sum of thickness 230 and thickness 240.
  • rms (more properly, the square root of the mean squared error) is a way of expressing the amount of variability exhibited by the members of a given population. For example, in a group of objects having an average weight of y grams, each member of the group has a weight y' that differs from the average by some amount, expressed as (y- y). To calculate rms, these differences are squared (to ensure that they are positive numbers), summed together, and averaged to yield a mean squared error. The square root of the mean squared error is the rms variability.
  • Preferred Si-containing films have a thickness that is highly uniform across the surface of the film.
  • measurements of uniformity described herein can be on a film obtained by blanket deposition over a bare or oxide-covered 200 mm or 300 mm wafer, and no measurements are taken within a 3 mm exclusion zone at the wafer periphery.
  • Film thickness uniformity is determined by making multiple-point thickness measurements along a randomly selected diameter, determining the mean thickness by averaging the various thickness measurements, and determining the rms variability.
  • a preferred instrument for measuring film thickness utilizes a Nanospec® 8300 XSE instrument (commercially available from Nanometrics, Inc., Sunnyvale, California), and a preferred measurement method involves using such an instrument to measure the film thickness at 49 points along a randomly selected wafer diameter.
  • thickness variability is typically obtained directly from the instrument following such a measurement, and thus need not be calculated manually. To enable comparisons, the results can be expressed as percent non- uniformity, calculated by dividing the rms thickness variability by the mean thickness and multiplying by 100 to express the result as a percentage.
  • the film When measuring thickness uniformity of a film having a surface that is not accessible to such a measurement, e.g., a film onto which one or more additional layers have been applied, or a film contained within an integrated circuit, the film is cross sectioned and examined by electron microscopy. The film thickness is measured at the thinnest part of the cross sectioned film and at the thickest part, and the range in thickness measurements (e.g., + 6 A) between these two points is then divided by the sum of the two measurements. This non-uniformity is expressed as a percentage herein.
  • percent thickness non-uniformity is preferably about 20% or less.
  • the Si-containing film preferably provides conformal coating over varied topography.
  • a conformal coating is a layer that follows the curvature, if any, of the layer that it overlies.
  • Preferred Si- containing films exhibit good step coverage.
  • Step coverage refers to the thickness uniformity of a conformal Si-containing film that overlies a stepped surface.
  • a stepped surface is a surface that has two or more parallel components that are not disposed in the same horizontal plane.
  • Figure 3 illustrates a structure 300 in which a Si-containing film 310 exhibits good step coverage over the step created by the presence of silicon oxide layer 320 on silicon substrate 330.
  • Step coverage is preferably determined by measuring the average thickness of the Si-containing film at the bottom of the step 340, dividing it by the average thickness at the top of the step 350, and multiplying by 100 to express the result in percentage terms.
  • Figure 4A illustrates a trench structure 400 in which a Si-containing film 410 exhibits good step coverage over the trench in semiconductor substrate 420. Step coverage is preferably determined similarly by measuring the average thickness of the Si-containing film at the bottom of the trench 430, dividing it by the average thickness at the top of the trench 440 and 450, and multiplying by 100 to express the result in percentage terms.
  • Preferred Si-containing films have good step coverage even at relatively high aspect ratios.
  • “Aspect ratio” refers to the ratio of the vertical height of the step to the horizontal width of the structure. For example, the aspect ratio of the trench illustrated in Figure 4 is equal to trench width divided by trench depth.
  • preferred Si-containing films have a step coverage of about 70% or greater, preferably 80% or greater.
  • preferred Si-containing films have a step coverage of about 80% or greater, preferably 90% or greater.
  • Step coverage is preferably calculated as stated above, but can also be calculated by taking into account sidewall thickness 360 or 460.
  • alternate definitions of step coverage involve the ratio of the sidewall thickness to the average thickness at the top and/or bottom of the step, e.g., thickness at 460 divided by thickness at 430, or thickness at 460 divided by the average of thickness at 440 and 450.
  • step coverage herein is determined as stated above by measuring the average thickness of the horizontal portions of the Si-containing film at the bottom of the step, dividing it by the average thickness of the horizontal portions at the top of the step, and multiplying by 100 to express the result in percentage terms.
  • surface smoothness and thickness of the Si-containing film as defined herein is maintained over a surface area of about one square micron ( ⁇ m 2 ) or greater, more preferably about 5 ⁇ m 2 or greater, even more preferably about 10 ⁇ m 2 or greater.
  • the Si-containing film can cover all or part of a large substrate, e.g., a wafer, and thus can have a surface area of about 300 cm 2 or greater, preferably about 700 cm 2 or greater.
  • a surface can be characterized as being in contact with a particular material, and thus a surface area in contact with a particular layer can be specified.
  • a Si-containing film can overlie a dielectric material and a semiconductor material.
  • the Si-containing film has a surface area in contact with the dielectric material of about 5 ⁇ m 2 or greater, more preferably about 10 ⁇ m 2 or greater. More preferably, the Si-containing film is a conformal coating.
  • the surface roughness of the Si-containing film is substantially the same as the roughness of the surface that it overlies.
  • Surface roughness is preferably rms surface roughness as measured by atomic force microscopy (AFM) on a 1 micron x 1 micron portion of surface in question.
  • the roughness of the underlying substrate surface can range from about 1 A rms (atomically flat surface) up to about 25 A rms or even higher.
  • the underlying substrate surface has a roughness of 10 A rms or less, more preferably 5 A rms or less, so that the overlying Si-containing film has a comparable roughness.
  • the Si-containing film deposited thereon preferably has a surface roughness that is greater than the substrate surface roughness by an amount of about 5 A or less, more preferably about 3 A or less, even more preferably about 2 A or less.
  • the substrate surface roughness is about 7 A rms
  • the measured surface roughness of the Si-containing film deposited thereon is preferably about 12 A rms (7 A + 5 A) or less.
  • the underlying surface has a roughness of about 2 A rms or less and the overlying Si-containing film has a measured surface roughness of about 5 A rms or less, more preferably about 3 A rms or less, most preferably about 2 A rms or less.
  • the surface roughness measurements described herein are taken as-deposited, prior to any etch or polishing processes, or any subsequent thermal processing
  • Preferred Si-containing films are thin and continuous over an area of about 1 ⁇ m 2 or greater, more preferably about 5 ⁇ m 2 or greater, even more preferably about 10 ⁇ m 2 or greater. As film thicknesses decreases, film continuity over such areas tends to become progressively more difficult to achieve.
  • the methods described herein are useful for preparing thicker continuous Si-containing films, but are particularly useful for depositing continuous films having a thickness of about 125 A or less, even more so for films having a thickness of less than about 100 A, and especially useful for films having a thickness of about 80 A or less.
  • Various materials can be deposited in the usual fashion over the Si-containing materials described herein, including metals, dielectric materials, semiconductors, and doped semiconductors. Si-containing materials may also be subjected to other semiconductor manufacturing processes such as annealing, etching, ion implantation, polishing, etc.
  • a preferred embodiment provides a wetting layer useful for making multilayer structures comprised of different materials.
  • the method of making a transistor gate electrode involves depositing a semiconductor layer, such as doped silicon, doped silicon germanium, doped silicon carbon or doped silicon germanium carbon onto a dielectric material such as silicon dioxide.
  • the deposition method can be improved by first depositing a wetting layer onto the dielectric material, then depositing the semiconductor layer onto the wetting layer.
  • Figure 5 illustrates a portion of a gate electrode stack 500 (prior to patterning) having such a wetting layer 510 sandwiched between dielectric layer 520 and gate electrode 530.
  • the stack 500 is formed over a semiconductor substrate 540.
  • a preferred method for making a transistor gate electrode is illustrated schematically by the sequence in Figures 6A to 6C (not to scale, shown prior to patterning).
  • a workpiece 600 comprising a semiconductor substrate 610, preferably doped silicon, and an overlying thin gate dielectric layer 620, preferably silicon dioxide, is placed into a chamber 630.
  • Trisilane deposition conditions are established, preferably by heating the substrate 600 to a temperature in the range of about 450°C to about 550°C, and a gas comprising trisilane is introduced to the chamber 630 via a feed line 640.
  • An amorphous silicon film having a thickness in the range of about 25 A to about 100 A and a surface roughness of about 5 A rms or less is deposited onto the dielectric layer 620 to form a wetting layer 660,
  • a gate electrode is then formed by depositing a semiconductor layer, preferably doped silicon, more preferably doped Si-Ge, doped Si-C, or doped Si-Ge-C onto the wetting layer 660.
  • a thin, continuous amorphous silicon wetting layer improves the uniformity of the overlying semiconductor layer and presents a minimal barrier to the diffusion of elements such as germanium to the interface with the gate dielectric 620.
  • a quantum dot is a particle of material in which one or more electrons are confined to a region having a maximum dimension in any direction that is less than or equal to the electron wavelength, so that the electrons are essentially confined to zero dimensions.
  • the electrons in a quantum dot occupy well-defined, discrete quantum states that are a function of the size of the confinement region,
  • quantum dots Potential practical applications for quantum dots include quantum optical devices (e.g., lasers and detectors) and quantum electronic devices (e.g., diodes and transistors).
  • quantum optical devices e.g., lasers and detectors
  • quantum electronic devices e.g., diodes and transistors
  • quantum dots having more uniform size and/or distribution can be made, as compared to methods utilizing siiane.
  • a preferred method for making quantum dots is illustrated schematically by the sequence shown in Figures 7A to 7C.
  • the method is based on the observation that discrete Si-containing particles can be formed on a surface by annealing an amorphous Si-containing film previously deposited thereon, This invention is not bound by theory, but it is believed that annealing causes the thin film to break apart into regions that further agglomerate into separated crystalline grains. Annealing a non-uniform film results in quantum dots that are similarly non-uniform, whereas annealing the uniform films described herein results in quantum dots having greater size and/or spatial uniformity.
  • Structure 700 is formed by depositing a Si-containing film 710 onto a dielectric structure 720.
  • the dielectric layer 720 preferably comprises silicon dioxide over a semiconductor substrate. More preferably, dielectric 720 is a tunnel oxide having a thickness of about 100 A or less, preferably about 50 A or less.
  • Dielectric 720 is placed into a chamber (not shown) and heated to a temperature in the range of about 450°C to about 550°C while trisilane and, optionally, a dopant precursor are introduced to the chamber, to deposit onto the dielectric 720 a thin, smooth, continuous Si-containing film 710 having a thickness in the range of about 25 A to about 100 A and a surface roughness of about 5 A rms or less. Film 710 can then be annealed to form a plurality of quantum dots (not shown), Preferably, a second dielectric layer 730, preferably also comprising silicon dioxide, is first deposited onto film 710.
  • Quantum dots can also be made by the method described in U.S. Patent No. 6,235,618, except that the silicon thin film is not formed using a silicon vapor deposition technique as described therein, but is instead deposited using trisilane as described herein.
  • the resultant quantum dots 770 have a more uniform size and/or spatial distribution than those made by a comparable silane-based optimized method.
  • Preferred quantum dots have a grain size of about 200 A or less, preferably about 100 A or less, depending on the desired application.
  • Size uniformity is preferably determined by measuring average quantum dot size and rms size variability.
  • rms size variability is about 15% or less, preferably 10% or less, based on the average quantum dot size.
  • the size variability is preferably 7.5 A rms (15% of 50 A) or less.
  • Spatial uniformity is preferably determined by measuring the average number of quantum dots per given area and the rms spatial variability.
  • the rms spatial variability is about 5% or less.
  • the spatial variability is preferably 2.5 per 0.1 ⁇ m 2 rms (5% of 50) or less.
  • Quantum dots as described herein are useful in a number of applications, e.g., single electron transistors, quantum dot infrared photodetectors, and sparse carrier devices. See U.S. Patent Nos.
  • a diffusion source is a layer that acts as a source of one or more dopant elements. Such diffusion layers are typically deposited in close proximity to a region where the dopant is desired, then heated to drive the dopant from the diffusion layer to the desired destination.
  • diffusion sources there are limitations on the use of such diffusion sources. For example, the deposition and drive steps are time-consuming, and the heating involved in these steps may exceed thermal budgets.
  • Other doping methods such as ion implantation can be used, but shallow implantation is difficult to achieve by ion implantation.
  • Si-containing diffusion sources can be made using trisilane as the silicon source. These diffusion sources are preferably made by introducing trisilane and a dopant precursor to a chamber and depositing a highly doped Si-containing film by thermal CVD onto a substrate, in close proximity to the ultimate destination for the dopant.
  • the amount of dopant precursor introduced to the chamber can vary over a broad range, depending on the ultimate application, but is preferably effective to provide a dopant concentration in the resulting diffusion source in the range of from about 1 x 10 16 to about 1 x 10 22 atoms/cm 3 .
  • the ratio of dopant precursor to trisilane introduced to the chamber can range from about 0.00001% to 150%, preferably about 0.001% to about 75%, by weight based on total weight of trisilane and dopant precursor.
  • Diffusion layer deposition temperatures can be in the range of from about 400°C to about 650°C, but are preferably in the range of about 450°C to about 600°C. Lower deposition temperatures tend to have a smaller impact on thermal budgets and provide smoother, more continuous films, but higher temperatures tend to provide faster deposition.
  • the thickness of the diffusion source is preferably in the range of about 25 A to about 150 A, more preferably about 50 A to about 100 A.
  • the diffusion source is preferably a continuous Si-containing film having a substantially uniform thickness, more preferably having a thickness non-uniformity of about 10% or less, and a substantially uniform distribution of dopant(s).
  • Hemispherical grain (HSG) silicon films are known. See, e.g., U.S. Patent Nos.
  • HSG silicon films can be made by annealing an amorphous Si- containing film to roughen the surface to varying degrees, depending on film thickness, annealing time and annealing temperature. It is believed that the silicon atoms migrate at the annealing temperature to form crystalline regions that are thermodynamically favored at the annealing temperature. The presence of the crystalline grains produces surface roughness that appear as generally hemispherical mounds on the surface. The size of the grains is generally greater than about 200 A, preferably about 300 A to about 500 A.
  • HSG silicon films are useful in the fabrication of capacitors to increase surface area.
  • HSG silicon in an idealized fashion having uniform grain size and distribution.
  • current techniques generally produce HSG silicon in which the grains are of varying size and not evenly distributed across the surface of the substrate, Non-uniformities in grain size and distribution tend to negatively impact device performance and reliability.
  • FIG. 4B depicts a structure 470 in which HSG silicon film 480 is formed by annealing the silicon film 410 as shown in Figure 4A.
  • HSG films formed within cavities are useful in the fabrication of stacked container capacitors and trench capacitors.
  • stud capacitors can be formed by annealing a Si-containing film deposited onto the exterior of a post or stud, thus involving similarly challenging steps over which to deposit.
  • Capacitors formed from HSG films are useful in a variety of applications, preferably in DRAM devices,
  • a preferred embodiment provides a method comprising introducing trisilane to a chamber, depositing an amorphous Si-containing film, and annealing the film to form HSG silicon.
  • the chamber preferably contains a substrate at a temperature of about 450°C to 600°C, more preferably about 450°C to about 520°C, and an amorphous Si-containing film is deposited onto the substrate by thermal CVD.
  • the amorphous Si-containing film has a thickness in the range of about 10 A to about 150 A, preferably about 50 A to about 100 A, and a surface roughness of about 5 A rms or less, preferably about 2 A rms or less. Preferred ranges of percent thickness non-uniformity for the amorphous Si- containing film are set forth in Table 1 above.
  • the amorphous Si-containing film is then annealed to form HSG silicon, preferably by heating to a temperature in the range of about 600°C to about 700°C. It has been found that Si-containing films, when annealed as described, form HSG silicon having a finer and more uniform grain structure.
  • Average grain size and spatial distribution of HSG silicon is preferably determined by measuring the average grain size and average number of grains per given area by cross-sectioning the structure and subjecting it to transmission electron microscopy.
  • rms grain size is about 15% or less, preferably 10% or less, based on the average grain size.
  • rms grain size variability is preferably 45 A rms (15% of 300 A) or less.
  • Spatial uniformity is preferably determined by measuring the average number of grains per given area and the rms spatial variability.
  • the rms spatial variability is about 10% or less, more preferably 5% or less.
  • the rms spatial variability is preferably 2.5 per 0.1 ⁇ m 2 rms (10% of 25) or less.
  • Average grain size is preferably about 200 A or greater, more preferably in the range of about 250 A to about 500 A.
  • the Si-containing films described herein are also useful as anti-reflective coatings.
  • Photolithographic processes using intense sources of electromagnetic radiation are typically employed to pattern substrates in semiconductor manufacturing, Anti-reflective coatings are frequently applied to surfaces in order to reduce the amount of reflected radiation.
  • the coating is usually designed so that its anti-reflective properties are maximized for the type of incident radiation by adjusting the thickness of the coating to be some multiple of the wavelength of the radiation. It is generally desirable for the multiple to be as small as possible in order to avoid secondary optical effects, but it is generally more difficult to prepare such thin, optical-quality films.
  • the wavelength of incident radiation used for photolithography has also become shorter, with a commensurate decrease in the desired thickness for the anti-reflective coating.
  • a preferred embodiment provides anti-reflective coatings useful in semiconductor manufacturing.
  • Preferred antireflective coatings comprise a Si-containing film as described herein that has a substantially uniform thickness, more preferably a thickness non-uniformity of about 10% or less, so that the antireflective properties are substantially constant across the surface of the substrate.
  • the thickness of the anti-reflective coating is preferably selected to be effective to suppress reflection of at least part of the incident radiation, more preferably about 75% or less of the incident radiation is reflected. Typical thicknesses are lower multiples of the wavelength of the incident radiation, preferably about 100 A to about 4000 A, more preferably about 300 A to about 1000 A.
  • the Si-containing film preferably comprises elemental nitrogen, oxygen and/or carbon, and is more preferably selected from the group consisting of Si-N, Si-O-N, and Si-C-N.
  • Preferred anti-reflective coatings are preferably deposited using trisilane and, optionally, an oxygen, nitrogen and/or carbon precursor, using the deposition techniques taught elsewhere herein.
  • Preferred oxygen precursors include diatomic oxygen and ozone;
  • preferred nitrogen precursors include hydrazine, atomic nitrogen, hydrogen cyanide, and ammonia;
  • preferred carbon precursors include carbon dioxide, carbon monoxide, hydrogen cyanide, alkyl silanes and silylated alkanes.
  • Such Si-N, Si-O-N, and Si-C-N films are also useful for other purposes, preferably for thin etch stops.
  • the Si-containing film is a Si-N film, preferably made using trisilane in combination with a nitrogen precursor to deposit thin, uniform films with compositions ranging from almost pure silicon to Si 3 N .
  • Preferred nitrogen precursors include chemical precursors such as (H 3 Si) 3 N (trisilylamine), ammonia, atomic nitrogen, and NF 3 .
  • Atomic nitrogen is preferably generated using a microwave radical generator (MRG).
  • MRG microwave radical generator
  • Preferred Si-N films prepared in accordance with this embodiment have a thickness in the range of about 10A to about 300 A, more preferably about 15 A to about 150 A. Preferred ranges of percent thickness non-uniformity for the Si-N films are set forth in Table 1 above.
  • preferred deposition temperatures are in the range of about 400°C to about 800°C, preferably about 400°C to about 700°C, more preferably about 450°C to about 650°C.
  • Si-N is deposited by introducing the nitrogen precursor, • preferably atomic nitrogen, to the CVD chamber continuously, and introducing trisilane either continuously or in pulses, preferably in one or more pulses. It has been found that extremely thin, highly uniform Si-N films can be obtained by introducing each of the components, e.g., nitrogen precursor, trisilane, etc., either continuously or in pulses, but that greater film uniformity can often be obtained by introducing the trisilane in one or more pulses, especially if atomic nitrogen is introduced continuously.
  • the nitrogen precursor • atomic nitrogen
  • Preferred Si-N films have a higher degree of thickness uniformity than a comparable Si-N film deposited using siiane in place of trisilane, more preferably a film surface roughness that is greater than the substrate surface roughness by an amount of about 10 A rms or less, most preferably by an amount of about 5 A rms or less, over a surface area of about 1 square micron or greater.
  • Such thin, uniform silicon nitride films have a variety of applications.
  • Si-N is often employed as an etch stop, CMP stop, hard mask, barrier layer, capacitor dielectric, gate dielectric, etc.
  • forming as thin as possible a layer with complete continuity is advantageous.
  • FIG. 8 A schematic diagram illustrating a preferred apparatus is shown in Figure 8.
  • This apparatus 800 comprises a carrier gas source 810, a temperature controlled bubbler 820 containing liquid trisilane 830, and a gas line 840 operatively connecting the gas source 810 to the bubbler 820.
  • a CVD chamber 850 equipped with an exhaust line 860, is operatively connected to the bubbler 820 by a feed line 870.
  • the flow of trisilane, entrained in the carrier gas, from the bubbler 820 to the CVD chamber 850, is preferably aided by a temperature regulation source 880 operatively disposed in proximity to the bubbler,
  • the temperature regulation source 880 maintains the trisilane 830 at a temperature in the range of about 10°C to about 70°C, preferably about 20°C to about 52°C, to thereby control the vaporization rate of the trisilane.
  • the CVD chamber 850 is a single-wafer, horizontal gas flow reactor.
  • the apparatus is also comprised of a manifold (not shown) operatively connected to the feed line 870 to control the passage of the trisilane 830 from the bubbler 820 to the CVD chamber 850, desirably in a manner to allow separate tuning of the gas flow uniformity over the substrate(s) housed in the chamber 850.
  • the gas line 870 is maintained at a temperature in the range of about 35°C to about 70°C, preferably about 40°C to about 52°C, to prevent condensation of the trisilane.
  • integrated circuits are provided, comprised of a Si- containing film such as described herein.
  • Methods for making such integrated circuits from Si-containing films are known to those skilled in the art.
  • the use of trisilane enables the extension of current device designs to smaller critical dimension by virtue of the fact that thinner films can be controllably deposited at commercially meaningful deposition rates.
  • These integrated circuits may be incorporated into computer systems by methods known to those skilled in the art and thus a further preferred embodiment provides a computer system comprised of one or more of such integrated circuits.
  • computer system includes silicon-based devices capable of performing calculations and/or storing information in digital form.
  • Computer system thus includes any device into which an integrated circuit may be incorporated.
  • Semiconductor device manufacturing generally involves starting with a wafer substrate having a surface area of about 300 cm 2 or greater, e.g., a wafer having a diameter of 200 or 300 millimeters or even larger.
  • the wafer is subjected to dozens, hundreds, or even thousands of processing steps to produce a finished wafer that comprises millions of semiconductor devices.
  • the finished wafer is then cut to separate the devices from one another, producing a total number T of completed semiconductor devices.
  • NA/NT the device yield for a particular process
  • the yield of a semiconductor device manufacturing process that utilizes siiane can be improved by replacing the siiane with trisilane, as described herein.
  • the replacement may improve yields in a variety of processes, it has particular utility when the process involves depositing a Si-containing film having an average thickness of about 2000 A or less, and becomes increasingly preferred as film thickness is decreased.
  • the replacement is useful for depositing films having a thickness of about 300 A or less, even more useful for depositing films having a thickness of about 150 A or less, and especially useful when for depositing films having a thickness of about 100 A or less.
  • the replacement is particularly useful for improving yields when the surface area of the substrate is about 300 cm 2 or greater; and even more so when the surface area is about 700 cm 2 or greater.
  • the replacement of siiane with trisilane improves device yield by about 2% or more, more preferably about 5% or more, calculated as [trisilane device yield - siiane device yieldj/silane device yield, and multiplying by 100 to express the result as a percentage.
  • a preferred replacement method involves modifying a CVD process to take advantage of the ability to deposit trisilane at a lower temperature, e.g., using the temperature parameters discussed above for the thermal CVD of trisilane.
  • the replacement of siiane with trisilane preferably further involves reducing the deposition temperature to Tt, where T s > Tt.
  • Such temperature reductions advantageously conserve thermal budgets, and are preferably about 10% or greater, more preferably about 20% or greater, calculated as (T s -Tt)/T s , and multiplying by 100 to express the result in percentage terms.
  • Tt is preferably about 10% or greater, more preferably about 20% or greater, calculated as (T s -Tt)/T s , and multiplying by 100 to express the result in percentage terms.
  • the process of introducing siiane to the chamber is also modified when replacing the siiane with trisilane to take into account the liquid nature of trisilane at room temperature as discussed above, e.g., by using a bubbler, heated gas lines, etc.
  • a layer of epoxy was then applied to facilitate cross-sectional sample preparation.
  • Figure 9 is reproduction of an electron micrograph showing a cross section of the resulting substrate showing the underlying Si0 2 layer (“oxide”), the deposited amorphous silicon film (“a-Si”), and the overlying epoxy layer ("epoxy”). Furthermore, nucleation over oxide is sufficiently fast as to enable deposition in four minutes or less, even with pressures in the range of 1 Torr to 100 Torr and temperatures in the range of 425°C to 525°C.
  • Example 1 The process of Example 1 is repeated except that siiane is used instead of trisilane.
  • siiane is a gas under the experimental conditions, it was introduced to the chamber directly in admixture with hydrogen, without the use of a bubbler. No meaningful deposition was observed after 30 minutes and no Si-containing film was obtained even with a siiane flow of 190 seem.
  • Example 1 The process of Example 1 was repeated, except that the flow rate was 228 seem, diborane was not used, and the deposition time was two minutes. A continuous amorphous silicon film having a total thickness of 28 A and a surface roughness of about 2 A rms (comparable to the underlying silicon dioxide) was deposited on the silicon dioxide layer at a deposition rate of 14 A per minute. A layer of epoxy was then applied to facilitate cross-sectional sample preparation.
  • Figure 10 is reproduction of an electron micrograph showing a cross section of the resulting substrate showing the underlying SiO 2 layer ("oxide"), the deposited amorphous silicon film (“a-Si”), and the overlying epoxy layer ("epoxy").
  • oxide SiO 2 layer
  • a-Si deposited amorphous silicon film
  • epoxy epoxy layer
  • This example illustrates the deposition of an extremely thin, continuous, uniform amorphous silicon film in the absence of a dopant or dopant precursor.
  • nucleation over oxide is sufficiently fast as to enable deposition in two minutes or less, even with pressures in the range of 1 Torr to 100 Torr and temperatures in the range of 425°C to 525°C.
  • An amorphous boron-doped silicon film was deposited as described in Example 4, except that deposition was carried out for 30 seconds to produce a film having a total thickness of 205 A.
  • the deposition rate was 410 A per minute.
  • An amorphous boron-doped silicon film was deposited as described in Example 4, except that deposition was carried out for one minute to produce a film having a total thickness of 409 A. The deposition rate was 409 A per minute.
  • Examples 4-6 demonstrate that deposition rates were essentially constant when trisilane was used to deposit thin films.
  • the average deposition rate was 406 A/minute and the variability was only 4.5 A / min rms (1.1%).
  • amorphous boron-doped silicon film was deposited as described in Example 4, except that trisilane was used instead of siiane.
  • Siiane was supplied in the form of a gas at a flow rate of about 100 seem. A bubbler was not used because siiane is a gas under these conditions.
  • the delivery rate of siiane to the substrate was about 0.1 gram per minute, about the same as the delivery rate of trisilane in Examples 4-6.
  • Deposition was carried out for three minutes to produce a film having a total thickness of 16 A. The deposition rate was 5.3 A per minute.
  • An amorphous boron-doped silicon film was deposited as described in Example 7, except that deposition was carried out for ten minutes to produce a film having a total thickness of 284 A. The deposition rate was 28.4 A per minute.
  • Examples 7-9 demonstrate that deposition rates varied significantly, depending on film thickness, when siiane was used to deposit thin films.
  • the deposition rate changed from 5.3 A per minute to 28 A per minute as the film thickness increased from 16 A to 284 A.
  • the average was 17 A/minute and the variability was 9.4 A rms (55%).
  • a thin, uniform, continuous Si-N film having a thickness of about 39 A was deposited at 650°C at a pressure of 3 Torr onto a p-type Si ⁇ 100> substrate (etched with HF, but not baked) using trisilane and atomic nitrogen.
  • Atomic nitrogen was generated remotely using a commercially available 800 watt microwave radical generator (MRG) and was supplied to the CVD chamber using a nitrogen flow rate of about 6 slm.
  • MRG 800 watt microwave radical generator
  • Trisilane was supplied to the CVD chamber via a bubbler with a nitrogen carrier gas at a flow rate of about 50 seem (bubbler).
  • the trisilane was introduced in a series of six pulses, each lasting about 6 seconds, at intervals of about 1 minute and 30 seconds, during which the atomic nitrogen was introduced continuously.
  • the resulting Si-N film was coated with epoxy, cross-sectioned and imaged using transmission electron microscopy (TEM), as shown in the TEM photomicrograph of Figure 11.
  • TEM transmission electron microscopy

Abstract

Thin, smooth silicon-containing films are prepared by deposition methods that utilize trisilane as a silicon source. In preferred embodiments, the methods result in Si-containing films that are continuous and have a thickness of about 150 Å or less, a surface roughness of about 5 Å rms or less, and a thickness non-uniformity of about 20% or less. Preferred silicon-containing films display a high degree of compositional uniformity when doped or alloyed with other elements. Preferred deposition methods provide improved manufacturing efficiency and can be used to make various useful structures such as wetting layers, HSG silicon, quantum dots, dielectric layers, anti-reflective coatings (ARC's), gate electrodes and diffusion sources.

Description

THIN FILMS AND METHODS OF MAKING THEM USING T ISILANE
Background of the Invention Field of the Invention
[0001] This invention relates generally to the deposition of silicon-containing films, and more particularly to the use of trisilane, SisHs, in chemical vapor deposition processes for the deposition of thin silicon-containing films on various substrates, Description of the Related Art
[0002] Siiane (SiH ) is widely used in the semiconductor manufacturing industry to deposit silicon-containing ("Si-containing") films by chemical vapor deposition (CVD). However, the deposition of very thin (e.g., about 150 A or less) silicon-containing films using siiane is very challenging, particularly over large area substrates. Very thin Si-containing films deposited using siiane are often not continuous, due to an island-like film nucleation process, or have very rough surfaces due to the coalescence of island-like nuclei. In addition, the elemental composition of doped thin films is often not homogeneous in the cross-film and/or through-film directions because of differences in relative incorporation rates of the dopant elements. The resulting films do not exhibit uniform elemental concentrations and, therefore, do not exhibit uniform film physical properties across the surface and/or through the thickness of the film.
[0003] Deposition of such thin films with uniform elemental concentrations represents a serious challenge for vapor deposition processes that rely on conventional silicon sources, such as siiane, as the silicon source precursor. Typical furnace-based deposition processes that utilize siiane are generally unable to deposit continuous, smooth and homogeneous films having a thickness of 100 A or less. Plasma- enhanced CVD processes typically have serious limitations for the deposition of homogeneous, continuous thin films with thicknesses below about 200 A. U.S. 5,648,293 states that, for an amorphous silicon layer over a transistor gate insulator, when the film thickness is less than approximately 15 nanometers (150 A), both decreased electron mobility and increased transistor threshold voltage result. Similarly, typical single wafer thermal CVD processes also suffer from an inability to deposit smooth, homogeneous thin film materials with a thickness of 150 A or less.
[0004] Attempts to produce thin Si-containing films and incorporate them into devices have not been entirely satisfactory. For example, U.S. Patent No. 6,194,237 discloses depositing a conductive layer of Sio.7Geo.3 on SiO2j depositing another layer of SiO2 over the conductive layer, and then annealing so that the conductive layer forms quantum dots. The conductive layer is stated to have a thickness of 30 A, but the wide variation in size and distribution for the resulting quantum dots indicates that the conductive layer was not deposited uniformly. Attempts to provide quantum dots of more uniform size and distribution have been disclosed, but typically involve high temperatures and/or more complicated deposition schemes, see, e.g., U.S. Patent No. 6,235,618. [0005] Japanese Patent Application Disclosure No. H3-187215 discloses the use of pure disilane (free of siiane and trisilane) in a thermal CVD device to deposit a film having a thickness of 180 A; see also Japanese Publication No. 03187215 A. U.S. Patent No. 5,789,030 discloses a low pressure CVD ("LPCVD") method for depositing an in-situ doped silicon thin film that involves first depositing a very thin layer of silicon before introducing a dopant gas species to form the doped film, While the initial undoped layer is stated to be only several monolayers thick, the overall thickness of the layer is 500 A to 2,000 A, including the in situ doped portion.
[0006] The use of higher silanes such as disilane and trisilane is sometimes mentioned in the art as an alternative to the use of siiane, but in most cases the only data reported concern the use of siiane. Disilane (Si2H6) is known to be less stable than siiane, and in deposition experiments employing disilane it was reported that disilane gives poor step coverage and that the deposition reaction is too violent to be controlled within the temperature range of 400° to 600°C, see U.S. Patent No. 5,227,329. Trisilane is even less thermally stable than siiane.
[0007] The ability to deposit very thin, smooth Si-containing films would satisfy a long-felt need and represent a significant advance in the art of semiconductor manufacturing, particularly for making future generations of microelectronic devices having ever-smaller circuit dimensions.
Summary of the Invention
[0008] The inventor has discovered that trisilane can be used to deposit very thin, smooth Si- containing films. In accordance with one aspect of the invention, a preferred embodiment, a method for depositing a thin film is provided, comprising: introducing a gas comprising trisilane to a chamber, wherein the chamber contains a substrate having a substrate surface roughness; establishing trisilane chemical vapor deposition conditions in the chamber; and depositing a Si-containing film onto the substrate, the Si-containing film having a thickness in the range of 1θA to 150 A and a film surface roughness that is greater than the substrate surface roughness by an amount of about 5 A rms or less, over a surface area of about one square micron or greater.
[0009] In accordance with another aspect of the invention, a method for depositing a thin film is provided, comprising: introducing trisilane to a chamber, wherein the chamber contains a substrate; and depositing a continuous amorphous Si-containing film having a thickness of less than about
100 A and a surface area of about one square micron or larger onto the substrate by thermal chemical vapor deposition.
[0010] In accordance with another aspect of the invention, a method of increasing semiconductor manufacturing device yield is provided, comprising: identifying a semiconductor device manufacturing process that comprises depositing a Si- containing film onto a substrate using siiane to produce a number NT of semiconductor devices, of which a number NA of the devices are acceptable and a number Nu of the devices are unacceptable; wherein the Si-containing film has an average thickness of about 2000 A or less; wherein the substrate has a surface area of about 300 cm2 or greater; and wherein the process has a device yield equal to NA/NT; and replacing the siiane with trisilane in the semiconductor device manufacturing process to increase the device yield.
[0011] In accordance with another aspect of the invention, an integrated circuit is provided, comprising a continuous amorphous Si-containing film having a thickness that is 15 A or greater and that is 150 A or less, a surface area of about one square micron or greater, and a thickness non-uniformity of about 10% or less for a mean film thickness in the range of 100 A to 150 A, a thickness non-uniformity of about 15% or less for a mean film thickness in the range of 50 A to 99 A, and a thickness non-uniformity of about 20% or less for a mean film thickness of less than 50 A.
[0012] These and other aspects will be better understood by reference to the appended claim and the preferred embodiments, which are described in greater detail below.
Brief Description of the Drawings
[0013] Figures 1-11 are non-limiting illustrations (not to scale) of various preferred embodiments.
[0014] Figure 1 is a schematic cross-sectional view illustrating a thin Si-containing film deposited onto a semiconductor substrate in accordance with a preferred embodiment of the present invention.
[0015] Figure 2 is a schematic cross-sectional view illustrating thickness variation in a thin Si- containing film deposited onto a semiconductor substrate.
[0016] Figure 3 is a schematic cross-sectional view illustrating a thin Si-containing film deposited over a step formed from a semiconductor and a dielectric material.
[0017] Figure 4A is a schematic cross-sectional view illustrating a thin Si-containing film deposited over a trench formed in a semiconductor. Figure 4B illustrates HSG silicon formed by annealing the thin Si-containing film.
[0018] Figure 5 is a schematic cross-sectional view illustrating the use of a thin Si-containing film as a wetting layer prior to further deposition over a gate dielectric in a transistor gate electrode.
[0019] Figures 6A to 6C are schematic cross-sectional views illustrating a sequence for depositing a thin Si-containing film as a wetting layer in a transistor gate electrode deposition process.
[0020] Figures 7A to 7C are schematic cross-sectional views illustrating a sequence for depositing a thin Si-containing film and annealing to form quantum dots. [0021] Figure 8 is a schematic cross-sectional view illustrating an apparatus for depositing thin
Si-containing films.
[0022] Figure 9 is a reproduction of a cross-sectional photomicrograph showing a silicon film deposited onto a silicon dioxide substrate.
[0023] Figure 10 is a reproduction of a cross-sectional photomicrograph showing a silicon film deposited onto a silicon dioxide substrate.
[0024] - Figure 11 is a reproduction of a cross-sectional photomicrograph showing a Si-N film deposited onto a silicon substrate.
Detailed Description of the Preferred Embodiments
[0025] Manufacturers of microelectronic devices have long used siiane (SiH ) to deposit Si- containing films by CVD. Well-controlled and reproducible deposition processes are highly desirable in order to maximize device yield and production rate. However, it has been found that dynamic temperature variations, due to limitations in heating and temperature control systems, play a significant role in the non- uniformity of film deposition on substrate surfaces by CVD. It is generally desirable for the deposited film to be as uniform as possible in both thickness and elemental composition, but existing processes tend to produce films that are non-uniform to varying degrees. This is believed to result from, inter alia, temperature variations across the surface of the substrate because it has been found that the surface temperature of the substrate influences the deposition rate and the composition of the resulting film. Furthermore, temperature control systems can be dependent upon the exposed surface of the substrate, which changes as deposition progresses. Imperfect control over other process parameters, including gas flow rates and total pressure, are also believed to contribute to non-uniformities in film physical properties. Temperature variations of SiC- coated graphite components (e.g., pre-heat ring and susceptor) in single wafer, horizontal gas flow reactors can also contribute to film non-uniformities.
[0026] Because of these process variations, the rate of deposition at any particular instant varies as a function of position on the film, resulting in thickness variations across the surface of the film. Similarly, the composition of the film that is being deposited at any particular instant in time varies from place to place across the surface of the substrate for multi-component films. Without being limited by theory, such variation may be a direct consequence of the difference in dissociative absorption activation energy that exists between the precursors (including dopant precursors) used to introduce each of the elemental components of the multi-component film, This means that the averaging-out/tuning approaches discussed below do not necessarily solve the problem of compositional non-uniformity.
[0027] In many cases, manufacturing involves depositing Si-containing films during the process of making dozens or even hundreds of devices simultaneously on a wafer that is 200 millimeters (mm) in diameter. The industry is currently transitioning to 300 mm wafers, and may use even larger wafers in the future. Significant variations in the thickness and/or composition of the Si-containing films during the manufacturing process can lead to lower manufacturing yields when the affected devices do not meet the required performance specifications or standards. Also, variations across the film within a particular device can reduce device performance and/or reliability.
[0028] Thickness non-uniformity can be mitigated to a certain extent by depositing relatively thick films, This approach relies on the fact that non-uniformities tend to average out over the deposition time of any particular layer. Reactor process variables such as temperature and placement of heating lamps, gas flow rate, gas pressure, gas composition, etc. can be tuned to average out the total film thickness, particularly in single-wafer systems with rotating wafer support.
[0029] Tuning involves depositing a large number of films, each under a different pre-selected set of deposition conditions. The thickness variations within each film are then measured and the results analyzed to identify conditions that reduce or eliminate the thickness variations. The inventor has realized, however, that tuning does not necessarily achieve uniform temperature distributions throughout the process; rather, the result of the tuning process is to time-average the thickness variations produced by the temperature variations for a specific reaction temperature set point.
[0030] Accordingly, tuning does not necessarily produce uniform temperatures across the substrate throughout the deposition process. This, in turn, raises the issue of compositional variation because compositional homogeneity is desired in three dimensions, both across the film surface and through the film thickness. This is because many films contain dopants and the level of these dopants influences the electronic properties of the film. Non-uniform temperatures can result in non-uniform incorporation of dopants into the film. Similarly, other non-uniformities can result.
[0031] The problem of deposition non-uniformity is particularly acute when depositing very thin Si-containing films. The ability to produce thin films is becoming more important as circuit dimensions shrink and the resulting devices become more compact. However, the averaging-out/tuning approaches described above are becoming increasingly inadequate because the deposition process time for a thin film is generally shorter than for a thick film, allowing less time for film thickness to average-out. In addition, highly compact devices are more sensitive to compositional non-uniformities, a problem that is not adequately addressed by averaging-out/reactor tuning.
[0032] Film uniformity in thin films is also affected by nucleation phenomena. Nucleation is not completely understood, but siiane deposition has been observed to occur by a process in which a number of separate silicon islands initially form on the surface of the substrate. As the deposition proceeds, these islands tend to grow until they contact one another, eventually forming a continuous silicon film. At this point the silicon film typically has a rough surface with peaks that correspond to the initial nucleation sites and valleys that correspond to the contact areas. The surface roughness is particularly evident when depositing layers, and particularly doped layers, over dielectric surfaces such as silicon oxide or silicon nitride. As deposition proceeds further and the film thickens, thickness uniformity increases by an averaging-out process similar to that described above.
[0033] Thin, continuous Si-containing films are generally very difficult to prepare by existing siiane deposition processes because the film may reach the desired thickness in the regions near the peaks of the islands before the islands have grown together to form a continuous film. These problems are exacerbated for thinner films and by surface mobility of atoms in amorphous films. Continuity problems are typically observed when using a siiane deposition process to make films having a thickness of about 200 A or less, and even more so for films having a thickness of less than about 100 A. These problems are also exacerbated as the surface area of the film increases. Serious difficulties are often encountered for very thin films having a surface area of about one square micron or greater, and even more so for very thin films having a surface area of about 5 square microns or greater. The nature of the substrate can also complicate siiane deposition to the extent that the surface influences nucleation and growth. Thus, for example, the deposition of very thin continuous amorphous Si-containing films over patterned dielectric substrates using siiane is particularly challenging.
[0034] Film deposition methods that utilize a Si-containing precursor, preferably trisilane
(H3SiSiH2SiH3), have now been discovered that are much less sensitive to temperature variations across the surface of the substrate. In preferred embodiments, these methods are also much less sensitive to nucleation phenomena. Practice of the deposition methods described herein provides numerous advantages. For example, the methods described herein enable the production of novel Si-containing films that are uniformly thin, as well as doped Si-containing films in which the dopant is uniformly distributed throughout the film, preferably in both the across-film and through-film directions. The methods described herein also enable the production of very thin, continuous films. These advantages, in turn, enable devices to be produced in higher yields, and also enable the production of new devices having smaller circuit dimensions and/or higher reliability. These and other advantages are discussed below.
[0035] The Si-containing films described herein can be made by a variety of methods.
Preferably, deposition is conducted under trisilane deposition conditions that are in or near the mass transport limited regime for trisilane. In the mass transport limited regime, deposition rates are essentially independent of temperature. This means that small temperature variations across the surface of the substrate have little or no effect on deposition rate. It has been found that this greatly minimizes thickness and compositional variations and enables the production of the preferred Si-containing films described herein.
[0036] Trisilane deposition conditions are thus preferably created by supplying sufficient energy to enable the trisilane to deposit at a rate that is controlled primarily by the rate at which it is delivered to the substrate surface, more preferably by heating the substrate as described below. A preferred deposition method involves establishing trisilane deposition conditions in a suitable chamber in the presence of trisilane and depositing a Si-containing film onto a substrate contained within the chamber. [0037] Deposition of trisilane may be suitably conducted according to the various CVD methods known to those skilled in the art, but the greatest benefits are obtained when deposition is conducted according to the CVD methods taught herein. The disclosed methods may be suitably practiced by employing CVD, including plasma-enhanced chemical vapor deposition (PECVD) or thermal CVD, utilizing gaseous trisilane to deposit a Si-containing film onto a substrate contained within a CVD chamber. Thermal CVD (i.e., without plasma assistance) is preferred.
[0038] In a preferred embodiment, trisilane is introduced to the chamber as a component of a feed gas. A suitable manifold may be used to supply feed gas(es) to the CVD chamber. Preferably, the gas flow in the CVD chamber is horizontal, most preferably the chamber is a single-wafer, horizontal gas flow reactor, preferably radiatively heated. Suitable reactors of this type are commercially available, and preferred models include the Epsilon™ series of single wafer reactors commercially available from ASM America, Inc. of Phoenix, Arizona. While the methods described herein can also be employed in alternative reactors, such as a showerhead arrangement, benefits in increased uniformity and deposition rates have been found particularly effective in the horizontal, single-pass laminar gas flow arrangement of the Epsilon™ chambers, employing a rotating substrate. CVD may be conducted by introducing a plasma to the chamber, but deposition in the absence of a plasma within the chamber is preferred, and thermal CVD is most preferred.
[0039] Trisilane is preferably introduced to the CVD chamber in the form of a feed gas or as a component of a feed gas. The total pressure in the CVD chamber is preferably in the range of about 0.001 torr to about 780 torr, more preferably in the range of about 0.1 torr to about 760 torr, most preferably in the range of about 1 torr to about 700 torr. The partial pressure of trisilane is preferably in the range of about 0.0001 % to about 100% of the total pressure, more preferably about 0.001 % to about 50 % of the total pressure. Surprisingly, deposition in the pressure range of 1 Torr to 100 Torr has been found to result in excellent uniformity. Such results are surprising due to the generally held belief that such conditions using conventional precursors result in gas phase reactions, which would reduce film conformality.
[0040] The feed gas can also include gases other than trisilane, such as inert carrier gases.
Hydrogen or nitrogen are preferred carrier gases for the methods described herein. Preferably, trisilane is introduced to the chamber by way of a bubbler used with a carrier gas to entrain trisilane vapor, more preferably a temperature controlled bubbler.
[0041] The feed gas may also contain other materials known by those skilled in the art to be useful for doping or alloying Si-containing films, as desired. Preferably the gas is further comprised of an element selected from the group consisting of germanium, carbon, boron, indium, arsenic, phosphorous, antimony, nitrogen and oxygen. In some arrangements, the gas is further comprised of one or more compounds selected from the group consisting of the following non-limiting examples: siiane, disilane, tetrasilane, germane, digermane, trigermane, NF3, monosilylmethane, disilylmethane, trisilylmethane, tetrasilylmethane, hydrocarbons (e.g., methane, ethane, propane, etc.), carbon monoxide, carbon dioxide, HCN (hydrogen cyanide), ammonia, atomic nitrogen, hydrazine, N2O, N02, and a dopant precursor,
[0042] Incorporation of dopants into Si-containing films by CVD using trisilane is preferably accomplished by in situ doping using gas phase dopant precursors. Precursors for electrical dopants include diborane, deuterated diborane, phosphine, arsenic vapor, and arsine. Silylphosphines [(H3Si)3-χPRx] and silylarsines [(H3Si)3-χAsRx] where x = 0-2 and Rx = H and/or D are preferred precursors for phosphorous and arsenic as dopants. SbH3 and trimethylindium are preferred sources of antimony and indium, respectively. Such dopant precursors are useful for the preparation of preferred semiconductor films as described below, preferably boron-, phosphorous-, antimony-, indium-, and arsenic-doped silicon, Si-C, Si-Ge and Si-Ge-C films and alloys.
[0043] The amount of dopant precursor in the feed gas may be adjusted to provide the desired level of dopant in the Si-containing film. Typical concentrations in the feed gas can be in the range of about 1 part per million (ppm) to about 1% by weight based on total feed gas weight, although higher or lower amounts are sometimes preferred in order to achieve the desired property in the resulting film. In the preferred Epsilon™ series of single wafer reactors, dilute mixtures of dopant precursor in a carrier gas can be delivered to the reactor via a mass flow controller with set points ranging from about 10 to about 200 standard cubic centimeters per minute (seem), depending on desired dopant concentration and dopant gas concentration. The dilute mixture is preferably further diluted by mixing with trisilane and any trisilane carrier gas. Since typical total flow rates for deposition in the preferred Epsilon™ series reactors often range from about 20 standard liters per minute (slm) to about 180 slm, the concentration of the dopant precursor used in such a method is usually very small.
[0044] The relative partial pressures of trisilane and other components of the gas are preferably held relatively constant over the course of depositing the Si-containing film. Film thickness may be varied according to the intended application as known in the art, by varying the deposition time and/or gas flow rates for a given set of deposition parameters (e.g., total pressure and temperature). To achieve substantially uniform incorporation of a component into the resulting film, the component (or its precursor) and trisilane are preferably mixed together to form a homogenous gaseous mixture prior to deposition.
[0045] For thermal CVD using trisilane, deposition is preferably conducted at a substrate temperature of about 400°C or greater, more preferably about 450°C or greater, even more preferably about 500°C or greater. Preferably, deposition of amorphous films takes place at a temperature of about 750°C or less, more preferably about 650°C or less, most preferably about 600°C or less. Such temperatures are preferably achieved by heating the substrate to the indicated temperature. As temperatures are increased beyond about 600°C, surface roughness tends to increase due to the transition to microcrystalline and polycrystalline structures and deposition rates tend to be higher. Epitaxial films may be obtained by deposition at sufficiently high temperatures onto properly prepared substrates. Those skilled in the art can adjust these temperature ranges to take into account the realities of actual manufacturing, e.g., preservation of thermal budget, tolerance for surface roughness in a particular application, tolerance for compositional variations, etc. For example, deposition temperatures in the range of about 450°C to about 525°C are preferred for the deposition of extremely thin (e.g., about 10 A to about 50 A) amorphous Si-containing films onto an oxide substrate using trisilane, Preferred deposition temperatures thus depend on the desired application, but are typically in the range of about 400°C to about 750°C, preferably about 425°C to about 700°C, more preferably about 450°C to about 650°C.
[0046] Deposition of the films described herein is preferably conducted at a rate of about 5 A per minute or higher, more preferably about 10 A per minute or higher, most preferably about 20 A per minute or higher, Better thickness uniformity is generally achieved at relatively lower deposition rates, particularly for relatively thin films.
[0047] Preferred trisilane deposition methods enable the production of thin, continuous, Si- containing films over various substrates, A schematic cross-sectional view (not to scale) illustrating such a preferred structure 100 is shown in Figure 1, showing a Si-containing film 110 deposited directly on a substrate 120. A preferred substrate comprises a non-single crystal material, more preferably comprises a dielectric material. Examples of preferred dielectric materials include various silicon oxides and metal oxides, metal silicates, silicon oxynitrides and silicon nitrides.
[0048] The Si-containing film is preferably amorphous, polycrystalline, or single crystalline.
The Si-containing film can comprise one or more other elements in addition to silicon such as germanium, nitrogen, carbon, boron, indium arsenic, phosphorous, and antimony. Preferred dopants for Si-containing films are arsenic, boron and phosphorous. The dopant concentration in Si-containing films, when doped, is preferably in the range of from about 1 x 1014 to about 1 x 1022 atoms/cm3.
[0049] Preferably, the one or more other elements are distributed more uniformly throughout the Si-containing film than when siiane is used as the silicon source in an optimized process. Compositional uniformity can be determined by using electrical measurements (e.g., 4-point probe), SIMS (Secondary Ion Mass Spectrometry), RBS (Rutherford Backscattering Spectroscopy), Spectroscopic Ellipsometry and/or high resolution X-ray diffractometry (HR-XRD).
[0050] When comparing one Si-containing film to another, or one deposition process to another, compositional uniformity is measured using SIMS across a circular wafer substrate onto which the Si-containing has been deposited. SIMS measurements are made at three locations: one at the center of the wafer, one at a point midway between the center and the edge ("r/2"), and one at a point 3 millimeters from the edge ("3 mm edge exclusion"). For each non-silicon element in question, the amount of that element at each location is then determined from the SIMS data, and the resulting value expressed in atomic % based on total. The three values are then averaged, and the standard deviation determined. [0051] For a given Si-containing film or deposition process, compositional non-uniformity is the standard deviation divided by the sum of the maximum and minimum measured values, and the result expressed as a percentage. For example, if the three values are 3 atomic %, 5 atomic %, and 10 atomic %, the compositional non-uniformity is 28% because the sum of the minimum and maximum values is 13 and the standard deviation is 3.6 (3.6/13 = 28%). Preferred values of compositional non-uniformity vary, depending on the amount of the element in the Si-containing film. If the amount of element is 1 atomic % or greater, the compositional non-uniformity for the Si-containing film is preferably about 25% or less, more preferably about 20% or less, even more preferably about 15% or less, most preferably about 10% or less. Ge content in SiGe films, for example, will typically represent greater than about 1 atomic % of such films, such that the above preferences apply to SiGe films. If the amount of element is in the range of 0.001 atomic percent up to 1 atomic %, the compositional non-uniformity for the Si-containing film is preferably about 100% or less, more preferably about 75% or less, even more preferably about 50% or less, most preferably about 25% or less. If the amount of element is below 0.001 atomic percent, the compositional non-uniformity for the Si-containing film is preferably in the range of about 400% or less, more preferably about 300% or less, even more preferably about 200% or less, most preferably about 100% or less. Ge content in graded SiGe films, for example, may vary over a broad range, and thus more than one of the above ranges may apply depending on the profile.
[0052] The deposition methods described herein can be used to deposit thicker films, but particularly advantageous aspects of these methods are realized when the thickness of the Si-containing film is about 500 A or less. The methods described herein tend to become progressively more useful, as compared to conventional processes, as film thickness decreases and thus are preferably used to deposit preferred Si-containing films having a thickness of about 150 A or less, more preferably about 125 A or less, most preferably less than about 100 A. Preferred Si-containing films have a thickness of about 10 A or more, more preferably about 20 A or more, most preferably about 25 A or more, in order to better ensure continuity of the deposited film. The methods described herein thus enable the deposition of preferred Si-containing films having thicknesses that are in the range of about 10 A to about 150 A, more preferably about 20 A to about 125 A, most preferably about 25 A to less than about 100 A.
[0053] Suitable methods for measuring film thickness include multiple-point ellipsometric methods, Instruments for measuring film thickness are well known and commercially available. Preferred instruments include the NanoSpec® series of instruments from Nanometrics, Inc., Sunnyvale, California. The thickness of a Si-containing film can also be determined by cross-sectioning the substrate and measuring the thickness by an appropriate microscopy technique, most preferably by electron microscopy. For example, Figure 1 illustrates the cross-sectional measurement of thickness 130. The span over which a thickness is measured can be any span in the range of from about 10 times the thickness of the film to the entire span of the Si-containing film. If the thickness varies over the span, then the thickness is considered to be the average thickness, i.e., the numerical average of the thickest and thinnest dimensions of the film over a given span. For example, for the structure 200 illustrated in Figure 2, the Si-containing film 210 has an average thickness over the span 220 that is equal to one-half of the sum of thickness 230 and thickness 240.
[0054] As used herein, rms (more properly, the square root of the mean squared error) is a way of expressing the amount of variability exhibited by the members of a given population. For example, in a group of objects having an average weight of y grams, each member of the group has a weight y' that differs from the average by some amount, expressed as (y- y). To calculate rms, these differences are squared (to ensure that they are positive numbers), summed together, and averaged to yield a mean squared error. The square root of the mean squared error is the rms variability.
[0055] Preferred Si-containing films have a thickness that is highly uniform across the surface of the film. In general, measurements of uniformity described herein can be on a film obtained by blanket deposition over a bare or oxide-covered 200 mm or 300 mm wafer, and no measurements are taken within a 3 mm exclusion zone at the wafer periphery. Film thickness uniformity is determined by making multiple-point thickness measurements along a randomly selected diameter, determining the mean thickness by averaging the various thickness measurements, and determining the rms variability. A preferred instrument for measuring film thickness utilizes a Nanospec® 8300 XSE instrument (commercially available from Nanometrics, Inc., Sunnyvale, California), and a preferred measurement method involves using such an instrument to measure the film thickness at 49 points along a randomly selected wafer diameter. In practice, thickness variability is typically obtained directly from the instrument following such a measurement, and thus need not be calculated manually. To enable comparisons, the results can be expressed as percent non- uniformity, calculated by dividing the rms thickness variability by the mean thickness and multiplying by 100 to express the result as a percentage. When measuring thickness uniformity of a film having a surface that is not accessible to such a measurement, e.g., a film onto which one or more additional layers have been applied, or a film contained within an integrated circuit, the film is cross sectioned and examined by electron microscopy. The film thickness is measured at the thinnest part of the cross sectioned film and at the thickest part, and the range in thickness measurements (e.g., + 6 A) between these two points is then divided by the sum of the two measurements. This non-uniformity is expressed as a percentage herein.
[0056] For all films, percent thickness non-uniformity is preferably about 20% or less.
Depending on the mean thickness of the film, additional values for percent thickness non-uniformity may be preferred as shown in Table 1 below. Each value for % thickness non-uniformity shown in Table 1 is to be understood as if preceded by the word "about." TABLE 1
Figure imgf000013_0001
[0057] The Si-containing film preferably provides conformal coating over varied topography. A conformal coating is a layer that follows the curvature, if any, of the layer that it overlies. Preferred Si- containing films exhibit good step coverage. "Step coverage" refers to the thickness uniformity of a conformal Si-containing film that overlies a stepped surface. A stepped surface is a surface that has two or more parallel components that are not disposed in the same horizontal plane. For example, Figure 3 illustrates a structure 300 in which a Si-containing film 310 exhibits good step coverage over the step created by the presence of silicon oxide layer 320 on silicon substrate 330. Step coverage is preferably determined by measuring the average thickness of the Si-containing film at the bottom of the step 340, dividing it by the average thickness at the top of the step 350, and multiplying by 100 to express the result in percentage terms. Likewise, Figure 4A illustrates a trench structure 400 in which a Si-containing film 410 exhibits good step coverage over the trench in semiconductor substrate 420. Step coverage is preferably determined similarly by measuring the average thickness of the Si-containing film at the bottom of the trench 430, dividing it by the average thickness at the top of the trench 440 and 450, and multiplying by 100 to express the result in percentage terms. Preferred Si-containing films have good step coverage even at relatively high aspect ratios. "Aspect ratio" refers to the ratio of the vertical height of the step to the horizontal width of the structure. For example, the aspect ratio of the trench illustrated in Figure 4 is equal to trench width divided by trench depth.
[0058] At an aspect ratio in the range of about 4.5 to about 6, preferred Si-containing films have a step coverage of about 70% or greater, preferably 80% or greater. At an aspect ratio in the range of about 1 to about 4, preferred Si-containing films have a step coverage of about 80% or greater, preferably 90% or greater. Step coverage is preferably calculated as stated above, but can also be calculated by taking into account sidewall thickness 360 or 460. For example, alternate definitions of step coverage involve the ratio of the sidewall thickness to the average thickness at the top and/or bottom of the step, e.g., thickness at 460 divided by thickness at 430, or thickness at 460 divided by the average of thickness at 440 and 450. However, unless otherwise stated, step coverage herein is determined as stated above by measuring the average thickness of the horizontal portions of the Si-containing film at the bottom of the step, dividing it by the average thickness of the horizontal portions at the top of the step, and multiplying by 100 to express the result in percentage terms.
[0059] Advantageously, surface smoothness and thickness of the Si-containing film as defined herein is maintained over a surface area of about one square micron (μm2) or greater, more preferably about 5 μm2 or greater, even more preferably about 10 μm2 or greater. The Si-containing film can cover all or part of a large substrate, e.g., a wafer, and thus can have a surface area of about 300 cm2 or greater, preferably about 700 cm2 or greater. A surface can be characterized as being in contact with a particular material, and thus a surface area in contact with a particular layer can be specified. For example, a Si-containing film can overlie a dielectric material and a semiconductor material. Preferably, the Si-containing film has a surface area in contact with the dielectric material of about 5 μm2 or greater, more preferably about 10 μm2 or greater. More preferably, the Si-containing film is a conformal coating.
[0060] Since good step coverage is usually achieved, in many cases the surface roughness of the Si-containing film is substantially the same as the roughness of the surface that it overlies. Surface roughness is preferably rms surface roughness as measured by atomic force microscopy (AFM) on a 1 micron x 1 micron portion of surface in question. The roughness of the underlying substrate surface can range from about 1 A rms (atomically flat surface) up to about 25 A rms or even higher. Preferably, the underlying substrate surface has a roughness of 10 A rms or less, more preferably 5 A rms or less, so that the overlying Si-containing film has a comparable roughness. For an underlying substrate surface having a given degree of roughness, the Si-containing film deposited thereon preferably has a surface roughness that is greater than the substrate surface roughness by an amount of about 5 A or less, more preferably about 3 A or less, even more preferably about 2 A or less. For example, if the substrate surface roughness is about 7 A rms, then the measured surface roughness of the Si-containing film deposited thereon is preferably about 12 A rms (7 A + 5 A) or less. Preferably, the underlying surface has a roughness of about 2 A rms or less and the overlying Si-containing film has a measured surface roughness of about 5 A rms or less, more preferably about 3 A rms or less, most preferably about 2 A rms or less. Note that the surface roughness measurements described herein are taken as-deposited, prior to any etch or polishing processes, or any subsequent thermal processing
[0061] Preferred Si-containing films are thin and continuous over an area of about 1 μm2 or greater, more preferably about 5 μm2 or greater, even more preferably about 10 μm2 or greater. As film thicknesses decreases, film continuity over such areas tends to become progressively more difficult to achieve. The methods described herein are useful for preparing thicker continuous Si-containing films, but are particularly useful for depositing continuous films having a thickness of about 125 A or less, even more so for films having a thickness of less than about 100 A, and especially useful for films having a thickness of about 80 A or less. [0062] Various materials can be deposited in the usual fashion over the Si-containing materials described herein, including metals, dielectric materials, semiconductors, and doped semiconductors. Si-containing materials may also be subjected to other semiconductor manufacturing processes such as annealing, etching, ion implantation, polishing, etc.
[0063] A preferred embodiment provides a wetting layer useful for making multilayer structures comprised of different materials. For example, the method of making a transistor gate electrode involves depositing a semiconductor layer, such as doped silicon, doped silicon germanium, doped silicon carbon or doped silicon germanium carbon onto a dielectric material such as silicon dioxide. The deposition method can be improved by first depositing a wetting layer onto the dielectric material, then depositing the semiconductor layer onto the wetting layer. Figure 5 illustrates a portion of a gate electrode stack 500 (prior to patterning) having such a wetting layer 510 sandwiched between dielectric layer 520 and gate electrode 530. The stack 500 is formed over a semiconductor substrate 540.
[0064] A preferred method for making a transistor gate electrode is illustrated schematically by the sequence in Figures 6A to 6C (not to scale, shown prior to patterning). A workpiece 600 comprising a semiconductor substrate 610, preferably doped silicon, and an overlying thin gate dielectric layer 620, preferably silicon dioxide, is placed into a chamber 630. Trisilane deposition conditions are established, preferably by heating the substrate 600 to a temperature in the range of about 450°C to about 550°C, and a gas comprising trisilane is introduced to the chamber 630 via a feed line 640. An amorphous silicon film having a thickness in the range of about 25 A to about 100 A and a surface roughness of about 5 A rms or less is deposited onto the dielectric layer 620 to form a wetting layer 660, A gate electrode is then formed by depositing a semiconductor layer, preferably doped silicon, more preferably doped Si-Ge, doped Si-C, or doped Si-Ge-C onto the wetting layer 660. A thin, continuous amorphous silicon wetting layer improves the uniformity of the overlying semiconductor layer and presents a minimal barrier to the diffusion of elements such as germanium to the interface with the gate dielectric 620.
[0065] Another preferred embodiment provides a method of forming quantum dots. A quantum dot is a particle of material in which one or more electrons are confined to a region having a maximum dimension in any direction that is less than or equal to the electron wavelength, so that the electrons are essentially confined to zero dimensions. The electrons in a quantum dot occupy well-defined, discrete quantum states that are a function of the size of the confinement region,
[0066] Potential practical applications for quantum dots include quantum optical devices (e.g., lasers and detectors) and quantum electronic devices (e.g., diodes and transistors). However, such applications have been slow to develop because of the difficulty of fabricating such structures, see E. Corcoran and G. Zorpette, "Diminishing Dimensions," Scientific American, October 1997. Using the trisilane deposition methods described herein, quantum dots having more uniform size and/or distribution can be made, as compared to methods utilizing siiane. [0067] A preferred method for making quantum dots is illustrated schematically by the sequence shown in Figures 7A to 7C. The method is based on the observation that discrete Si-containing particles can be formed on a surface by annealing an amorphous Si-containing film previously deposited thereon, This invention is not bound by theory, but it is believed that annealing causes the thin film to break apart into regions that further agglomerate into separated crystalline grains. Annealing a non-uniform film results in quantum dots that are similarly non-uniform, whereas annealing the uniform films described herein results in quantum dots having greater size and/or spatial uniformity.
[0068] A preferred method for making quantum dots is now described with reference to Figures 7A to 7C, although it will be understood that the method is not limited to this preferred embodiment. Structure 700 is formed by depositing a Si-containing film 710 onto a dielectric structure 720. In this method, the dielectric layer 720 preferably comprises silicon dioxide over a semiconductor substrate. More preferably, dielectric 720 is a tunnel oxide having a thickness of about 100 A or less, preferably about 50 A or less. Dielectric 720 is placed into a chamber (not shown) and heated to a temperature in the range of about 450°C to about 550°C while trisilane and, optionally, a dopant precursor are introduced to the chamber, to deposit onto the dielectric 720 a thin, smooth, continuous Si-containing film 710 having a thickness in the range of about 25 A to about 100 A and a surface roughness of about 5 A rms or less. Film 710 can then be annealed to form a plurality of quantum dots (not shown), Preferably, a second dielectric layer 730, preferably also comprising silicon dioxide, is first deposited onto film 710. The resulting structure 750 is then annealed, preferably at a temperature in the range of about 600°C to about 800°C, to form a plurality of quantum dots 770. Quantum dots can also be made by the method described in U.S. Patent No. 6,235,618, except that the silicon thin film is not formed using a silicon vapor deposition technique as described therein, but is instead deposited using trisilane as described herein.
[0069] Because the film 710 is thin and uniform, the resultant quantum dots 770 have a more uniform size and/or spatial distribution than those made by a comparable silane-based optimized method. Preferred quantum dots have a grain size of about 200 A or less, preferably about 100 A or less, depending on the desired application. Size uniformity is preferably determined by measuring average quantum dot size and rms size variability. Preferably, rms size variability is about 15% or less, preferably 10% or less, based on the average quantum dot size. For example, for a structure having an average quantum dot size of 50 A, the size variability is preferably 7.5 A rms (15% of 50 A) or less. Spatial uniformity is preferably determined by measuring the average number of quantum dots per given area and the rms spatial variability. Preferably, the rms spatial variability is about 5% or less. For example, for a structure having an average of 50 quantum dots per 0.1 μm2, the spatial variability is preferably 2.5 per 0.1 μm2 rms (5% of 50) or less. Quantum dots as described herein are useful in a number of applications, e.g., single electron transistors, quantum dot infrared photodetectors, and sparse carrier devices. See U.S. Patent Nos. 6,194,237; 6,211,013; 6,235,618; 6,239,449; and 6,265,329, all of which are hereby incorporated by reference in their entireties, and particularly for the express purpose of describing quantum structures, fabrication methods, and applications.
[0070] Another preferred embodiment provides a method for making a diffusion source or diffusion layer. A diffusion source is a layer that acts as a source of one or more dopant elements. Such diffusion layers are typically deposited in close proximity to a region where the dopant is desired, then heated to drive the dopant from the diffusion layer to the desired destination. However, there are limitations on the use of such diffusion sources. For example, the deposition and drive steps are time-consuming, and the heating involved in these steps may exceed thermal budgets. Other doping methods such as ion implantation can be used, but shallow implantation is difficult to achieve by ion implantation.
[0071] Thus, there is a problem in making shallow doped regions such as shallow source- drain junctions. To minimize the impact on thermal budgets, attempts have been made to deposit thin diffusion sources in order to reduce the length of the diffusion pathway. However, such attempts using siiane as the silicon source have been unsatisfactory because the deposition temperature for siiane is high and because thickness non-uniformities in the diffusion layer resulted in corresponding dopant non-uniformities after the drive step.
[0072] It has now been discovered that thin, uniform Si-containing diffusion sources can be made using trisilane as the silicon source. These diffusion sources are preferably made by introducing trisilane and a dopant precursor to a chamber and depositing a highly doped Si-containing film by thermal CVD onto a substrate, in close proximity to the ultimate destination for the dopant. The amount of dopant precursor introduced to the chamber can vary over a broad range, depending on the ultimate application, but is preferably effective to provide a dopant concentration in the resulting diffusion source in the range of from about 1 x 1016 to about 1 x 1022 atoms/cm3. The ratio of dopant precursor to trisilane introduced to the chamber can range from about 0.00001% to 150%, preferably about 0.001% to about 75%, by weight based on total weight of trisilane and dopant precursor.
[0073] Diffusion layer deposition temperatures can be in the range of from about 400°C to about 650°C, but are preferably in the range of about 450°C to about 600°C. Lower deposition temperatures tend to have a smaller impact on thermal budgets and provide smoother, more continuous films, but higher temperatures tend to provide faster deposition. The thickness of the diffusion source is preferably in the range of about 25 A to about 150 A, more preferably about 50 A to about 100 A. The diffusion source is preferably a continuous Si-containing film having a substantially uniform thickness, more preferably having a thickness non-uniformity of about 10% or less, and a substantially uniform distribution of dopant(s).
[0074] Hemispherical grain (HSG) silicon films are known. See, e.g., U.S. Patent Nos.
5,885,869; 5,837,580; and 5,656,531. HSG silicon films can be made by annealing an amorphous Si- containing film to roughen the surface to varying degrees, depending on film thickness, annealing time and annealing temperature. It is believed that the silicon atoms migrate at the annealing temperature to form crystalline regions that are thermodynamically favored at the annealing temperature. The presence of the crystalline grains produces surface roughness that appear as generally hemispherical mounds on the surface. The size of the grains is generally greater than about 200 A, preferably about 300 A to about 500 A.
[0075] HSG silicon films are useful in the fabrication of capacitors to increase surface area.
The art generally depicts HSG silicon in an idealized fashion having uniform grain size and distribution. However, those skilled in the art are aware that current techniques generally produce HSG silicon in which the grains are of varying size and not evenly distributed across the surface of the substrate, Non-uniformities in grain size and distribution tend to negatively impact device performance and reliability.
[0076] Thin, uniform, amorphous Si-containing films as described herein are an ideal precursor for HSG silicon. For example, Figure 4B depicts a structure 470 in which HSG silicon film 480 is formed by annealing the silicon film 410 as shown in Figure 4A. HSG films formed within cavities are useful in the fabrication of stacked container capacitors and trench capacitors. Similarly, stud capacitors can be formed by annealing a Si-containing film deposited onto the exterior of a post or stud, thus involving similarly challenging steps over which to deposit. Capacitors formed from HSG films are useful in a variety of applications, preferably in DRAM devices,
[0077] As DRAM devices continue to be scaled down and the sidewalls of the cavity become closer together in the horizontal direction, current HSG fabrication methods will become increasingly inadequate. Oversize grains resulting from non-uniformities in the precursor film will be more likely to come into contact, leading to electrical shorts across the cavity and consequent loss of capacitance. If future DRAM devices are to be made by depositing a silicon film within a trench or hole and annealing to form HSG silicon, then successful fabrication is likely to be facilitated by processes that allow for precise control of the morphology of the HSG silicon on the inner surfaces of deep cavities. Specifically, it would be highly desirable to be able to deposit thin, amorphous silicon films with good step coverage uniformly over steep steps, such as the inner surfaces of deep cavities, to provide films suitable for annealing to produce HSG silicon.
[0078] The ability to deposit thin, smooth Si-containing films as described herein enables the preparation of HSG silicon over structures with smaller feature sizes than when using siiane, permitting extension to smaller critical dimensions. Thus, a preferred embodiment provides a method comprising introducing trisilane to a chamber, depositing an amorphous Si-containing film, and annealing the film to form HSG silicon. The chamber preferably contains a substrate at a temperature of about 450°C to 600°C, more preferably about 450°C to about 520°C, and an amorphous Si-containing film is deposited onto the substrate by thermal CVD. Preferably, the amorphous Si-containing film has a thickness in the range of about 10 A to about 150 A, preferably about 50 A to about 100 A, and a surface roughness of about 5 A rms or less, preferably about 2 A rms or less. Preferred ranges of percent thickness non-uniformity for the amorphous Si- containing film are set forth in Table 1 above. The amorphous Si-containing film is then annealed to form HSG silicon, preferably by heating to a temperature in the range of about 600°C to about 700°C. It has been found that Si-containing films, when annealed as described, form HSG silicon having a finer and more uniform grain structure.
[0079] Average grain size and spatial distribution of HSG silicon is preferably determined by measuring the average grain size and average number of grains per given area by cross-sectioning the structure and subjecting it to transmission electron microscopy. Preferably, rms grain size is about 15% or less, preferably 10% or less, based on the average grain size. For example, for a structure having an average grain size of 300 A, rms grain size variability is preferably 45 A rms (15% of 300 A) or less. Spatial uniformity is preferably determined by measuring the average number of grains per given area and the rms spatial variability. Preferably, the rms spatial variability is about 10% or less, more preferably 5% or less. For example, for a structure having an average of 25 grains per 0.1 μm2, the rms spatial variability is preferably 2.5 per 0.1 μm2 rms (10% of 25) or less. Average grain size is preferably about 200 A or greater, more preferably in the range of about 250 A to about 500 A.
[0080] The Si-containing films described herein are also useful as anti-reflective coatings. Photolithographic processes using intense sources of electromagnetic radiation are typically employed to pattern substrates in semiconductor manufacturing, Anti-reflective coatings are frequently applied to surfaces in order to reduce the amount of reflected radiation. The coating is usually designed so that its anti-reflective properties are maximized for the type of incident radiation by adjusting the thickness of the coating to be some multiple of the wavelength of the radiation. It is generally desirable for the multiple to be as small as possible in order to avoid secondary optical effects, but it is generally more difficult to prepare such thin, optical-quality films. In addition, as device dimensions have gotten smaller, the wavelength of incident radiation used for photolithography has also become shorter, with a commensurate decrease in the desired thickness for the anti-reflective coating.
[0081] A preferred embodiment provides anti-reflective coatings useful in semiconductor manufacturing. Preferred antireflective coatings comprise a Si-containing film as described herein that has a substantially uniform thickness, more preferably a thickness non-uniformity of about 10% or less, so that the antireflective properties are substantially constant across the surface of the substrate. The thickness of the anti-reflective coating is preferably selected to be effective to suppress reflection of at least part of the incident radiation, more preferably about 75% or less of the incident radiation is reflected. Typical thicknesses are lower multiples of the wavelength of the incident radiation, preferably about 100 A to about 4000 A, more preferably about 300 A to about 1000 A. The Si-containing film preferably comprises elemental nitrogen, oxygen and/or carbon, and is more preferably selected from the group consisting of Si-N, Si-O-N, and Si-C-N. Preferred anti-reflective coatings are preferably deposited using trisilane and, optionally, an oxygen, nitrogen and/or carbon precursor, using the deposition techniques taught elsewhere herein. Preferred oxygen precursors include diatomic oxygen and ozone; preferred nitrogen precursors include hydrazine, atomic nitrogen, hydrogen cyanide, and ammonia; and preferred carbon precursors include carbon dioxide, carbon monoxide, hydrogen cyanide, alkyl silanes and silylated alkanes. Such Si-N, Si-O-N, and Si-C-N films are also useful for other purposes, preferably for thin etch stops.
[0082] In another embodiment, the Si-containing film is a Si-N film, preferably made using trisilane in combination with a nitrogen precursor to deposit thin, uniform films with compositions ranging from almost pure silicon to Si3N . Preferred nitrogen precursors include chemical precursors such as (H3Si)3N (trisilylamine), ammonia, atomic nitrogen, and NF3. Atomic nitrogen is preferably generated using a microwave radical generator (MRG). Preferred Si-N films prepared in accordance with this embodiment have a thickness in the range of about 10A to about 300 A, more preferably about 15 A to about 150 A. Preferred ranges of percent thickness non-uniformity for the Si-N films are set forth in Table 1 above. For thermal CVD, preferred deposition temperatures are in the range of about 400°C to about 800°C, preferably about 400°C to about 700°C, more preferably about 450°C to about 650°C.
[0083] In a preferred embodiment, Si-N is deposited by introducing the nitrogen precursor, preferably atomic nitrogen, to the CVD chamber continuously, and introducing trisilane either continuously or in pulses, preferably in one or more pulses. It has been found that extremely thin, highly uniform Si-N films can be obtained by introducing each of the components, e.g., nitrogen precursor, trisilane, etc., either continuously or in pulses, but that greater film uniformity can often be obtained by introducing the trisilane in one or more pulses, especially if atomic nitrogen is introduced continuously. Preferred Si-N films have a higher degree of thickness uniformity than a comparable Si-N film deposited using siiane in place of trisilane, more preferably a film surface roughness that is greater than the substrate surface roughness by an amount of about 10 A rms or less, most preferably by an amount of about 5 A rms or less, over a surface area of about 1 square micron or greater.
[0084] The use of preferred nitrogen precursors as chemical precursors in conjunction with trisilane, especially at low temperatures, enables the deposition of Si-N materials with a minimal number of N- H bonds in the thin film at deposition rates much higher than those afforded by processes which employ traditional Si sources such as siiane. For deposition temperatures in excess of 450°C, hydrogen content is preferably less than about 4 atomic %, more preferably less than about 2 atomic % and most preferably less than about 1 atomic %.
[0085] Such thin, uniform silicon nitride films have a variety of applications. In the semiconductor fabrication field, for example, Si-N is often employed as an etch stop, CMP stop, hard mask, barrier layer, capacitor dielectric, gate dielectric, etc, In all of these applications, forming as thin as possible a layer with complete continuity is advantageous.
[0086] An apparatus is provided for depositing a Si-containing material on a surface. A schematic diagram illustrating a preferred apparatus is shown in Figure 8. This apparatus 800 comprises a carrier gas source 810, a temperature controlled bubbler 820 containing liquid trisilane 830, and a gas line 840 operatively connecting the gas source 810 to the bubbler 820. A CVD chamber 850, equipped with an exhaust line 860, is operatively connected to the bubbler 820 by a feed line 870. The flow of trisilane, entrained in the carrier gas, from the bubbler 820 to the CVD chamber 850, is preferably aided by a temperature regulation source 880 operatively disposed in proximity to the bubbler, The temperature regulation source 880 maintains the trisilane 830 at a temperature in the range of about 10°C to about 70°C, preferably about 20°C to about 52°C, to thereby control the vaporization rate of the trisilane. Preferably, the CVD chamber 850 is a single-wafer, horizontal gas flow reactor. Preferably, the apparatus is also comprised of a manifold (not shown) operatively connected to the feed line 870 to control the passage of the trisilane 830 from the bubbler 820 to the CVD chamber 850, desirably in a manner to allow separate tuning of the gas flow uniformity over the substrate(s) housed in the chamber 850. Preferably, the gas line 870 is maintained at a temperature in the range of about 35°C to about 70°C, preferably about 40°C to about 52°C, to prevent condensation of the trisilane.
[0087] In another preferred embodiment, integrated circuits are provided, comprised of a Si- containing film such as described herein. Methods for making such integrated circuits from Si-containing films are known to those skilled in the art. The use of trisilane enables the extension of current device designs to smaller critical dimension by virtue of the fact that thinner films can be controllably deposited at commercially meaningful deposition rates. These integrated circuits may be incorporated into computer systems by methods known to those skilled in the art and thus a further preferred embodiment provides a computer system comprised of one or more of such integrated circuits. As used herein, "computer system" includes silicon-based devices capable of performing calculations and/or storing information in digital form. "Computer system" thus includes any device into which an integrated circuit may be incorporated.
[0088] The methods described herein can increase semiconductor manufacturing device yield.
Semiconductor device manufacturing generally involves starting with a wafer substrate having a surface area of about 300 cm2 or greater, e.g., a wafer having a diameter of 200 or 300 millimeters or even larger. The wafer is subjected to dozens, hundreds, or even thousands of processing steps to produce a finished wafer that comprises millions of semiconductor devices. The finished wafer is then cut to separate the devices from one another, producing a total number T of completed semiconductor devices.
[0089] It is highly desirable for each step in the manufacturing process to be as uniform as possible so that all of the completed semiconductor devices have the desired performance characteristics. However, it is often the case that the process produces a number NA of acceptable devices, i.e., devices that meet the desired performance specifications, and a number Nu of unacceptable devices that do not meet the specifications. Since the unacceptable devices must frequently be scrapped, the device yield for a particular process, NA/NT, is a parameter that is usually very important to the manufacturer.
[0090] Many semiconductor device manufacturing processes have manufacturing steps in which a Si-containing film is deposited onto a substrate using siiane. However, with the ongoing trend toward larger wafers and more compact devices, it is becoming increasingly difficult to maintain device yields because of the aforementioned problems associated with the use of siiane. For example, with the deposition of thinner Si-containing layers, it is becoming increasingly difficult to tune the process to compensate for thickness and/or compositional variations across the surface of the wafer, particularly for larger wafers.
[0091] The yield of a semiconductor device manufacturing process that utilizes siiane can be improved by replacing the siiane with trisilane, as described herein. Although the replacement may improve yields in a variety of processes, it has particular utility when the process involves depositing a Si-containing film having an average thickness of about 2000 A or less, and becomes increasingly preferred as film thickness is decreased. Thus, the replacement is useful for depositing films having a thickness of about 300 A or less, even more useful for depositing films having a thickness of about 150 A or less, and especially useful when for depositing films having a thickness of about 100 A or less. Likewise, the replacement is particularly useful for improving yields when the surface area of the substrate is about 300 cm2 or greater; and even more so when the surface area is about 700 cm2 or greater.
[0092] Since the value of individual semiconductor devices is often quite high, even small increases in yield can result in significant cost savings for the manufacturer. Preferably, the replacement of siiane with trisilane improves device yield by about 2% or more, more preferably about 5% or more, calculated as [trisilane device yield - siiane device yieldj/silane device yield, and multiplying by 100 to express the result as a percentage.
[0093] A preferred replacement method involves modifying a CVD process to take advantage of the ability to deposit trisilane at a lower temperature, e.g., using the temperature parameters discussed above for the thermal CVD of trisilane. For example, where the semiconductor device manufacturing process comprises thermal CVD of siiane at a temperature Ts, the replacement of siiane with trisilane preferably further involves reducing the deposition temperature to Tt, where Ts > Tt. Such temperature reductions advantageously conserve thermal budgets, and are preferably about 10% or greater, more preferably about 20% or greater, calculated as (Ts-Tt)/Ts, and multiplying by 100 to express the result in percentage terms. Preferably, Tt. is in the range of about 450°C to about 600°C, more preferably in the range of about 450°C to about 525°C. Preferably, the process of introducing siiane to the chamber is also modified when replacing the siiane with trisilane to take into account the liquid nature of trisilane at room temperature as discussed above, e.g., by using a bubbler, heated gas lines, etc.
EXAMPLES
[0094] The following experiments were carried out using an ASM Epsilon E2500™ horizontal flow reactor system, configured with a Bernoulli wand wafer transfer system, purge-only load locks, a concave susceptor with grooves in the top surface to prevent wafer slide upon drop-off, a square pre-heat ring, adjustable spot lamps and independently tunable gas inlet injectors. EXAMPLE 1
[0095] An eight-inch diameter (200 mm) <100> silicon wafer substrate having a 1,000 A SiO2 layer was placed into the reactor chamber and allowed to reach thermal equilibrium at 450°C at 40 Torr pressure under a flow of 20 standard liters per minute (slm) of high purity hydrogen gas. Trisilane was introduced to the chamber by passing high purity hydrogen gas through liquid trisilane using a bubbler (maintained at room temperature using a water bath around the vessel containing the trisilane) connected by a feed line to the chamber. A flow rate of 180 standard cubic centimeters per minute (seem) of the hydrogen/trisilane mixture, along with a flow of 90 seem (inject) of diborane (100 ppm, 90 seem mixed with 2 slm high purity hydrogen), was then passed into the reactor for four minutes. A continuous, boron-doped, amorphous silicon film having a total thickness of 56 A and a surface roughness of about 2 A rms (comparable to the underlying silicon dioxide) was deposited on the silicon dioxide layer at a deposition rate of 14 A per minute. A layer of epoxy was then applied to facilitate cross-sectional sample preparation.
[0096] Figure 9 is reproduction of an electron micrograph showing a cross section of the resulting substrate showing the underlying Si02 layer ("oxide"), the deposited amorphous silicon film ("a-Si"), and the overlying epoxy layer ("epoxy"). Furthermore, nucleation over oxide is sufficiently fast as to enable deposition in four minutes or less, even with pressures in the range of 1 Torr to 100 Torr and temperatures in the range of 425°C to 525°C.
EXAMPLE 2 (COMPARATIVE)
[0097] The process of Example 1 is repeated except that siiane is used instead of trisilane.
Since siiane is a gas under the experimental conditions, it was introduced to the chamber directly in admixture with hydrogen, without the use of a bubbler. No meaningful deposition was observed after 30 minutes and no Si-containing film was obtained even with a siiane flow of 190 seem.
EXAMPLE 3
[0098] The process of Example 1 was repeated, except that the flow rate was 228 seem, diborane was not used, and the deposition time was two minutes. A continuous amorphous silicon film having a total thickness of 28 A and a surface roughness of about 2 A rms (comparable to the underlying silicon dioxide) was deposited on the silicon dioxide layer at a deposition rate of 14 A per minute. A layer of epoxy was then applied to facilitate cross-sectional sample preparation.
[0099] Figure 10 is reproduction of an electron micrograph showing a cross section of the resulting substrate showing the underlying SiO2 layer ("oxide"), the deposited amorphous silicon film ("a-Si"), and the overlying epoxy layer ("epoxy"). This example illustrates the deposition of an extremely thin, continuous, uniform amorphous silicon film in the absence of a dopant or dopant precursor. Furthermore, nucleation over oxide is sufficiently fast as to enable deposition in two minutes or less, even with pressures in the range of 1 Torr to 100 Torr and temperatures in the range of 425°C to 525°C. EXAMPLE 4
[0100] An eight-inch diameter (200 mm) <100> silicon wafer substrate having a 1,000 A SiO2 layer was placed into the reactor chamber and allowed to reach thermal equilibrium at 600°C at 40 Torr pressure under a flow of 20 standard liters per minute (slm) of high purity hydrogen gas. Trisilane was introduced to the chamber by passing high purity hydrogen gas through liquid trisilane using a bubbler (maintained at room temperature using a water bath around the vessel containing the trisilane) connected by a feed line to the chamber. A flow rate of 180 standard cubic centimeters per minute (seem) of the hydrogen/trisilane mixture, along with a flow of 90 seem (inject) of diborane (100 ppm, 90 seem mixed with 2 slm high purity hydrogen), was then passed into the reactor for 15 seconds to deposit an amorphous boron- doped silicon film having a thickness of 100 A. Under these conditions, the delivery rate of trisilane to the substrate was about 0.1 gram per minute. The deposition rate was 400 A per minute.
EXAMPLE 5
[0101] An amorphous boron-doped silicon film was deposited as described in Example 4, except that deposition was carried out for 30 seconds to produce a film having a total thickness of 205 A. The deposition rate was 410 A per minute.
EXAMPLE 6
[0102] An amorphous boron-doped silicon film was deposited as described in Example 4, except that deposition was carried out for one minute to produce a film having a total thickness of 409 A. The deposition rate was 409 A per minute.
[0103] Examples 4-6 demonstrate that deposition rates were essentially constant when trisilane was used to deposit thin films. The average deposition rate was 406 A/minute and the variability was only 4.5 A / min rms (1.1%).
EXAMPLE 7 (COMPARATIVE)
[0104] An amorphous boron-doped silicon film was deposited as described in Example 4, except that trisilane was used instead of siiane. Siiane was supplied in the form of a gas at a flow rate of about 100 seem. A bubbler was not used because siiane is a gas under these conditions. The delivery rate of siiane to the substrate was about 0.1 gram per minute, about the same as the delivery rate of trisilane in Examples 4-6. Deposition was carried out for three minutes to produce a film having a total thickness of 16 A. The deposition rate was 5.3 A per minute.
EXAMPLE 8 (COMPARATIVE)
[0105] An amorphous boron-doped silicon film was deposited as described in Example 7, except that deposition was carried out for five minutes to produce a film having a total thickness of 87 A. The deposition rate was 17.4 A per minute. EXAMPLE 9 (COMPARATIVE)
[0106] An amorphous boron-doped silicon film was deposited as described in Example 7, except that deposition was carried out for ten minutes to produce a film having a total thickness of 284 A. The deposition rate was 28.4 A per minute.
[0107] Examples 7-9 demonstrate that deposition rates varied significantly, depending on film thickness, when siiane was used to deposit thin films. The deposition rate changed from 5.3 A per minute to 28 A per minute as the film thickness increased from 16 A to 284 A. The average was 17 A/minute and the variability was 9.4 A rms (55%).
[0108] Examples 4-9 demonstrate that the use of trisilane instead of siiane allows for deposition to be conducted at much higher rates and with vastly improved film deposition uniformity.
EXAMPLE 10
[0109] A thin, uniform, continuous Si-N film having a thickness of about 39 A was deposited at 650°C at a pressure of 3 Torr onto a p-type Si <100> substrate (etched with HF, but not baked) using trisilane and atomic nitrogen. Atomic nitrogen was generated remotely using a commercially available 800 watt microwave radical generator (MRG) and was supplied to the CVD chamber using a nitrogen flow rate of about 6 slm. Trisilane was supplied to the CVD chamber via a bubbler with a nitrogen carrier gas at a flow rate of about 50 seem (bubbler). The trisilane was introduced in a series of six pulses, each lasting about 6 seconds, at intervals of about 1 minute and 30 seconds, during which the atomic nitrogen was introduced continuously.
[0110] The resulting Si-N film was coated with epoxy, cross-sectioned and imaged using transmission electron microscopy (TEM), as shown in the TEM photomicrograph of Figure 11. The film/substrate surface was found to be essentially free of native oxide and is evidently extremely uniform and smooth.
[0111] It will be appreciated by those skilled in the art that various omissions, additions and variations may be made to the compositions and processes described above without departing from the scope of the invention, and all such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims

WE CLAIM:
1. A method for depositing a thin film, comprising: introducing a gas comprising trisilane to a chamber, wherein the chamber contains a substrate having a substrate surface roughness; establishing trisilane chemical vapor deposition conditions in the chamber; and depositing a Si-containing film onto the substrate, the Si-containing film having a thickness in the range of 10 A-to 150 A and a film surface roughness that is greater than the substrate surface roughness by an amount of about 5 A rms or less, over a surface area of about one square micron or greater.
2. The method of Claim 1 , wherein the Si-containing film is deposited as an amorphous film.
3. The method of Claim 1 , wherein the Si-containing film is deposited as an epitaxial film.
4. The method of Claim 1 , wherein the Si-containing film is deposited as a polycrystalline film.
5. The method of Claim 2, wherein the Si-containing film is deposited directly onto a non- single crystal material.
6. The method of Claim 2, wherein the Si-containing film is deposited directly onto a dielectric material.
7. The method of Claim 6, wherein the dielectric material is selected from the group consisting of silicon oxide, metal oxide, metal silicate, silicon oxynitride and silicon nitride.
8. The method of Claim 6, wherein the film surface roughness is about 3 A rms or less.
9. The method of Claim 2, further comprising depositing an oxide layer directly onto the Si- containing film.
10. The method of Claim 9, further comprising annealing the Si-containing film to form a plurality of quantum dots.
11. The method of Claim 6, further comprising depositing a doped Si-containing layer directly onto the Si-containing film.
12. The method of Claim 11, wherein the doped Si-containing layer further comprises germanium.
13. The method of Claim 12, wherein the doped Si-containing layer further comprises carbon.
14. The method of Claim 2, wherein the Si-containing film has a thickness non-uniformity of about 10% or less for a mean film thickness in the range of 100 A to 150 A, a thickness non-uniformity of about 15% or less for a mean film thickness in the range of 50 A to 99 A, and a thickness non-uniformity of about 20% or less for a mean film thickness of less than 50 A.
15. The method of Claim 2, wherein the substrate comprises a step or trench.
16. The method of Claim 15, further comprising annealing the amorphous Si-containing film to form hemispherical grained silicon.
17. The method of Claim 2, wherein the gas further comprises a dopant element selected from the group consisting of boron, arsenic, antimony, indium, and phosphorous.
18. The method of Claim 17, wherein the Si-containing film is a diffusion layer.
19. The method of Claim 17, wherein the depositing of the Si-containing film onto the substrate results in uniform incorporation of the dopant element throughout the Si-containing film.
20. The method of Claim 2, wherein establishing trisilane chemical vapor deposition conditions comprises heating the substrate to a temperature in the range of about 400°C to about 750°C in the absence of a plasma.
21. The method of Claim 1, wherein establishing trisilane chemical vapor deposition conditions comprises heating the substrate to a temperature in the range of about 450°C to about 650°C in the absence of a plasma.
22. The method of Claim 1 , wherein the Si-containing film is a Si-N film.
23. The method of Claim 22, wherein the gas further comprises a nitrogen precursor.
24. The method of Claim 23, wherein the nitrogen precursor is atomic nitrogen.
25. The method of Claim 23, wherein the Si-containing film has a hydrogen content that is less than about 4 atomic %.
26. The method of Claim 1 , wherein establishing trisilane deposition conditions comprises maintaining a chamber pressure between about 1 Torr and 100 Torr.
27. A method for depositing a thin film, comprising: introducing trisilane to a chamber, wherein the chamber contains a substrate; and depositing a continuous amorphous Si-containing film having a thickness of less than about
100 A and a surface area of about one square micron or larger onto the substrate by thermal chemical vapor deposition.
28. The method of Claim 27, wherein the substrate comprises a non-single crystal material.
29. The method of Claim 28, wherein the Si-containing film is deposited directly onto the non- single crystal layer and the non-single crystal layer is selected from the group consisting of silicon oxide, metal oxide, metal silicate, silicon oxynitride and silicon nitride.
30. The method of Claim 27, wherein the Si-containing film has a surface roughness of about 5 A or less.
31. The method of Claim 27, wherein the substrate comprises a step or trench.
32. The method of Claim 31 , wherein the Si-containing film has a thickness non-uniformity of about 15% or less for a mean film thickness in the range of 50 A to 99 A, and a thickness non-uniformity of about 20% or less for a mean film thickness of less than 50 A.
33. The method of Claim 27, wherein the depositing is conducted at a temperature in the range of about 450°C to about 650°C.
34. The method of Claim 27, wherein the depositing is conducted in or near a mass transport limited regime for trisilane.
35. The method of Claim 34, wherein the continuous amorphous Si-containing film has a surface area of about five square microns or larger.
36. The method of Claim 27, further comprising depositing an oxide layer over the Si-containing film.
37. The method of Claim 36, further comprising annealing the Si-containing film to form a plurality of quantum dots.
38. The method of Claim 27, further comprising depositing a doped Si-containing layer directly onto the Si-containing film.
39. The method of Claim 38, wherein the doped Si-containing layer further comprises germanium.
40. The method of Claim 39, wherein the doped Si-containing layer further comprises carbon.
41. The method of Claim 27, further comprising annealing the amorphous Si-containing film to form hemispherical grained silicon.
42. The method of Claim 27, wherein the depositing is conducted at a temperature in the range of about 425°C to about 700°C.
43. The method of Claim 27, further comprising introducing a nitrogen precursor to the chamber.
44. The method of Claim 43, wherein the trisilane is introduced to the chamber in one or more pulses.
45. The method of Claim 44, wherein the nitrogen precursor is atomic nitrogen.
46. The method of Claim 45, wherein the depositing is conducted at a temperature in the range of about 450°C to about 650°C.
47. A method of increasing semiconductor manufacturing device yield, comprising: identifying a semiconductor device manufacturing process that comprises depositing a Si- containing film onto a substrate using siiane to produce a number NT of semiconductor devices, of which a number NA of the devices are acceptable and a number Nu of the devices are unacceptable; wherein the Si-containing film has an average thickness of about 2000 A or less; wherein the substrate has a surface area of about 300 cm2 or greater; and wherein the process has a device yield equal to NA/NT; and replacing the siiane with trisilane in the semiconductor device manufacturing process to increase the device yield.
48. The method of Claim 47, wherein the semiconductor device manufacturing process comprises thermal CVD of siiane at a temperature Ts, further comprising depositing the trisilane by thermal CVD at a temperature Tt, where Ts > Tt.
49. The method of Claim 47, wherein the semiconductor device manufacturing process comprises introducing trisilane to a chamber, further comprising using a bubbler to introduce the trisilane to the chamber.
50. The method of Claim 49, wherein the bubbler is temperature-regulated.
51. An integrated circuit comprising a continuous amorphous Si-containing film having a thickness that is 15 A or greater and that is 150 A or less, a surface area of about one square micron or greater, and a thickness non-uniformity of about 10% or less for a mean film thickness in the range of 100 A to 150 A, a thickness non-uniformity of about 15% or less for a mean film thickness in the range of 50 A to 99 A, and a thickness non-uniformity of about 20% or less for a mean film thickness of less than 50 A
52. The integrated circuit of Claim 51, further comprising a dielectric material having a surface in contact with the Si-containing film, wherein the surface in contact has an area of about 0.5 square micron or greater.
53. The integrated circuit of Claim 51 , wherein the Si-containing film further comprises a dopant element selected from the group consisting of boron, arsenic, and phosphorous.
54. The integrated circuit of Claim 53, wherein the dopant element is uniformly distributed throughout the Si-containing film.
PCT/US2002/004751 2001-02-12 2002-02-12 Thin films and methods of making them using trisilane WO2002064853A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002564165A JP2004523903A (en) 2001-02-12 2002-02-12 Method for forming thin film and thin film using trisilane

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
US26833701P 2001-02-12 2001-02-12
US60/268,337 2001-02-12
US27925601P 2001-03-27 2001-03-27
US60/279,256 2001-03-27
US31160901P 2001-08-09 2001-08-09
US60/311,609 2001-08-09
US32364901P 2001-09-19 2001-09-19
US60/323,649 2001-09-19
US33269601P 2001-11-13 2001-11-13
US60/332,696 2001-11-13
US33372401P 2001-11-28 2001-11-28
US60/333,724 2001-11-28
US34045401P 2001-12-07 2001-12-07
US60/340,454 2001-12-07

Publications (2)

Publication Number Publication Date
WO2002064853A2 true WO2002064853A2 (en) 2002-08-22
WO2002064853A3 WO2002064853A3 (en) 2003-11-20

Family

ID=27569531

Family Applications (5)

Application Number Title Priority Date Filing Date
PCT/US2002/002921 WO2002080244A2 (en) 2001-02-12 2002-02-01 Improved process for deposition of semiconductor films
PCT/US2002/004743 WO2002065508A2 (en) 2001-02-12 2002-02-12 Dopant precursors and processes
PCT/US2002/004751 WO2002064853A2 (en) 2001-02-12 2002-02-12 Thin films and methods of making them using trisilane
PCT/US2002/004746 WO2002065516A2 (en) 2001-02-12 2002-02-12 Improved process for deposition of semiconductor films
PCT/US2002/004750 WO2002065517A2 (en) 2001-02-12 2002-02-12 Deposition method over mixed substrates using trisilane

Family Applications Before (2)

Application Number Title Priority Date Filing Date
PCT/US2002/002921 WO2002080244A2 (en) 2001-02-12 2002-02-01 Improved process for deposition of semiconductor films
PCT/US2002/004743 WO2002065508A2 (en) 2001-02-12 2002-02-12 Dopant precursors and processes

Family Applications After (2)

Application Number Title Priority Date Filing Date
PCT/US2002/004746 WO2002065516A2 (en) 2001-02-12 2002-02-12 Improved process for deposition of semiconductor films
PCT/US2002/004750 WO2002065517A2 (en) 2001-02-12 2002-02-12 Deposition method over mixed substrates using trisilane

Country Status (8)

Country Link
US (15) US6958253B2 (en)
EP (3) EP1421607A2 (en)
JP (8) JP4866534B2 (en)
KR (5) KR101050377B1 (en)
AT (1) ATE400060T1 (en)
AU (2) AU2002306436A1 (en)
DE (2) DE60223662T2 (en)
WO (5) WO2002080244A2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
WO2007008606A1 (en) * 2005-07-11 2007-01-18 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
WO2008155438A1 (en) * 2007-06-18 2008-12-24 Consejo Superior De Investigaciones Cientificas Microspheres of silicon and photonic sponges, method for production and uses thereof in the manufacture of photonic devices
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7645339B2 (en) 2002-10-18 2010-01-12 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US7790556B2 (en) 2001-02-12 2010-09-07 Asm America, Inc. Integration of high k gate dielectric
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7893433B2 (en) 2001-02-12 2011-02-22 Asm America, Inc. Thin films and methods of making them
US8147789B2 (en) 2006-10-24 2012-04-03 Dow Corning Corporation Composition comprising neopentasilane and method of preparing same
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
CN111074217A (en) * 2019-12-24 2020-04-28 江苏杰太光电技术有限公司 Amorphous silicon-doped target material and solar cell preparation method

Families Citing this family (729)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143631A (en) * 1998-05-04 2000-11-07 Micron Technology, Inc. Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4029420B2 (en) * 1999-07-15 2008-01-09 独立行政法人科学技術振興機構 Millimeter-wave / far-infrared photodetector
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
FR2812763B1 (en) * 2000-08-04 2002-11-01 St Microelectronics Sa QUANTUM BOX FORMATION
CN1262508C (en) * 2000-08-28 2006-07-05 应用材料有限公司 Pre-polysilicon coating of glass substrates
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6750119B2 (en) 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7060582B2 (en) * 2001-06-05 2006-06-13 Sony Corporation Adjusting the germanium concentration of a semiconductor layer for equal thermal expansion for a hetero-junction bipolar transistor device
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003077845A (en) * 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment apparatus
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP2003224204A (en) * 2002-01-29 2003-08-08 Mitsubishi Electric Corp Semiconductor device having capacitor
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3719998B2 (en) * 2002-04-01 2005-11-24 松下電器産業株式会社 Manufacturing method of semiconductor device
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100448714B1 (en) * 2002-04-24 2004-09-13 삼성전자주식회사 Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6740568B2 (en) * 2002-07-29 2004-05-25 Infineon Technologies Ag Method to enhance epitaxial regrowth in amorphous silicon contacts
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
JP4358492B2 (en) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for producing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
JP4065516B2 (en) * 2002-10-21 2008-03-26 キヤノン株式会社 Information processing apparatus and information processing method
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
CN100358110C (en) * 2002-12-20 2007-12-26 皇家飞利浦电子股份有限公司 Method of manufacturing a semiconductor device
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP5288707B2 (en) * 2003-03-12 2013-09-11 エーエスエム アメリカ インコーポレイテッド Method for reducing planarization and defect density in silicon germanium
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
WO2004084268A2 (en) * 2003-03-13 2004-09-30 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
JP4714422B2 (en) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Method for depositing germanium-containing film and vapor delivery device
JP4689969B2 (en) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Preparation of Group IVA and Group VIA compounds
JP4954448B2 (en) 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Organometallic compounds
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7074630B2 (en) * 2003-05-20 2006-07-11 United Microelectronics Corp. Method of forming light emitter layer
US20040241948A1 (en) * 2003-05-29 2004-12-02 Chun-Feng Nieh Method of fabricating stacked gate dielectric layer
JP4158607B2 (en) * 2003-06-09 2008-10-01 株式会社Sumco Manufacturing method of semiconductor substrate
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7122408B2 (en) * 2003-06-16 2006-10-17 Micron Technology, Inc. Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7282738B2 (en) * 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
WO2005010946A2 (en) * 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
JP2007511892A (en) * 2003-07-30 2007-05-10 エーエスエム アメリカ インコーポレイテッド Epitaxial growth of relaxed silicon germanium layers.
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US9532994B2 (en) 2003-08-29 2017-01-03 The Regents Of The University Of California Agents and methods for enhancing bone formation by oxysterols in combination with bone morphogenic proteins
DE10341806B4 (en) * 2003-09-10 2008-11-06 Texas Instruments Deutschland Gmbh Process for producing a silicon epitaxial germanium base layer of a heterobipolar pnp transistor
US7175966B2 (en) * 2003-09-19 2007-02-13 International Business Machines Corporation Water and aqueous base soluble antireflective coating/hardmask materials
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
JP4655578B2 (en) * 2003-10-20 2011-03-23 東京エレクトロン株式会社 Film forming apparatus and film forming method
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
EP1763893A2 (en) * 2004-02-27 2007-03-21 ASM America, Inc. Germanium deposition
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
FR2868203B1 (en) * 2004-03-29 2006-06-09 St Microelectronics Sa PROCESS FOR MANUFACTURING A MONOCRYSTALLINE EXTRINSIC BIPOLAR TRANSISTOR
JP4874527B2 (en) * 2004-04-01 2012-02-15 トヨタ自動車株式会社 Silicon carbide semiconductor substrate and method for manufacturing the same
JP2007535147A (en) * 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド In situ doped epitaxial film
US7084040B2 (en) * 2004-04-23 2006-08-01 Northrop Grumman Corp. Method for growth of group III-V semiconductor material on a dielectric
US7202142B2 (en) * 2004-05-03 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing low defect density strained -Si channel MOSFETS
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
WO2005113857A1 (en) * 2004-05-20 2005-12-01 Akzo Nobel N.V. Bubbler for constant vapor delivery of a solid chemical
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR101176668B1 (en) * 2004-06-10 2012-08-23 어플라이드 머티어리얼스, 인코포레이티드 Low temperature epitaxial growth of silicon-containing films using uv radiation
JP3945519B2 (en) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 Heat treatment apparatus, heat treatment method and storage medium for object to be processed
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
AP2007003890A0 (en) 2004-07-30 2007-02-28 Rinat Neuroscience Corp Antibodies directed against amy-loid-beta peptide and methods using same
US8673410B2 (en) * 2004-08-04 2014-03-18 Tel Solar Ag Adhesion layer for thin film transistors
DE102004056170A1 (en) * 2004-08-06 2006-03-16 Aixtron Ag Apparatus and method for high throughput chemical vapor deposition
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP4428175B2 (en) * 2004-09-14 2010-03-10 株式会社Sumco Vapor phase epitaxial growth apparatus and semiconductor wafer manufacturing method
US7309660B2 (en) * 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7071125B2 (en) * 2004-09-22 2006-07-04 Intel Corporation Precursors for film formation
US7314513B1 (en) 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US20060086950A1 (en) * 2004-10-13 2006-04-27 Matty Caymax Method for making a passivated semiconductor substrate
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4945072B2 (en) * 2004-11-09 2012-06-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP2006176811A (en) * 2004-12-21 2006-07-06 Rikogaku Shinkokai METHOD FOR PRODUCING CRYSTALLINE SiC FILM
KR100579860B1 (en) * 2004-12-23 2006-05-12 동부일렉트로닉스 주식회사 Method for forming p type polysilicon using ald and iii group heavy metal
US9640649B2 (en) * 2004-12-30 2017-05-02 Infineon Technologies Americas Corp. III-nitride power semiconductor with a field relaxation feature
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7772088B2 (en) * 2005-02-28 2010-08-10 Silicon Genesis Corporation Method for manufacturing devices on a multi-layered substrate utilizing a stiffening backing substrate
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
MY148086A (en) 2005-04-29 2013-02-28 Rinat Neuroscience Corp Antibodies directed against amyloid-beta peptide and methods using same
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR101283835B1 (en) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Deposition method of ternary films
JP2009500857A (en) * 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド Method for depositing silicon-containing film
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
DE102005047221B4 (en) * 2005-10-01 2015-08-06 APSOL GmbH Semiconductor layer structure, device having such a semiconductor layer structure, semiconductor layer structure slices, and methods of manufacturing the same
JP5888831B2 (en) * 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー Cross-linked polymer and method for producing the same
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US20070096091A1 (en) * 2005-11-03 2007-05-03 Chih-Chun Wang Layer structure and removing method thereof and mehod of testing semiconductor machine
US7439558B2 (en) 2005-11-04 2008-10-21 Atmel Corporation Method and system for controlled oxygen incorporation in compound semiconductor films for device performance enhancement
US7300849B2 (en) * 2005-11-04 2007-11-27 Atmel Corporation Bandgap engineered mono-crystalline silicon cap layers for SiGe HBT performance enhancement
US7651919B2 (en) * 2005-11-04 2010-01-26 Atmel Corporation Bandgap and recombination engineered emitter layers for SiGe HBT performance optimization
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP4792956B2 (en) * 2005-12-13 2011-10-12 セイコーエプソン株式会社 Semiconductor substrate manufacturing method and semiconductor device manufacturing method
JP4792957B2 (en) * 2005-12-14 2011-10-12 セイコーエプソン株式会社 Semiconductor substrate manufacturing method and semiconductor device manufacturing method
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
KR20080089403A (en) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 Epitaxial deposition of doped semiconductor materials
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
TW200737309A (en) * 2005-12-28 2007-10-01 Hitachi Int Electric Inc Fabrication method of semiconductor device and substrate processing device
WO2007081807A2 (en) * 2006-01-09 2007-07-19 International Rectifier Corporation Iii-nitride power semiconductor with a field relaxation feature
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100745372B1 (en) * 2006-02-06 2007-08-02 삼성전자주식회사 Method and appratus for monitoring mass flow amount in semiconductor production device
JP2009528291A (en) 2006-02-27 2009-08-06 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア Oxysterol compounds and hedgehog pathway
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
CN101512721A (en) 2006-04-05 2009-08-19 硅源公司 Method and structure for fabricating solar cells using a layer transfer process
CN101460654A (en) * 2006-05-01 2009-06-17 应用材料股份有限公司 A method of ultra-shallow junction formation using si film alloyed with carbon
DE102006020825A1 (en) * 2006-05-04 2007-11-08 Siltronic Ag Process for producing a layered structure
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR101499260B1 (en) * 2006-05-12 2015-03-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 low temperature deposition of phase change memory materials
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US8153513B2 (en) 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7547621B2 (en) * 2006-07-25 2009-06-16 Applied Materials, Inc. LPCVD gate hard mask
JP5175285B2 (en) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド Method for controlling morphology during epitaxial layer formation
CN101496153A (en) * 2006-07-31 2009-07-29 应用材料股份有限公司 Methods of forming carbon-containing silicon epitaxial layers
KR100753546B1 (en) * 2006-08-22 2007-08-30 삼성전자주식회사 Gate of transistor and method for forming the same
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
EP2078102B1 (en) 2006-11-02 2012-11-14 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8110412B2 (en) * 2006-12-22 2012-02-07 Spansion Llc Integrated circuit wafer system with control strategy
US20080173239A1 (en) 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
ITMI20070271A1 (en) * 2007-02-14 2008-08-15 St Microelectronics Srl PROCESS FOR PEER MANUFACTURING A TFT DEVICE WITH SOURCE AND DAIN REGIONS HAVING A GRADUAL PROFILE
JP2008218661A (en) * 2007-03-02 2008-09-18 Fujitsu Ltd Field-effect semiconductor device and manufacturing method therefor
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
EP1973150A1 (en) * 2007-03-20 2008-09-24 S.O.I. Tec Silicon on Insulator Technologies S.A. A (110) oriented silicon substrate and a bonded pair of substrates comprising said (110) oriented silicon substrate and corresponding methods of fabricating same
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080246101A1 (en) * 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
JP4854591B2 (en) * 2007-05-14 2012-01-18 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
JP5545872B2 (en) * 2007-06-25 2014-07-09 サンディスク スリーディー,エルエルシー Nonvolatile memory device including diode doped with carbon or nitrogen and method of manufacturing the same
KR100812089B1 (en) * 2007-06-26 2008-03-07 주식회사 동부하이텍 Method of manufacturing flash memory device
JP5164465B2 (en) * 2007-07-27 2013-03-21 株式会社アルバック Resin substrate
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
CN101951915A (en) 2007-12-03 2011-01-19 加利福尼亚大学董事会 Oxsterols for activation of hedgehog signaling, osteoinduction, antiadipogenesis, and WNT signaling
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7989360B2 (en) * 2008-01-07 2011-08-02 Micron Technology, Inc. Semiconductor processing methods, and methods for forming silicon dioxide
US8347814B2 (en) * 2008-01-22 2013-01-08 Raytheon Canada Limited Method and apparatus for coating a curved surface
US8318252B2 (en) 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US20090258151A1 (en) * 2008-04-10 2009-10-15 Raytheon Company Method and Apparatus for Coating Curved Surfaces
US7720342B2 (en) * 2008-04-15 2010-05-18 Hewlett-Packard Development Company, L.P. Optical device with a graded bandgap structure and methods of making and using the same
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
KR101580575B1 (en) 2008-04-25 2015-12-28 에이에스엠 인터내셔널 엔.브이. Synthesis and use of precursors for ALD of tellurium and selenium thin films
US20090267118A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Method for forming carbon silicon alloy (csa) and structures thereof
US8398776B2 (en) * 2008-05-12 2013-03-19 Raytheon Canada Limited Method and apparatus for supporting workpieces in a coating apparatus
JP5519649B2 (en) * 2008-05-29 2014-06-11 エヌディーエスユー リサーチ ファウンデーション Methods for forming functionalized silanes
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8246748B2 (en) * 2008-07-09 2012-08-21 Raytheon Canada Limited Method and apparatus for coating surfaces
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
JP5336956B2 (en) * 2008-07-31 2013-11-06 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
DE102008063402B4 (en) * 2008-12-31 2013-10-17 Advanced Micro Devices, Inc. Reducing the threshold voltage fluctuation in transistors with a channel semiconductor alloy by reducing the deposition nonuniformities
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US20100178758A1 (en) * 2009-01-15 2010-07-15 Macronix International Co., Ltd. Methods for fabricating dielectric layer and non-volatile memory
JP2012516572A (en) * 2009-01-30 2012-07-19 エイエムジー・アイデアルキャスト・ソーラー・コーポレーション SEED LAYER AND SEED LAYER MANUFACTURING METHOD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
DE102009002758A1 (en) * 2009-04-30 2010-11-11 Evonik Degussa Gmbh Bandgap Tailoring of solar cells from liquid silane by adding germanium
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
DE102009032854B4 (en) * 2009-07-13 2015-07-23 Texas Instruments Deutschland Gmbh Method for producing bipolar transistor structures in a semiconductor process
JP2011023718A (en) * 2009-07-15 2011-02-03 Asm Japan Kk METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD
US20110020623A1 (en) * 2009-07-22 2011-01-27 Raytheon Company Method and Apparatus for Repairing an Optical Component Substrate Through Coating
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
KR101829380B1 (en) 2009-10-26 2018-02-19 에이에스엠 인터내셔널 엔.브이. Synthesis and use of precursors for ALD of group VA element containing thin films
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102762497B (en) 2010-01-28 2015-11-25 北达科他州立大学研究基金会 Process for producing cyclohexasilane compound
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP5692763B2 (en) * 2010-05-20 2015-04-01 東京エレクトロン株式会社 Silicon film forming method and apparatus therefor
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
TW201214526A (en) * 2010-07-02 2012-04-01 Matheson Tri Gas Inc Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
US8263988B2 (en) 2010-07-16 2012-09-11 Micron Technology, Inc. Solid state lighting devices with reduced crystal lattice dislocations and associated methods of manufacturing
US9017486B2 (en) * 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
WO2012037007A2 (en) * 2010-09-15 2012-03-22 Praxair Technology, Inc. Method for extending lifetime of an ion source
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5544343B2 (en) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
EP2647036B1 (en) * 2010-11-30 2017-10-11 Entegris Inc. Remote dopant source for an ion implanter system, ion implanter system and method comprising same
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2474643B1 (en) 2011-01-11 2016-01-06 Imec Method for direct deposition of a germanium layer
DE102011009964A1 (en) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Method for soft, hard and high temperature soldering
DE102011009963A1 (en) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Process for arc joining and inert gas mixture
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US10043934B2 (en) * 2011-06-08 2018-08-07 International Business Machines Corporation Silicon-containing heterojunction photovoltaic element and device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
JP5741382B2 (en) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 Thin film forming method and film forming apparatus
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
EP2847206A4 (en) 2012-05-07 2016-01-20 Univ California Oxysterol analogue oxy133 induces osteogenesis and hedgehog signaling and inhibits adipogenesis
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9064924B2 (en) * 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US8889529B2 (en) * 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9064694B2 (en) * 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8946035B2 (en) 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014057748A1 (en) * 2012-10-12 2014-04-17 住友電気工業株式会社 Group iii nitride composite substrate, manufacturing method therefor, and group iii nitride semiconductor device manufacturing method
KR102207992B1 (en) 2012-10-23 2021-01-26 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
WO2014071049A2 (en) 2012-10-31 2014-05-08 Suvolta, Inc. Dram-type device with low variation transistor peripheral circuits, and related methods
JP2014093345A (en) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku Method of collectively forming silicon film on a plurality of substrates
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
CN103107095A (en) * 2013-01-25 2013-05-15 京东方科技集团股份有限公司 Thin film transistor, manufacturing method of thin film transistor, array substrate and display device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9214630B2 (en) 2013-04-11 2015-12-15 Air Products And Chemicals, Inc. Method of making a multicomponent film
EP2991652A4 (en) 2013-05-02 2016-12-07 Univ California Bone-selective osteogenic oxysterol-bone targeting agents
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US20160168675A1 (en) * 2013-07-12 2016-06-16 Hewlett-Packard Development Company, L.P. Amorphous thin metal film
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (en) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 The trimethylsilyl amine and three-dimethylamino silane ylamine compounds that amine replaces
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150171321A1 (en) * 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102195139B1 (en) 2014-02-20 2020-12-24 삼성전자주식회사 Methods of manufacturing semiconductor devices
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP2014166957A (en) * 2014-04-24 2014-09-11 Sumitomo Electric Ind Ltd Silicon carbide semiconductor, and method and device for manufacturing the same
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10177310B2 (en) 2014-07-30 2019-01-08 Hewlett Packard Enterprise Development Lp Amorphous metal alloy electrodes in non-volatile device applications
WO2016019268A1 (en) * 2014-08-01 2016-02-04 3M Innovative Properties Company Substrate with amorphous, covalently-bonded layer and method of making the same
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105609406B (en) * 2014-11-19 2018-09-28 株式会社日立国际电气 The manufacturing method of semiconductor devices, substrate processing device, gas supply system
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9390925B1 (en) 2014-12-17 2016-07-12 GlobalFoundries, Inc. Silicon—germanium (SiGe) fin formation
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403744B2 (en) * 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211180A1 (en) * 2016-01-22 2017-07-27 Silcotek Corp. Diffusion-rate-limited thermal chemical vapor deposition coating
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
JP6575433B2 (en) * 2016-05-23 2019-09-18 株式会社デンソー Manufacturing method of semiconductor device
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN116190216A (en) 2016-10-03 2023-05-30 应用材料公司 Multichannel flow ratio controller and processing chamber
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) * 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
RU2661320C1 (en) * 2017-04-26 2018-07-13 Закрытое акционерное общество Научно-инженерный центр "ИНКОМСИСТЕМ" Method of substrate hydrophobisation
CN108807264B (en) * 2017-05-02 2023-09-12 应用材料公司 Method for forming tungsten pillar
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
FR3073665B1 (en) * 2017-11-15 2019-11-29 Centre National De La Recherche Scientifique PROCESS FOR PRODUCING TRANSFERABLE THIN FILM
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020028028A1 (en) 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11791159B2 (en) 2019-01-17 2023-10-17 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
US11562903B2 (en) * 2019-01-17 2023-01-24 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11589572B2 (en) 2019-05-23 2023-02-28 Scott A. Butz Moving decoy support system
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210035449A (en) 2019-09-24 2021-04-01 삼성전자주식회사 A semiconductor device and method of manufacturing the same
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11551926B2 (en) * 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
KR20230170068A (en) * 2021-04-21 2023-12-18 엔테그리스, 아이엔씨. Silicon precursor compound and method for forming silicon-containing film
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3131332A1 (en) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude NOVEL INORGANIC SILYL AND POLYSILYL DERIVATIVES OF GROUP V ELEMENTS AND METHODS OF SYNTHESIZING THEM AND METHODS OF USING THEM FOR DEPOSIT
WO2023121973A1 (en) * 2021-12-23 2023-06-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New inorganic silyl and polysilyl derivatives of group v elements and methods of synthesizing the same and methods of using the same for deposition
EP4215649A1 (en) 2022-01-24 2023-07-26 Ivan Timokhin Preparation of shaped crystalline layers by use of the inner shape/surface of the ampule as a shape forming surface
WO2024004998A1 (en) * 2022-06-29 2024-01-04 株式会社日本触媒 Method for producing silicon film, and silicon film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5471330A (en) * 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
EP0747974A2 (en) * 1995-05-30 1996-12-11 Canon Kabushiki Kaisha Photovoltaic element and fabrication process thereof
GB2332564A (en) * 1997-12-16 1999-06-23 Lg Semicon Co Ltd Method of forming quantum dots in a semiconductor device
US6103600A (en) * 1997-09-24 2000-08-15 Sharp Kabushiki Kaisha Method for forming ultrafine particles and/or ultrafine wire, and semiconductor device using ultrafine particles and/or ultrafine wire formed by the forming method

Family Cites Families (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US117956A (en) * 1871-08-08 Improvement in barrel-pitching machines
US2002A (en) * 1841-03-12 Tor and planter for plowing
US495218A (en) * 1893-04-11 Elastic tire
US1217956A (en) * 1916-11-18 1917-03-06 Pittsburgh Plate Glass Co Pot for the manufacture of plate-glass, and the method of making the same.
US1268064A (en) * 1917-06-19 1918-05-28 Johnson & Johnson First-aid packet.
US2155225A (en) * 1936-04-11 1939-04-18 Westinghouse Air Brake Co Empty and load apparatus
US3185817A (en) * 1954-09-30 1965-05-25 North American Aviation Inc Gyroscope filtering and computing system
US3091239A (en) * 1958-08-25 1963-05-28 Moeller Wilhelm Apparatus for intravasal injection of gaseous and liquid media
US3187215A (en) * 1961-10-02 1965-06-01 Bendix Corp Spark gap device
US3292741A (en) * 1964-10-27 1966-12-20 Bendix Corp Parking mechanism for dual brake
DE2023992A1 (en) 1970-05-15 1971-12-02 Siemens Ag Process for doping silicon or germanium crystals with antimony and / or bismuth in a single-zone furnace
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JPS6047202B2 (en) 1976-01-13 1985-10-21 東北大学金属材料研究所長 Super hard high purity oriented polycrystalline silicon nitride
GB1573154A (en) * 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
US4217374A (en) * 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4223048A (en) 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4237150A (en) 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
FR2464478A1 (en) * 1979-09-04 1981-03-06 Suisse Horlogerie ADVANCE DETECTOR OF A STEP BY STEP MOTOR
US4411729A (en) * 1979-09-29 1983-10-25 Fujitsu Limited Method for a vapor phase growth of a compound semiconductor
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4379020A (en) * 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4444812A (en) * 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (en) 1982-06-25 1994-05-11 株式会社日立製作所 Surface treatment method
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS5958819A (en) 1982-09-29 1984-04-04 Hitachi Ltd Formation of thin film
JPS5978918A (en) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc Formation of amorphous silicon film with wide band gap
JPS5978919A (en) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
JPS5989407A (en) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
JPS6043485B2 (en) 1982-12-08 1985-09-28 豊田株式会社 Highway safety equipment car
JPS6043485A (en) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
US4557794A (en) 1984-05-07 1985-12-10 Rca Corporation Method for forming a void-free monocrystalline epitaxial layer on a mask
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4634605A (en) * 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4707197A (en) 1984-08-02 1987-11-17 American Telephone And Telegraph Company, At&T Bell Laboratories Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method
US4631804A (en) 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
JPS61153277A (en) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol Production of thin fine crystal silicon film
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4615762A (en) 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4695331A (en) 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
JPS61291410A (en) * 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd Production of silicon
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPS6276812A (en) 1985-09-30 1987-04-08 Toshiba Corp Hysteresis circuit
JPH0650730B2 (en) 1985-09-30 1994-06-29 三井東圧化学株式会社 Method for manufacturing semiconductor thin film
JPS6277612A (en) 1985-10-01 1987-04-09 Nippon Atom Ind Group Co Ltd Abnormality diagnosing method for plant
US4891092A (en) * 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
EG18056A (en) 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
JPS62253771A (en) 1986-04-28 1987-11-05 Hitachi Ltd Formation of thin film
US4755481A (en) * 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPS633414A (en) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol Manufacture of silicon film
JP2592238B2 (en) 1986-06-24 1997-03-19 セイコー電子工業株式会社 Method for manufacturing thin film transistor
EP0254651B1 (en) 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4684542A (en) * 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US5082696A (en) * 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
KR900007686B1 (en) * 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 Vapor-phase growth process
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4902645A (en) 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
JPH01134932A (en) 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd Cleansing process and clarifier of substrate
JP2534525B2 (en) * 1987-12-19 1996-09-18 富士通株式会社 Method for manufacturing β-silicon carbide layer
DE3803895C1 (en) * 1988-02-09 1989-04-13 Degussa Ag, 6000 Frankfurt, De
JP2835723B2 (en) 1988-02-26 1998-12-14 富士通株式会社 Capacitor and method of manufacturing capacitor
JPH01217958A (en) 1988-02-26 1989-08-31 Toshiba Corp Parasitic current malfunction preventing circuit
EP0332101B1 (en) * 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
US5084355A (en) * 1988-04-13 1992-01-28 Hitachi, Ltd. Laminar structure comprising organic material and inorganic material
JPH01268064A (en) 1988-04-20 1989-10-25 Hitachi Ltd Formation of polycrystalline silicon thin film
US4933206A (en) 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02225399A (en) 1988-11-11 1990-09-07 Fujitsu Ltd Method for epitaxial growth and apparatus therefor
JPH02155225A (en) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc Method of forming amorphous semiconductor thin-film
JPH02235327A (en) * 1989-03-08 1990-09-18 Fujitsu Ltd Device and method of growing semiconductor
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
JPH03193880A (en) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk Method and device for forming film at high rate by microwave plasma cvd under high pressure
JP2947828B2 (en) 1989-09-04 1999-09-13 株式会社日立製作所 Method for manufacturing semiconductor device
US5214002A (en) * 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US5198387A (en) * 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
JPH03185817A (en) 1989-12-15 1991-08-13 Seiko Epson Corp Method of forming semiconductor film
JPH03187215A (en) 1989-12-15 1991-08-15 Sharp Corp Manufacture of silicon thin film
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP3469251B2 (en) * 1990-02-14 2003-11-25 株式会社東芝 Method for manufacturing semiconductor device
JP2917392B2 (en) 1990-04-10 1999-07-12 セイコーエプソン株式会社 Method for manufacturing semiconductor device
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
US5250452A (en) 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
EP0465264B1 (en) * 1990-07-06 1998-12-09 Kazuo Tsubouchi Metal film forming method
JPH0485818A (en) 1990-07-26 1992-03-18 Fujitsu Ltd Manufacture of semiconductor device
KR100209856B1 (en) * 1990-08-31 1999-07-15 가나이 쓰도무 Method of manufacturing semiconductor device
JP3193402B2 (en) 1990-08-31 2001-07-30 株式会社日立製作所 Method for manufacturing semiconductor device
US5080933A (en) * 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
KR960016837B1 (en) * 1990-10-29 1996-12-21 Nec Kk Semiconductor memory device and manufacturing method thereof
CA2070816A1 (en) * 1990-10-31 1992-05-01 James H. Brauker Close vascularization implant material
US6893906B2 (en) * 1990-11-26 2005-05-17 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
JPH10223911A (en) 1990-11-16 1998-08-21 Seiko Epson Corp Thin film semiconductor device
DE69131570T2 (en) 1990-11-16 2000-02-17 Seiko Epson Corp Method of manufacturing a thin film semiconductor device
US5849601A (en) * 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5110757A (en) * 1990-12-19 1992-05-05 North American Philips Corp. Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition
JPH0691249B2 (en) * 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
JP3091239B2 (en) 1991-01-28 2000-09-25 三菱レイヨン株式会社 Plastic optical fiber cord
US5112773A (en) * 1991-04-10 1992-05-12 Micron Technology, Inc. Methods for texturizing polysilicon utilizing gas phase nucleation
JP2907403B2 (en) * 1991-03-22 1999-06-21 キヤノン株式会社 Deposition film forming equipment
JP2794499B2 (en) * 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JPH04299515A (en) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X-ray transmission film for x-ray lithography mask and manufacture thereof
JP3200863B2 (en) * 1991-04-23 2001-08-20 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JPH04332115A (en) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X-ray transmission film for x-ray lithography mask
DE69224640T2 (en) 1991-05-17 1998-10-01 Lam Res Corp METHOD FOR COATING A SIOx FILM WITH REDUCED INTRINSIC TENSION AND / OR REDUCED HYDROGEN CONTENT
JP2508948B2 (en) * 1991-06-21 1996-06-19 日本電気株式会社 Method for manufacturing semiconductor device
JPH07187892A (en) * 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
JPH0521385A (en) * 1991-07-10 1993-01-29 Nippon Steel Corp Manufacture of aluminium alloy thin film
DE69233359T2 (en) * 1991-07-16 2005-06-02 Seiko Epson Corp. METHOD FOR PRODUCING A SEMICONDUCTOR THIN LAYER WITH A CHEMICAL GAS PHASE COATING SYSTEM
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5695819A (en) * 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
JP3121131B2 (en) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド Low temperature and high pressure silicon deposition method
JP3181357B2 (en) * 1991-08-19 2001-07-03 株式会社東芝 Method for forming semiconductor thin film and method for manufacturing semiconductor device
JP2845303B2 (en) * 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
JPH0562811A (en) 1991-09-03 1993-03-12 Matsushita Electric Ind Co Ltd Function trimming method
JPH0562911A (en) * 1991-09-04 1993-03-12 Fujitsu Ltd Manufacture of semiconductor superlattice
JP3118037B2 (en) * 1991-10-28 2000-12-18 キヤノン株式会社 Method and apparatus for forming deposited film
US5231056A (en) * 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5485019A (en) 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JPH05315269A (en) * 1992-03-11 1993-11-26 Central Glass Co Ltd Forming method for thin film
JP2951146B2 (en) * 1992-04-15 1999-09-20 キヤノン株式会社 Photovoltaic devices
JP3156878B2 (en) 1992-04-30 2001-04-16 株式会社東芝 Semiconductor device and method of manufacturing the same
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2740087B2 (en) * 1992-08-15 1998-04-15 株式会社東芝 Method for manufacturing semiconductor integrated circuit device
JP3200197B2 (en) 1992-09-24 2001-08-20 コマツ電子金属株式会社 Vapor phase growth apparatus and its exhaust pipe
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
KR960700492A (en) * 1992-12-10 1996-01-20 켄트 허친슨 INCREASED BRIGHTNESS DRIVE SYSTEM FOR AN ELECTROLUMINESCENT DISPLAY PANEL
US5563093A (en) * 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JPH06302526A (en) 1993-04-16 1994-10-28 Kokusai Electric Co Ltd Formation of amorphous silicon film
JPH06310493A (en) 1993-04-23 1994-11-04 Kawasaki Steel Corp Manufacturing equipment for semiconductor device
JP2508581B2 (en) 1993-05-28 1996-06-19 日本電気株式会社 Chemical vapor deposition
DE4419074C2 (en) 1993-06-03 1998-07-02 Micron Semiconductor Inc Method for uniformly doping polycrystalline silicon with hemispherical grain
US5385869A (en) * 1993-07-22 1995-01-31 Motorola, Inc. Semiconductor chip bonded to a substrate and method of making
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
JP2641385B2 (en) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド Film formation method
US5360986A (en) 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5656531A (en) * 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (en) * 1994-03-14 1995-09-26 Fujitsu Ltd Manufacture of semiconductor device
US6162667A (en) 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3494467B2 (en) * 1994-04-28 2004-02-09 沖電気工業株式会社 Method of forming semiconductor thin film
JP2630257B2 (en) 1994-06-03 1997-07-16 日本電気株式会社 Method for manufacturing semiconductor device
EP1722403B1 (en) * 1994-06-15 2012-07-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device
US20020009827A1 (en) * 1997-08-26 2002-01-24 Masud Beroz Microelectronic unit forming methods and materials
US6121081A (en) 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon
US5656819A (en) * 1994-11-16 1997-08-12 Sandia Corporation Pulsed ion beam source
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
JPH08213343A (en) 1995-01-31 1996-08-20 Sony Corp Semiconductor device and manufacture thereof
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
KR0180779B1 (en) 1995-02-27 1999-03-20 김주용 Method for manufacturing semiconductor capacitor
US5698771A (en) * 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JPH08306688A (en) 1995-04-28 1996-11-22 Sanyo Electric Co Ltd Manufacture of semiconductor device
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
KR100300263B1 (en) 1995-08-04 2001-12-17 구사마 사부로 Manufacturing method of thin film transistor, manufacturing method of active matrix substrate and liquid crystal display device
US6161498A (en) * 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3305929B2 (en) 1995-09-14 2002-07-24 株式会社東芝 Semiconductor device and manufacturing method thereof
JP3432059B2 (en) 1995-09-25 2003-07-28 キヤノン株式会社 Method of forming photovoltaic element
US5893949A (en) * 1995-12-26 1999-04-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
JPH09191117A (en) * 1996-01-09 1997-07-22 Mitsui Toatsu Chem Inc Semiconductor thin film
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (en) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 Semiconductor device manufacturing method
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
JP3841910B2 (en) 1996-02-15 2006-11-08 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JP4093604B2 (en) * 1996-03-25 2008-06-04 純一 半那 Method for forming conductive pattern
JPH09270421A (en) 1996-04-01 1997-10-14 Mitsubishi Electric Corp Surface treatment apparatus and method
US5863598A (en) * 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
JP2795313B2 (en) 1996-05-08 1998-09-10 日本電気株式会社 Capacitive element and method of manufacturing the same
EP0814177A3 (en) * 1996-05-23 2000-08-30 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5930106A (en) * 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
US5913921A (en) * 1996-07-12 1999-06-22 Glenayre Electronics, Inc. System for communicating information about nodes configuration by generating advertisements having era values for identifying time reference for which the configuration is operative
JPH1041321A (en) 1996-07-26 1998-02-13 Sony Corp Manufacture of bipolar transistor
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2954039B2 (en) * 1996-09-05 1999-09-27 日本電気株式会社 Method for forming SiGe thin film
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
KR100236069B1 (en) * 1996-12-26 1999-12-15 김영환 Capacitor and manufacturing method thereof
TW471031B (en) * 1997-01-08 2002-01-01 Ebara Corp Vapor feed supply system
JPH10203895A (en) * 1997-01-20 1998-08-04 Sony Corp Production of silicon germanium mixed crystal film
JP3050152B2 (en) * 1997-01-23 2000-06-12 日本電気株式会社 Method for manufacturing semiconductor device
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3084395B2 (en) * 1997-05-15 2000-09-04 工業技術院長 Semiconductor thin film deposition method
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
JP3408401B2 (en) * 1997-05-30 2003-05-19 シャープ株式会社 Semiconductor memory device and method of manufacturing the same
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2765394B1 (en) * 1997-06-25 1999-09-24 France Telecom PROCESS FOR OBTAINING A SILICON-GERMANIUM GRID TRANSISTOR
JPH1174485A (en) 1997-06-30 1999-03-16 Toshiba Corp Semiconductor device and manufacture thereof
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH1197692A (en) * 1997-09-18 1999-04-09 Toshiba Corp Polycrystal and liquid crystal display
JP3727449B2 (en) * 1997-09-30 2005-12-14 シャープ株式会社 Method for producing semiconductor nanocrystal
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6228181B1 (en) * 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027760A (en) * 1997-12-08 2000-02-22 Gurer; Emir Photoresist coating process control with solvent vapor sensor
EP0928015A3 (en) 1997-12-31 2003-07-02 Texas Instruments Incorporated Method of preventing boron penetration
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6027705A (en) * 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP4208281B2 (en) * 1998-02-26 2009-01-14 キヤノン株式会社 Multilayer photovoltaic device
JP3854731B2 (en) * 1998-03-30 2006-12-06 シャープ株式会社 Microstructure manufacturing method
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JPH11330463A (en) * 1998-05-15 1999-11-30 Sony Corp Semiconductor device and its manufacture
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4364438B2 (en) 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド Plasma process for depositing silicon nitride with high film quality and low hydrogen content
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
JP2000038679A (en) * 1998-07-21 2000-02-08 Canon Inc Formation of deposited film and deposited film forming device
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
JP3259690B2 (en) 1998-08-26 2002-02-25 日本電気株式会社 Field effect transistor and method for manufacturing the same
JP2000077658A (en) 1998-08-28 2000-03-14 Toshiba Corp Manufacture of semiconductor device
US6027975A (en) * 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
US6268068B1 (en) 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
JP2000150647A (en) * 1998-11-11 2000-05-30 Sony Corp Wiring structure and its manufacture
US6107147A (en) * 1998-12-18 2000-08-22 Texas Instruments Incorporated Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
AU3346000A (en) * 1999-01-15 2000-08-01 Regents Of The University Of California, The Polycrystalline silicon germanium films for forming micro-electromechanical systems
KR100363083B1 (en) * 1999-01-20 2002-11-30 삼성전자 주식회사 Hemispherical grain capacitor and forming method thereof
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3754568B2 (en) * 1999-01-29 2006-03-15 シャープ株式会社 Quantum wire manufacturing method
JP3869572B2 (en) 1999-02-10 2007-01-17 シャープ株式会社 Quantum wire manufacturing method
JP4731655B2 (en) 1999-02-12 2011-07-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2000243831A (en) * 1999-02-18 2000-09-08 Sony Corp Semiconductor device and its manufacture
JPH11317530A (en) * 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd Semiconductor device
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1036807B1 (en) 1999-03-18 2007-12-12 Kaneka Corporation Curable composition
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
JP3443379B2 (en) 1999-03-23 2003-09-02 松下電器産業株式会社 Method for growing semiconductor film and method for manufacturing semiconductor device
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP2000323420A (en) 1999-05-14 2000-11-24 Sony Corp Manufacture for semiconductor device
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000340684A (en) 1999-05-31 2000-12-08 Sony Corp Manufacture of semiconductor device
KR20010001543A (en) 1999-06-05 2001-01-05 김기범 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure
JP2001007301A (en) 1999-06-17 2001-01-12 Sony Corp Semiconductor device and manufacture thereof
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
DE60042045D1 (en) 1999-06-22 2009-06-04 Panasonic Corp Heterojunction bipolar transistors and corresponding manufacturing methods
JP2001015736A (en) 1999-06-29 2001-01-19 Sony Corp Method for manufacturing semiconductor device
KR100306812B1 (en) * 1999-06-29 2001-11-01 박종섭 Method of forming gate for semiconductor device
JP3324573B2 (en) * 1999-07-19 2002-09-17 日本電気株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4192353B2 (en) * 1999-09-21 2008-12-10 株式会社デンソー Silicon carbide semiconductor device and manufacturing method thereof
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
DE60041522D1 (en) 1999-12-15 2009-03-19 Genitech Co Ltd METHOD FOR PRODUCING COPPER INTERCONNECTIONS AND THIN FILMS BY CVD AND A CATALYST
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
EP1197997A4 (en) * 2000-03-23 2003-05-21 Matsushita Electric Ind Co Ltd Method for producing semiconductor crystal
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
JP2001284340A (en) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc Apparatus and method for manufacturing semiconductor device
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
JP3687651B2 (en) 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6274463B1 (en) * 2000-07-31 2001-08-14 Hewlett-Packard Company Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
JP4710187B2 (en) * 2000-08-30 2011-06-29 ソニー株式会社 Method for growing polycrystalline silicon layer and method for epitaxial growth of single crystal silicon layer
US6365479B1 (en) * 2000-09-22 2002-04-02 Conexant Systems, Inc. Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6482705B1 (en) * 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
AU2002305733A1 (en) * 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US6858196B2 (en) * 2001-07-19 2005-02-22 Asm America, Inc. Method and apparatus for chemical synthesis
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003068654A (en) * 2001-08-27 2003-03-07 Hoya Corp Production method for compound single crystal
DE10211312A1 (en) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Epitaxial coating applying method of semiconductor wafer in chemical vapor deposition reactor, involves exposing back surface of semiconductor wafer to ejection gas containing specific amount of hydrogen
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7005160B2 (en) 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
JP2007535147A (en) 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド In situ doped epitaxial film
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (en) * 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd Method of manufacturing light emitting device
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2007319735A (en) 2006-05-30 2007-12-13 Fuji Xerox Co Ltd Microreactor and method for cleaning micro flow path
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5471330A (en) * 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
EP0747974A2 (en) * 1995-05-30 1996-12-11 Canon Kabushiki Kaisha Photovoltaic element and fabrication process thereof
US6103600A (en) * 1997-09-24 2000-08-15 Sharp Kabushiki Kaisha Method for forming ultrafine particles and/or ultrafine wire, and semiconductor device using ultrafine particles and/or ultrafine wire formed by the forming method
GB2332564A (en) * 1997-12-16 1999-06-23 Lg Semicon Co Ltd Method of forming quantum dots in a semiconductor device

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 015, no. 269 (E-1087), 9 July 1991 (1991-07-09) & JP 03 091239 A (HITACHI LTD), 16 April 1991 (1991-04-16) *
PATENT ABSTRACTS OF JAPAN vol. 1996, no. 01, 31 January 1996 (1996-01-31) & JP 07 249618 A (FUJITSU LTD;OTHERS: 01), 26 September 1995 (1995-09-26) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 02, 29 February 2000 (2000-02-29) & JP 11 317530 A (SEMICONDUCTOR ENERGY LAB CO LTD), 16 November 1999 (1999-11-16) *

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7893433B2 (en) 2001-02-12 2011-02-22 Asm America, Inc. Thin films and methods of making them
US7790556B2 (en) 2001-02-12 2010-09-07 Asm America, Inc. Integration of high k gate dielectric
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7964513B2 (en) 2002-07-19 2011-06-21 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7651953B2 (en) 2002-07-19 2010-01-26 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US8921205B2 (en) 2002-08-14 2014-12-30 Asm America, Inc. Deposition of amorphous silicon-containing films
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7758697B2 (en) 2002-10-18 2010-07-20 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7645339B2 (en) 2002-10-18 2010-01-12 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7439142B2 (en) 2003-10-10 2008-10-21 Applied Materials, Inc. Methods to fabricate MOSFET devices using a selective deposition process
US7611976B2 (en) 2004-02-23 2009-11-03 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
WO2007008606A1 (en) * 2005-07-11 2007-01-18 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US8147789B2 (en) 2006-10-24 2012-04-03 Dow Corning Corporation Composition comprising neopentasilane and method of preparing same
ES2331824A1 (en) * 2007-06-18 2010-01-15 Consejo Superior De Investigaciones Cientificas Microspheres of silicon and photonic sponges, method for production and uses thereof in the manufacture of photonic devices
WO2008155438A1 (en) * 2007-06-18 2008-12-24 Consejo Superior De Investigaciones Cientificas Microspheres of silicon and photonic sponges, method for production and uses thereof in the manufacture of photonic devices
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
CN111074217A (en) * 2019-12-24 2020-04-28 江苏杰太光电技术有限公司 Amorphous silicon-doped target material and solar cell preparation method

Also Published As

Publication number Publication date
US7893433B2 (en) 2011-02-22
KR20030076675A (en) 2003-09-26
KR101027485B1 (en) 2011-04-06
US20050048745A1 (en) 2005-03-03
US20020168868A1 (en) 2002-11-14
US6958253B2 (en) 2005-10-25
KR100934169B1 (en) 2009-12-29
WO2002080244A2 (en) 2002-10-10
JP2004523903A (en) 2004-08-05
WO2002065508A3 (en) 2003-09-25
US20020173113A1 (en) 2002-11-21
DE60223662T2 (en) 2008-10-30
US20030022528A1 (en) 2003-01-30
WO2002065516A3 (en) 2003-11-13
US8360001B2 (en) 2013-01-29
JP5134358B2 (en) 2013-01-30
US20030068869A1 (en) 2003-04-10
US7273799B2 (en) 2007-09-25
EP1374290B1 (en) 2008-07-02
US6821825B2 (en) 2004-11-23
US20050064684A1 (en) 2005-03-24
EP1374291B1 (en) 2007-11-21
KR20080104391A (en) 2008-12-02
DE60227350D1 (en) 2008-08-14
US20030082300A1 (en) 2003-05-01
US6962859B2 (en) 2005-11-08
AU2002306436A1 (en) 2002-10-15
JP2005503000A (en) 2005-01-27
US20080014725A1 (en) 2008-01-17
WO2002080244A3 (en) 2004-03-18
JP2008252104A (en) 2008-10-16
JP2004529496A (en) 2004-09-24
US6716713B2 (en) 2004-04-06
KR101050377B1 (en) 2011-07-20
WO2002065516A2 (en) 2002-08-22
JP2004525509A (en) 2004-08-19
US7547615B2 (en) 2009-06-16
US6900115B2 (en) 2005-05-31
KR20090052907A (en) 2009-05-26
EP1374290A2 (en) 2004-01-02
US6716751B2 (en) 2004-04-06
US20020197831A1 (en) 2002-12-26
KR20030076677A (en) 2003-09-26
US20050250302A1 (en) 2005-11-10
US20080073645A1 (en) 2008-03-27
WO2002080244A9 (en) 2004-04-22
DE60223662D1 (en) 2008-01-03
US20070102790A1 (en) 2007-05-10
KR100870507B1 (en) 2008-11-25
EP1374291A2 (en) 2004-01-02
US20050208740A1 (en) 2005-09-22
US7186582B2 (en) 2007-03-06
WO2002065517A2 (en) 2002-08-22
US6743738B2 (en) 2004-06-01
JP4417625B2 (en) 2010-02-17
WO2002065516A8 (en) 2004-07-08
WO2002065517A3 (en) 2003-10-30
US7585752B2 (en) 2009-09-08
AU2002240403A1 (en) 2002-08-28
KR20030076676A (en) 2003-09-26
JP4866534B2 (en) 2012-02-01
WO2002064853A3 (en) 2003-11-20
JP2011228724A (en) 2011-11-10
US20100012030A1 (en) 2010-01-21
WO2002065508A2 (en) 2002-08-22
JP4224847B2 (en) 2009-02-18
US8067297B2 (en) 2011-11-29
ATE400060T1 (en) 2008-07-15
JP2004532511A (en) 2004-10-21
US20030068851A1 (en) 2003-04-10
JP2008098668A (en) 2008-04-24
EP1421607A2 (en) 2004-05-26
US7285500B2 (en) 2007-10-23

Similar Documents

Publication Publication Date Title
US6962859B2 (en) Thin films and method of making them
US7370848B2 (en) Bubbler for substrate processing
US7341907B2 (en) Single wafer thermal CVD processes for hemispherical grained silicon and nano-crystalline grain-sized polysilicon
US7186630B2 (en) Deposition of amorphous silicon-containing films
EP1887617A2 (en) Deposition method over mixed substrates using trisilane

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2002564165

Country of ref document: JP

122 Ep: pct application non-entry in european phase